// Library = EvoApprox 12x12 // Circuit = mul12x12_064 // Area (45) = 1766 // Delay (45) = 1.460 // Power (45) = 1.10 // MAE = 2206.30000 // MSE = 7132379.40000 // MRE = 0.62 % // WCE = 8375 // WCRE = 102300 % // EP = 100.0 % module mul12x12_064(A, B, O); input [11:0] A, B; output [23:0] O; wire n_1508, n_1750, n_1618, n_1610, n_1612, n_1614, n_708, n_1812, n_1810, n_1816; wire n_1500, n_1818, n_1682, n_1680, n_892, n_1686, n_1688, n_894, n_800, n_701; wire n_1506, n_1106, n_703, n_1102, n_1108, n_158, n_1294, n_1290, n_1292, n_152; wire n_154, n_155, n_156, n_1378, n_1704, n_1862, n_329, n_1860, n_481, n_1868; wire n_1869, n_238, n_723, n_230, n_721, n_232, n_727, n_234, n_725, n_236; wire n_2034, n_2030, n_2032, n_637, n_2038, n_1044, n_554, n_555, n_556, n_557; wire n_550, n_128, n_659, n_658, n_657, n_124, n_655, n_654, n_653, n_120; wire n_122, n_345, n_344, n_346, n_428, n_340, n_424, n_1038, n_426, n_427; wire n_1404, n_348, n_1406, n_630, n_18, n_19, n_16, n_17, n_14, n_15; wire n_12, n_13, n_10, n_11, n_1480, n_2022, n_1814, n_982, n_330, n_334; wire n_336, n_338, n_1484, n_1530, n_2010, n_1532, n_2026, n_1242, n_1716, n_1246; wire n_1338, n_1244, n_1248, n_1249, n_1084, n_902, n_1086, n_1080, n_906, n_905; wire n_904, n_1324, n_908, n_1088, n_1492, n_1322, n_81, n_80, n_1739, n_87; wire n_86, n_1504, n_1330, n_1340, n_770, n_1662, n_1664, n_1666, n_678, n_1668; wire n_1140, n_1142, n_1146, n_1390, n_1392, n_1394, n_1396, n_1398, n_1828, n_1822; wire n_777, n_1826, n_1824, n_950, n_569, n_568, n_565, n_564, n_567, n_566; wire n_561, n_560, n_563, n_562, n_1052, n_288, n_162, n_165, n_164, n_636; wire n_166, n_280, n_281, n_282, n_284, n_518, n_946, n_510, n_511, n_514; wire n_515, n_516, n_517, n_266, n_264, n_260, n_300, n_302, n_304, n_307; wire n_306, n_136, n_1580, n_641, n_1588, n_644, n_794, n_606, n_607, n_600; wire n_601, n_602, n_603, n_767, n_766, n_765, n_764, n_608, n_609, n_760; wire n_415, n_414, n_417, n_416, n_790, n_940, n_1368, n_791, n_419, n_418; wire n_948, n_45, n_44, n_47, n_46, n_41, n_40, n_43, n_42, n_864; wire n_1042, n_49, n_48, n_1594, n_1462, n_460, n_461, n_462, n_463, n_464; wire n_465, n_466, n_467, n_1586, n_1440, n_1554, n_1568, n_1624, n_1295, n_980; wire n_1620, n_1188, n_1622, n_1552, n_1186, n_1628, n_1180, n_986, n_1550, n_1748; wire n_1214, n_1216, n_1210, n_795, n_1690, n_1692, n_1696, n_1698, n_792, n_1464; wire n_1298, n_793, n_1166, n_1864, n_1870, n_1872, n_1516, n_182, n_180, n_186; wire n_635, n_634, n_220, n_188, n_226, n_227, n_633, n_632, n_1032, n_2020; wire n_268, n_2028, n_1518, n_742, n_648, n_520, n_523, n_522, n_527, n_526; wire n_529, n_528, n_642, n_643, n_132, n_645, n_130, n_647, n_356, n_458; wire n_988, n_352, n_350, n_1632, n_451, n_450, n_453, n_452, n_1000, n_454; wire n_358, n_984, n_734, n_744, n_1634, n_621, n_735, n_736, n_737, n_730; wire n_731, n_732, n_722, n_1522, n_1520, n_738, n_739, n_1250, n_720, n_1254; wire n_1257, n_1256, n_1258, n_1709, n_733, n_726, n_1318, n_1090, n_1097, n_850; wire n_852, n_914, n_1312, n_724, n_1314, n_858, n_1316, n_746, n_76, n_72; wire n_73, n_1416, n_926, n_1798, n_1412, n_1794, n_1790, n_1792, n_1418, n_1174; wire n_1176, n_1170, n_1702, n_1178, n_1732, n_1700, n_1772, n_1678, n_1676, n_1674; wire n_1730, n_1670, n_1852, n_1386, n_1384, n_1382, n_1380, n_613, n_1734, n_612; wire n_1830, n_1834, n_1836, n_1708, n_769, n_578, n_576, n_577, n_574, n_575; wire n_572, n_573, n_170, n_171, n_176, n_174, n_178, n_1096, n_318, n_250; wire n_257, n_256, n_254, n_312, n_704, n_310, n_258, n_316, n_700, n_314; wire n_702, n_1310, n_1528, n_942, n_380, n_2018, n_382, n_384, n_386, n_1574; wire n_2016, n_2014, n_778, n_779, n_675, n_674, n_677, n_676, n_679, n_129; wire n_772, n_773, n_776, n_551, n_406, n_404, n_405, n_402, n_403, n_1428; wire n_1050, n_1426, n_1424, n_1454, n_1420, n_30, n_31, n_32, n_33, n_34; wire n_35, n_36, n_37, n_38, n_39, n_1584, n_798, n_799, n_785, n_1590; wire n_1576, n_784, n_113, n_1598, n_868, n_652, n_1596, n_1510, n_1458, n_499; wire n_498, n_1514, n_495, n_494, n_497, n_496, n_1560, n_493, n_492, n_1408; wire n_1630, n_783, n_1030, n_782, n_1018, n_1040, n_1738, n_834, n_771, n_1220; wire n_1222, n_649, n_1224, n_928, n_1226, n_429, n_789, n_788, n_1414, n_1402; wire n_1642, n_1648, n_781, n_1840, n_1844, n_1846, n_1848, n_586, n_584, n_628; wire n_580, n_624, n_190, n_192, n_588, n_1566, n_530, n_531, n_102, n_101; wire n_100, n_1498, n_362, n_448, n_360, n_1526, n_364, n_442, n_443, n_440; wire n_368, n_446, n_447, n_1014, n_693, n_692, n_691, n_690, n_697, n_696; wire n_699, n_698, n_1838, n_2044, n_2040, n_2042, n_1364, n_640, n_216, n_743; wire n_214, n_745, n_212, n_747, n_210, n_749, n_748, n_1496, n_1430, n_1306; wire n_1304, n_1302, n_1300, n_1266, n_1260, n_846, n_964, n_848, n_966, n_68; wire n_67, n_66, n_65, n_64, n_60, n_994, n_716, n_1460, n_1788, n_1466; wire n_992, n_717, n_1494, n_1780, n_714, n_1784, n_1162, n_715, n_8, n_9; wire n_1164, n_4, n_5, n_6, n_7, n_0, n_1, n_2, n_3, n_1564; wire n_1608, n_710, n_1602, n_1600, n_1182, n_1606, n_1804, n_1806, n_1800, n_1802; wire n_1714, n_549, n_548, n_543, n_542, n_541, n_540, n_545, n_544, n_1114; wire n_1112, n_1110, n_1746, n_1710, n_1118, n_712, n_646, n_1284, n_1744, n_1282; wire n_1712, n_148, n_146, n_144, n_750, n_1742, n_756, n_1100, n_248, n_244; wire n_622, n_246, n_247, n_240, n_241, n_242, n_620, n_193, n_392, n_393; wire n_390, n_396, n_397, n_394, n_2006, n_662, n_666, n_664, n_665, n_1329; wire n_668, n_1168, n_1328, n_433, n_432, n_1020, n_1348, n_437, n_436, n_768; wire n_439, n_438, n_1346, n_1344, n_23, n_22, n_21, n_20, n_27, n_26; wire n_25, n_24, n_802, n_803, n_29, n_28, n_804, n_805, n_1034, n_1326; wire n_326, n_324, n_322, n_488, n_896, n_486, n_898, n_484, n_485, n_482; wire n_483, n_480, n_328, n_1236, n_1234, n_1232, n_1230, n_1238, n_936, n_1481; wire n_1482, n_932, n_930, n_1336, n_1720, n_1334, n_1722, n_1724, n_938, n_1726; wire n_96, n_97, n_94, n_95, n_90, n_98, n_780, n_1736, n_1022, n_1158; wire n_1656, n_1152, n_1026, n_1156, n_1658, n_1154, n_1438, n_108, n_1048, n_1858; wire n_1856, n_1028, n_1850, n_590, n_619, n_618, n_596, n_597, n_598, n_599; wire n_611, n_610, n_615, n_614, n_1094, n_1432, n_538, n_114, n_539, n_112; wire n_298, n_297, n_296, n_294, n_293, n_292, n_290, n_1556, n_1540, n_509; wire n_508, n_507, n_506, n_505, n_504, n_501, n_500, n_1578, n_276, n_378; wire n_270, n_272, n_374, n_1572, n_370, n_278, n_372, n_1486, n_449, n_688; wire n_689, n_686, n_687, n_680, n_681, n_682, n_683, n_801, n_1470, n_200; wire n_202, n_751, n_204, n_754, n_208, n_758, n_1472, n_1372, n_441, n_1374; wire n_1098, n_1476, n_1488, n_1016, n_872, n_870, n_1078, n_874, n_972, n_1074; wire n_970, n_878, n_976, n_974, n_58, n_59, n_1148, n_52, n_53, n_50; wire n_51, n_56, n_57, n_54, n_55, n_477, n_476, n_475, n_474, n_473; wire n_472, n_471, n_470, n_656, n_1474; assign n_0 = A[0]; assign n_1 = A[0]; assign n_2 = A[1]; assign n_3 = A[1]; assign n_4 = A[2]; assign n_5 = A[2]; assign n_6 = A[3]; assign n_7 = A[3]; assign n_8 = A[4]; assign n_9 = A[4]; assign n_10 = A[5]; assign n_11 = A[5]; assign n_12 = A[6]; assign n_13 = A[6]; assign n_14 = A[7]; assign n_15 = A[7]; assign n_16 = A[8]; assign n_17 = A[8]; assign n_18 = A[9]; assign n_19 = A[9]; assign n_20 = A[10]; assign n_21 = A[10]; assign n_22 = A[11]; assign n_23 = A[11]; assign n_24 = B[0]; assign n_25 = B[0]; assign n_26 = B[1]; assign n_27 = B[1]; assign n_28 = B[2]; assign n_29 = B[2]; assign n_30 = B[3]; assign n_31 = B[3]; assign n_32 = B[4]; assign n_33 = B[4]; assign n_34 = B[5]; assign n_35 = B[5]; assign n_36 = B[6]; assign n_37 = B[6]; assign n_38 = B[7]; assign n_39 = B[7]; assign n_40 = B[8]; assign n_41 = B[8]; assign n_42 = B[9]; assign n_43 = B[9]; assign n_44 = B[10]; assign n_45 = B[10]; assign n_46 = B[11]; assign n_47 = B[11]; assign n_48 = n_0 & n_44; assign n_49 = n_48; assign n_50 = n_2 & n_26; assign n_51 = n_50; assign n_52 = ~(n_4 | n_20 | n_0); assign n_53 = n_52; assign n_54 = ~(n_6 | n_24); assign n_55 = n_54; assign n_56 = n_34 & n_24; assign n_57 = n_56; assign n_58 = ~(n_55 & n_28); assign n_59 = n_58; assign n_60 = ~(n_2 & n_24 & n_0); assign n_64 = ~(n_14 | n_54 | n_59); assign n_65 = n_64; assign n_66 = ~(n_16 | n_24 | n_42); assign n_67 = n_66; assign n_68 = n_18 & n_66; assign n_72 = n_20 & n_24; assign n_73 = n_72; assign n_76 = n_53 & n_12; assign n_80 = n_65 & n_14; assign n_81 = n_80; assign n_86 = n_49 & n_76; assign n_87 = n_86; assign n_90 = n_67 & n_86; assign n_94 = n_14 & n_26; assign n_95 = n_94; assign n_96 = n_16 & n_26; assign n_97 = n_96; assign n_98 = n_18 & n_26; assign n_100 = n_20 & n_26; assign n_101 = n_100; assign n_102 = n_22 & n_26; assign n_108 = n_2 & n_90; assign n_112 = n_34 & n_86; assign n_113 = n_112; assign n_114 = n_8 & n_28; assign n_120 = n_97 & n_28; assign n_122 = n_14 & n_28; assign n_124 = n_101 & n_28; assign n_128 = n_18 & n_28; assign n_129 = n_128; assign n_130 = n_20 & n_28; assign n_132 = n_22 & n_28; assign n_136 = n_2 & n_30; assign n_144 = n_8 & n_30; assign n_146 = n_10 & n_12; assign n_148 = n_73 & n_30; assign n_152 = n_14 & n_30; assign n_154 = n_16 & n_30; assign n_155 = n_154; assign n_156 = n_18 & n_30; assign n_158 = n_20 & n_30; assign n_162 = n_22 & n_30; assign n_164 = n_65 & n_32; assign n_165 = n_164; assign n_166 = n_2 & n_164; assign n_170 = n_155 & n_8; assign n_171 = n_170; assign n_174 = n_73 & n_32; assign n_176 = n_10 & n_32; assign n_178 = n_12 & n_32; assign n_180 = n_14 & n_32; assign n_182 = n_16 & n_32; assign n_186 = n_18 & n_32; assign n_188 = n_20 & n_32; assign n_190 = n_22 & n_32; assign n_192 = n_0 & n_166; assign n_193 = n_192; assign n_200 = n_6 & n_34; assign n_202 = n_51 & n_32; assign n_204 = n_10 & n_34; assign n_208 = n_12 & n_34; assign n_210 = n_14 & n_34; assign n_212 = n_16 & n_34; assign n_214 = n_18 & n_34; assign n_216 = n_20 & n_34; assign n_220 = n_22 & n_34; assign n_226 = n_4 & n_36; assign n_227 = n_226; assign n_230 = n_6 & n_36; assign n_232 = n_8 & n_36; assign n_234 = n_10 & n_36; assign n_236 = n_12 & n_36; assign n_238 = n_14 & n_36; assign n_240 = n_193 & n_54; assign n_241 = n_240; assign n_242 = n_16 & n_36; assign n_244 = n_18 & n_36; assign n_246 = n_20 & n_36; assign n_247 = n_246; assign n_248 = n_22 & n_36; assign n_250 = ~(n_95 | n_38 | n_0); assign n_254 = n_2 & n_38; assign n_256 = n_4 & n_254; assign n_257 = n_256; assign n_258 = n_6 & n_38; assign n_260 = n_8 & n_38; assign n_264 = n_10 & n_38; assign n_266 = n_12 & n_38; assign n_268 = n_14 & n_38; assign n_270 = n_16 & n_38; assign n_272 = n_18 & n_38; assign n_276 = n_20 & n_38; assign n_278 = n_22 & n_38; assign n_280 = n_0 & n_102; assign n_281 = n_280; assign n_282 = n_2 & n_40; assign n_284 = n_4 & n_40; assign n_288 = n_6 & n_40; assign n_290 = n_8 & n_40; assign n_292 = n_10 & n_40; assign n_293 = n_292; assign n_294 = n_12 & n_40; MUX2X1 tmp174(.Y(n_296), .A(n_171), .B(n_278), .S(n_241)); assign n_297 = n_296; assign n_298 = n_14 & n_40; assign n_300 = n_16 & n_40; assign n_302 = n_18 & n_40; assign n_304 = n_20 & n_40; assign n_306 = n_22 & n_40; assign n_307 = n_306; assign n_310 = n_22 & n_42; assign n_312 = n_2 & n_42; assign n_314 = n_4 & n_42; assign n_316 = n_6 & n_42; assign n_318 = n_8 & n_42; assign n_322 = n_10 & n_42; assign n_324 = n_12 & n_42; assign n_326 = n_14 & n_42; assign n_328 = n_16 & n_42; assign n_329 = n_328; assign n_330 = n_18 & n_42; assign n_334 = n_20 & n_42; assign n_336 = n_22 & n_42; assign n_338 = n_0 & n_44; assign n_340 = n_2 & n_44; assign n_344 = n_4 & n_44; assign n_345 = n_344; assign n_346 = n_6 & n_44; assign n_348 = n_8 & n_44; assign n_350 = n_10 & n_44; assign n_352 = n_12 & n_44; assign n_356 = n_14 & n_44; assign n_358 = n_16 & n_44; assign n_360 = n_18 & n_44; assign n_362 = n_20 & n_44; assign n_364 = n_22 & n_44; assign n_368 = n_0 & n_46; assign n_370 = n_2 & n_46; assign n_372 = n_4 & n_46; assign n_374 = n_6 & n_46; assign n_378 = n_8 & n_46; assign n_380 = n_10 & n_46; assign n_382 = n_12 & n_46; assign n_384 = n_14 & n_46; assign n_386 = n_16 & n_46; assign n_390 = n_18 & n_46; assign n_392 = n_20 & n_46; assign n_393 = n_392; assign n_394 = n_22 & n_46; HAX1 tmp221(.YS(n_396), .YC(n_397), .A(n_50), .B(n_76)); FAX1 tmp222(.YS(n_402), .YC(n_403), .A(n_54), .B(n_242), .C(n_108)); assign n_404 = ~(n_345 & n_114); assign n_405 = n_404; assign n_406 = n_60; FAX1 tmp226(.YS(n_414), .YC(n_415), .A(n_87), .B(n_94), .C(n_120)); FAX1 tmp227(.YS(n_416), .YC(n_417), .A(n_68), .B(n_96), .C(n_122)); FAX1 tmp228(.YS(n_418), .YC(n_419), .A(n_72), .B(n_98), .C(n_124)); assign n_424 = n_102 & n_130; HAX1 tmp230(.YS(n_426), .YC(n_427), .A(n_102), .B(n_130)); HAX1 tmp231(.YS(n_428), .YC(n_429), .A(n_136), .B(n_164)); FAX1 tmp232(.YS(n_432), .YC(n_433), .A(n_297), .B(n_374), .C(n_307)); MUX2X1 tmp233(.Y(n_436), .A(n_144), .B(n_170), .S(n_247)); assign n_437 = n_436; FAX1 tmp235(.YS(n_438), .YC(n_439), .A(n_146), .B(n_174), .C(n_200)); FAX1 tmp236(.YS(n_440), .YC(n_441), .A(n_148), .B(n_176), .C(n_202)); FAX1 tmp237(.YS(n_442), .YC(n_443), .A(n_152), .B(n_178), .C(n_204)); FAX1 tmp238(.YS(n_446), .YC(n_447), .A(n_154), .B(n_180), .C(n_208)); FAX1 tmp239(.YS(n_448), .YC(n_449), .A(n_156), .B(n_182), .C(n_210)); FAX1 tmp240(.YS(n_450), .YC(n_451), .A(n_158), .B(n_186), .C(n_212)); FAX1 tmp241(.YS(n_452), .YC(n_453), .A(n_162), .B(n_188), .C(n_214)); assign n_454 = n_190 & n_216; assign n_458 = n_190 ^ n_216; HAX1 tmp244(.YS(n_460), .YC(n_461), .A(n_193), .B(n_250)); assign n_462 = ~(n_113 & n_50); assign n_463 = n_462; FAX1 tmp247(.YS(n_464), .YC(n_465), .A(n_230), .B(n_256), .C(n_282)); FAX1 tmp248(.YS(n_466), .YC(n_467), .A(n_232), .B(n_258), .C(n_284)); FAX1 tmp249(.YS(n_470), .YC(n_471), .A(n_234), .B(n_260), .C(n_288)); FAX1 tmp250(.YS(n_472), .YC(n_473), .A(n_236), .B(n_264), .C(n_290)); FAX1 tmp251(.YS(n_474), .YC(n_475), .A(n_238), .B(n_266), .C(n_292)); FAX1 tmp252(.YS(n_476), .YC(n_477), .A(n_242), .B(n_268), .C(n_294)); FAX1 tmp253(.YS(n_480), .YC(n_481), .A(n_244), .B(n_270), .C(n_298)); FAX1 tmp254(.YS(n_482), .YC(n_483), .A(n_246), .B(n_272), .C(n_300)); FAX1 tmp255(.YS(n_484), .YC(n_485), .A(n_248), .B(n_276), .C(n_302)); assign n_486 = n_278 & n_304; assign n_488 = n_278 ^ n_304; HAX1 tmp258(.YS(n_492), .YC(n_493), .A(n_312), .B(n_338)); FAX1 tmp259(.YS(n_494), .YC(n_495), .A(n_314), .B(n_340), .C(n_368)); FAX1 tmp260(.YS(n_496), .YC(n_497), .A(n_316), .B(n_344), .C(n_370)); FAX1 tmp261(.YS(n_498), .YC(n_499), .A(n_318), .B(n_346), .C(n_372)); FAX1 tmp262(.YS(n_500), .YC(n_501), .A(n_322), .B(n_348), .C(n_374)); FAX1 tmp263(.YS(n_504), .YC(n_505), .A(n_324), .B(n_350), .C(n_378)); FAX1 tmp264(.YS(n_506), .YC(n_507), .A(n_326), .B(n_352), .C(n_380)); FAX1 tmp265(.YS(n_508), .YC(n_509), .A(n_328), .B(n_356), .C(n_382)); FAX1 tmp266(.YS(n_510), .YC(n_511), .A(n_330), .B(n_358), .C(n_384)); FAX1 tmp267(.YS(n_514), .YC(n_515), .A(n_334), .B(n_360), .C(n_386)); FAX1 tmp268(.YS(n_516), .YC(n_517), .A(n_336), .B(n_362), .C(n_390)); assign n_518 = n_364 & n_392; assign n_520 = n_364 ^ n_392; HAX1 tmp271(.YS(n_522), .YC(n_523), .A(n_247), .B(n_192)); assign n_526 = n_402; assign n_527 = n_526; assign n_528 = n_57 & n_403; assign n_529 = n_528; assign n_530 = ~(n_406 | n_405); assign n_531 = n_530; assign n_538 = ~n_414; assign n_539 = n_538; FAX1 tmp280(.YS(n_540), .YC(n_541), .A(n_416), .B(n_415), .C(n_440)); FAX1 tmp281(.YS(n_542), .YC(n_543), .A(n_418), .B(n_417), .C(n_442)); FAX1 tmp282(.YS(n_544), .YC(n_545), .A(n_257), .B(n_419), .C(n_446)); FAX1 tmp283(.YS(n_548), .YC(n_549), .A(n_426), .B(n_266), .C(n_448)); FAX1 tmp284(.YS(n_550), .YC(n_551), .A(n_132), .B(n_424), .C(n_450)); HAX1 tmp285(.YS(n_554), .YC(n_555), .A(n_433), .B(n_460)); FAX1 tmp286(.YS(n_556), .YC(n_557), .A(n_437), .B(n_462), .C(n_461)); FAX1 tmp287(.YS(n_560), .YC(n_561), .A(n_439), .B(n_464), .C(n_463)); FAX1 tmp288(.YS(n_562), .YC(n_563), .A(n_441), .B(n_466), .C(n_465)); FAX1 tmp289(.YS(n_564), .YC(n_565), .A(n_443), .B(n_470), .C(n_467)); FAX1 tmp290(.YS(n_566), .YC(n_567), .A(n_447), .B(n_472), .C(n_471)); FAX1 tmp291(.YS(n_568), .YC(n_569), .A(n_449), .B(n_474), .C(n_473)); FAX1 tmp292(.YS(n_572), .YC(n_573), .A(n_451), .B(n_476), .C(n_475)); FAX1 tmp293(.YS(n_574), .YC(n_575), .A(n_453), .B(n_480), .C(n_477)); FAX1 tmp294(.YS(n_576), .YC(n_577), .A(n_454), .B(n_482), .C(n_481)); assign n_578 = n_484 & n_483; assign n_580 = n_484 ^ n_483; assign n_584 = n_488 & n_485; assign n_586 = n_488 ^ n_485; assign n_588 = n_306 & n_486; assign n_590 = n_306 ^ n_486; HAX1 tmp301(.YS(n_596), .YC(n_597), .A(n_528), .B(n_527)); FAX1 tmp302(.YS(n_598), .YC(n_599), .A(n_530), .B(n_529), .C(n_429)); FAX1 tmp303(.YS(n_600), .YC(n_601), .A(n_281), .B(n_531), .C(n_227)); FAX1 tmp304(.YS(n_602), .YC(n_603), .A(n_329), .B(n_48), .C(n_554)); assign n_606 = ~n_538; assign n_607 = n_606; FAX1 tmp307(.YS(n_608), .YC(n_609), .A(n_540), .B(n_539), .C(n_560)); FAX1 tmp308(.YS(n_610), .YC(n_611), .A(n_542), .B(n_541), .C(n_562)); FAX1 tmp309(.YS(n_612), .YC(n_613), .A(n_544), .B(n_543), .C(n_564)); FAX1 tmp310(.YS(n_614), .YC(n_615), .A(n_548), .B(n_545), .C(n_566)); FAX1 tmp311(.YS(n_618), .YC(n_619), .A(n_550), .B(n_549), .C(n_568)); FAX1 tmp312(.YS(n_620), .YC(n_621), .A(n_452), .B(n_551), .C(n_572)); assign n_622 = n_458 & n_574; assign n_624 = n_458 ^ n_574; assign n_628 = n_220 & n_576; assign n_630 = n_220 ^ n_576; HAX1 tmp317(.YS(n_632), .YC(n_633), .A(n_557), .B(n_310)); HAX1 tmp318(.YS(n_634), .YC(n_635), .A(n_561), .B(n_492)); FAX1 tmp319(.YS(n_636), .YC(n_637), .A(n_563), .B(n_494), .C(n_493)); FAX1 tmp320(.YS(n_640), .YC(n_641), .A(n_565), .B(n_496), .C(n_495)); FAX1 tmp321(.YS(n_642), .YC(n_643), .A(n_567), .B(n_498), .C(n_497)); FAX1 tmp322(.YS(n_644), .YC(n_645), .A(n_569), .B(n_500), .C(n_499)); FAX1 tmp323(.YS(n_646), .YC(n_647), .A(n_573), .B(n_504), .C(n_501)); FAX1 tmp324(.YS(n_648), .YC(n_649), .A(n_575), .B(n_506), .C(n_505)); FAX1 tmp325(.YS(n_652), .YC(n_653), .A(n_577), .B(n_508), .C(n_507)); FAX1 tmp326(.YS(n_654), .YC(n_655), .A(n_578), .B(n_510), .C(n_509)); FAX1 tmp327(.YS(n_656), .YC(n_657), .A(n_584), .B(n_514), .C(n_511)); FAX1 tmp328(.YS(n_658), .YC(n_659), .A(n_588), .B(n_516), .C(n_515)); assign n_662 = n_520 & n_517; HAX1 tmp330(.YS(n_664), .YC(n_665), .A(n_520), .B(n_517)); assign n_666 = n_394 & n_518; assign n_668 = n_394 ^ n_518; HAX1 tmp333(.YS(n_674), .YC(n_675), .A(n_345), .B(n_597)); HAX1 tmp334(.YS(n_676), .YC(n_677), .A(n_497), .B(n_599)); assign n_678 = n_602 | n_601; assign n_679 = n_678; FAX1 tmp337(.YS(n_680), .YC(n_681), .A(n_606), .B(n_603), .C(n_555)); FAX1 tmp338(.YS(n_682), .YC(n_683), .A(n_608), .B(n_607), .C(n_632)); FAX1 tmp339(.YS(n_686), .YC(n_687), .A(n_610), .B(n_609), .C(n_634)); FAX1 tmp340(.YS(n_688), .YC(n_689), .A(n_612), .B(n_611), .C(n_636)); FAX1 tmp341(.YS(n_690), .YC(n_691), .A(n_614), .B(n_613), .C(n_640)); FAX1 tmp342(.YS(n_692), .YC(n_693), .A(n_618), .B(n_615), .C(n_642)); FAX1 tmp343(.YS(n_696), .YC(n_697), .A(n_620), .B(n_619), .C(n_644)); FAX1 tmp344(.YS(n_698), .YC(n_699), .A(n_624), .B(n_621), .C(n_646)); FAX1 tmp345(.YS(n_700), .YC(n_701), .A(n_630), .B(n_622), .C(n_648)); FAX1 tmp346(.YS(n_702), .YC(n_703), .A(n_580), .B(n_628), .C(n_652)); assign n_704 = n_586 & n_654; assign n_708 = n_586 ^ n_654; assign n_710 = n_590 & n_656; assign n_712 = n_590 ^ n_656; HAX1 tmp351(.YS(n_714), .YC(n_715), .A(n_674), .B(n_370)); HAX1 tmp352(.YS(n_716), .YC(n_717), .A(n_165), .B(n_675)); HAX1 tmp353(.YS(n_720), .YC(n_721), .A(n_678), .B(n_677)); HAX1 tmp354(.YS(n_722), .YC(n_723), .A(n_680), .B(n_679)); HAX1 tmp355(.YS(n_724), .YC(n_725), .A(n_57), .B(n_681)); FAX1 tmp356(.YS(n_726), .YC(n_727), .A(n_686), .B(n_683), .C(n_633)); FAX1 tmp357(.YS(n_730), .YC(n_731), .A(n_688), .B(n_687), .C(n_635)); FAX1 tmp358(.YS(n_732), .YC(n_733), .A(n_690), .B(n_689), .C(n_637)); FAX1 tmp359(.YS(n_734), .YC(n_735), .A(n_692), .B(n_691), .C(n_641)); FAX1 tmp360(.YS(n_736), .YC(n_737), .A(n_696), .B(n_693), .C(n_643)); FAX1 tmp361(.YS(n_738), .YC(n_739), .A(n_698), .B(n_697), .C(n_645)); FAX1 tmp362(.YS(n_742), .YC(n_743), .A(n_700), .B(n_699), .C(n_647)); FAX1 tmp363(.YS(n_744), .YC(n_745), .A(n_702), .B(n_701), .C(n_649)); FAX1 tmp364(.YS(n_746), .YC(n_747), .A(n_708), .B(n_703), .C(n_653)); FAX1 tmp365(.YS(n_748), .YC(n_749), .A(n_712), .B(n_704), .C(n_655)); FAX1 tmp366(.YS(n_750), .YC(n_751), .A(n_658), .B(n_710), .C(n_657)); assign n_754 = n_664 & n_659; assign n_756 = n_664 ^ n_659; assign n_758 = n_668 & n_662; assign n_760 = n_668 ^ n_662; HAX1 tmp371(.YS(n_764), .YC(n_765), .A(n_716), .B(n_715)); HAX1 tmp372(.YS(n_766), .YC(n_767), .A(n_720), .B(n_717)); HAX1 tmp373(.YS(n_768), .YC(n_769), .A(n_722), .B(n_721)); HAX1 tmp374(.YS(n_770), .YC(n_771), .A(n_724), .B(n_723)); HAX1 tmp375(.YS(n_772), .YC(n_773), .A(n_726), .B(n_725)); HAX1 tmp376(.YS(n_776), .YC(n_777), .A(n_129), .B(n_727)); HAX1 tmp377(.YS(n_778), .YC(n_779), .A(n_732), .B(n_731)); HAX1 tmp378(.YS(n_780), .YC(n_781), .A(n_734), .B(n_733)); HAX1 tmp379(.YS(n_782), .YC(n_783), .A(n_736), .B(n_735)); HAX1 tmp380(.YS(n_784), .YC(n_785), .A(n_738), .B(n_737)); HAX1 tmp381(.YS(n_788), .YC(n_789), .A(n_742), .B(n_739)); HAX1 tmp382(.YS(n_790), .YC(n_791), .A(n_744), .B(n_743)); HAX1 tmp383(.YS(n_792), .YC(n_793), .A(n_746), .B(n_745)); HAX1 tmp384(.YS(n_794), .YC(n_795), .A(n_748), .B(n_747)); HAX1 tmp385(.YS(n_798), .YC(n_799), .A(n_750), .B(n_749)); HAX1 tmp386(.YS(n_800), .YC(n_801), .A(n_756), .B(n_751)); HAX1 tmp387(.YS(n_802), .YC(n_803), .A(n_760), .B(n_754)); HAX1 tmp388(.YS(n_804), .YC(n_805), .A(n_666), .B(n_758)); assign n_834 = n_155 & n_765; assign n_846 = n_768 & n_834; assign n_848 = n_768 & n_767; assign n_850 = n_769 | n_848; assign n_852 = n_850 | n_846; assign n_858 = n_770 & n_768; assign n_864 = n_858 & n_834; assign n_868 = n_81 & n_848; assign n_870 = n_2 & n_769; assign n_872 = n_771 | n_870; assign n_874 = n_868 | n_864; assign n_878 = n_872 | n_874; assign n_892 = n_772 & n_864; assign n_894 = n_687 & n_848; assign n_896 = n_772 & n_870; assign n_898 = n_772 & n_771; assign n_902 = n_773 ^ n_898; assign n_904 = n_896 | n_894; assign n_905 = n_904; assign n_906 = n_902 | n_904; assign n_908 = n_906 | n_892; assign n_914 = n_776 & n_772; assign n_926 = n_914 & n_864; assign n_928 = n_776 & n_894; assign n_930 = n_914 & n_870; assign n_932 = n_776 & n_898; assign n_936 = n_776 & n_773; assign n_938 = n_777 | n_936; assign n_940 = n_932 | n_930; assign n_942 = n_928 | n_926; assign n_946 = n_938 | n_940; assign n_948 = n_946 | n_942; assign n_950 = n_778 & n_776; assign n_964 = n_778 & n_914; assign n_966 = n_964 & n_864; assign n_970 = n_950 & n_894; assign n_972 = n_778 & n_930; assign n_974 = n_950 & n_898; assign n_976 = n_778 & n_936; assign n_980 = n_778 & n_777; assign n_982 = n_779 | n_980; assign n_984 = n_976 | n_974; assign n_986 = n_972 | n_970; assign n_988 = n_982 | n_984; assign n_992 = n_986 | n_966; assign n_994 = n_988 | n_992; assign n_1000 = n_780 & n_778; assign n_1014 = n_1000 & n_914; assign n_1016 = n_1014 & n_864; assign n_1018 = n_780 & n_950; assign n_1020 = n_1018 & n_894; assign n_1022 = n_1000 & n_930; assign n_1026 = n_780 & n_974; assign n_1028 = n_1000 & n_936; assign n_1030 = n_780 & n_980; assign n_1032 = n_780 & n_779; assign n_1034 = n_781 | n_1032; assign n_1038 = n_1030 | n_1028; assign n_1040 = n_1026 | n_1022; assign n_1042 = n_1020 | n_1016; assign n_1044 = n_1034 | n_1038; assign n_1048 = n_1040 | n_1042; assign n_1050 = n_1044 | n_1048; assign n_1052 = n_782 & n_780; assign n_1074 = n_782 & n_1016; assign n_1078 = n_293 & n_894; assign n_1080 = n_782 & n_1000; assign n_1084 = n_1080 & n_930; assign n_1086 = n_1052 & n_974; assign n_1088 = n_782 & n_1028; assign n_1090 = n_1052 & n_980; assign n_1094 = n_782 & n_1032; assign n_1096 = n_782 & n_781; assign n_1097 = n_1096; assign n_1098 = n_783 | n_1096; assign n_1100 = n_1094 | n_1090; assign n_1102 = n_1088 | n_1086; assign n_1106 = n_1084 | n_1078; assign n_1108 = n_1098 | n_1100; assign n_1110 = n_1102 | n_1106; assign n_1112 = n_1108 | n_1110; assign n_1114 = n_1112 | n_1074; assign n_1118 = n_784 & n_782; assign n_1140 = n_1118 & n_1016; assign n_1142 = n_784 & n_1078; assign n_1146 = n_619 & n_930; assign n_1148 = n_784 & n_1052; assign n_1152 = n_1148 & n_974; assign n_1154 = n_1118 & n_1028; assign n_1156 = n_784 & n_1090; assign n_1158 = n_1118 & n_1032; assign n_1162 = n_784 & n_1096; assign n_1164 = n_784 & n_783; assign n_1166 = n_785 | n_1164; assign n_1168 = n_1162 | n_1158; assign n_1170 = n_1156 | n_1154; assign n_1174 = n_1152 | n_1146; assign n_1176 = n_1142 | n_1140; assign n_1178 = n_1166 | n_1168; assign n_1180 = n_1170 | n_1174; assign n_1182 = n_1178 | n_1180; assign n_1186 = n_1182 | n_1176; assign n_1188 = n_788 & n_784; assign n_1210 = n_677 & n_1016; assign n_1214 = n_788 & n_1146; assign n_1216 = n_1188 & n_1052; assign n_1220 = n_1216 & n_974; assign n_1222 = n_788 & n_1118; assign n_1224 = n_1222 & n_1028; assign n_1226 = n_1188 & n_1090; assign n_1230 = n_788 & n_1158; assign n_1232 = n_1188 & n_1096; assign n_1234 = n_788 & n_1164; assign n_1236 = n_788 & n_785; assign n_1238 = n_789 | n_1236; assign n_1242 = n_1234 | n_1232; assign n_1244 = n_1230 | n_1226; assign n_1246 = n_1224 | n_1220; assign n_1248 = n_1214; assign n_1249 = n_1248; assign n_1250 = n_1238 | n_1242; assign n_1254 = n_1244 | n_1246; assign n_1256 = n_1248 | n_1210; assign n_1257 = n_1256; assign n_1258 = n_1250 | n_1254; assign n_1260 = n_1258 | n_1256; assign n_1266 = n_790 & n_788; assign n_1282 = n_1266 & n_1118; assign n_1284 = n_1282 & n_1016; assign n_1290 = n_499 & n_1078; assign n_1292 = n_1266 & n_1146; assign n_1294 = n_790 & n_1220; assign n_1295 = n_1294; assign n_1298 = n_1266 & n_1118; assign n_1300 = n_1298 & n_1028; assign n_1302 = n_790 & n_1188; assign n_1304 = n_1302 & n_1090; assign n_1306 = n_1266 & n_1158; assign n_1310 = n_790 & n_1232; assign n_1312 = n_1266 & n_1164; assign n_1314 = n_790 & n_1236; assign n_1316 = n_790 & n_789; assign n_1318 = n_791 | n_1316; assign n_1322 = n_1314 | n_1312; assign n_1324 = n_1310 | n_1306; assign n_1326 = n_1304 | n_1300; assign n_1328 = n_1294 | n_1292; assign n_1329 = n_1328; assign n_1330 = n_1290 | n_1284; assign n_1334 = n_1318 | n_1322; assign n_1336 = n_1324 | n_1326; assign n_1338 = n_1329 | n_1330; assign n_1340 = n_1334 | n_1336; assign n_1344 = n_1340 | n_1338; assign n_1346 = n_792 & n_790; assign n_1348 = n_905 & n_146; assign n_1364 = n_792 & n_1282; assign n_1368 = n_1364 & n_1016; assign n_1372 = n_113 & n_1078; assign n_1374 = n_792 & n_850; assign n_1378 = n_1374 & n_1146; assign n_1380 = n_1346 & n_1220; assign n_1382 = n_792 & n_1300; assign n_1384 = n_1346 & n_1188; assign n_1386 = n_1384 & n_1090; assign n_1390 = n_792 & n_1266; assign n_1392 = n_1390 & n_1158; assign n_1394 = n_1346 & n_1232; assign n_1396 = n_792 & n_1312; assign n_1398 = n_1346 & n_1236; assign n_1402 = n_792 & n_1316; assign n_1404 = n_792 & n_791; assign n_1406 = n_793 | n_1404; assign n_1408 = n_1402 | n_1398; assign n_1412 = n_1396 | n_1394; assign n_1414 = n_1392 | n_1386; assign n_1416 = n_1382 | n_1380; assign n_1418 = n_1378 | n_1372; assign n_1420 = n_1406 | n_1408; assign n_1424 = n_1412 | n_1414; assign n_1426 = n_1416 | n_1418; assign n_1428 = n_1420 | n_1424; assign n_1430 = n_1426 | n_1368; assign n_1432 = n_1428 | n_1430; assign n_1438 = n_794 & n_792; assign n_1440 = n_1438 & n_1266; assign n_1454 = n_1438 & n_1282; assign n_1458 = n_1454 & n_1016; assign n_1460 = n_449 & n_1348; assign n_1462 = n_1460 & n_120; assign n_1464 = n_1438 & n_1266; assign n_1466 = n_1464 & n_1146; assign n_1470 = n_794 & n_1346; assign n_1472 = n_1470 & n_1220; assign n_1474 = n_1438 & n_1300; assign n_1476 = n_794 & n_1386; assign n_1480 = n_1438 & n_1266; assign n_1481 = n_1480; assign n_1482 = n_1480 & n_1158; assign n_1484 = n_794 & n_1346; assign n_1486 = n_1484 & n_1232; assign n_1488 = n_1438 & n_1312; assign n_1492 = n_794 & n_1398; assign n_1494 = n_1438 & n_1316; assign n_1496 = n_794 & n_1404; assign n_1498 = n_794 & n_793; assign n_1500 = n_795 | n_1498; assign n_1504 = n_1496 | n_1494; assign n_1506 = n_1492 | n_1488; assign n_1508 = n_1486 | n_1482; assign n_1510 = n_1476 | n_1474; assign n_1514 = n_1472 | n_1466; assign n_1516 = n_1462 | n_1458; assign n_1518 = n_1500 | n_1504; assign n_1520 = n_1506 | n_1508; assign n_1522 = n_1510 | n_1514; assign n_1526 = n_1518 | n_1520; assign n_1528 = n_1522 | n_1516; assign n_1530 = n_1526 | n_1528; assign n_1532 = n_798 & n_794; assign n_1540 = n_1532 & n_1346; assign n_1550 = n_657 & n_1438; assign n_1552 = n_1550 & n_1282; assign n_1554 = n_1552 & n_1016; assign n_1556 = ~(n_1481 & n_1348); assign n_1560 = n_1556 & n_1078; assign n_1564 = n_393 & n_1146; assign n_1566 = n_1532 & n_1346; assign n_1568 = n_1566 & n_1220; assign n_1572 = n_798 & n_1438; assign n_1574 = n_1572 & n_1300; assign n_1576 = n_1532 & n_1386; assign n_1578 = n_798 & n_1482; assign n_1580 = n_1532 & n_1346; assign n_1584 = n_1580 & n_1232; assign n_1586 = n_798 & n_1438; assign n_1588 = n_1586 & n_1312; assign n_1590 = n_1532 & n_1398; assign n_1594 = n_798 & n_1494; assign n_1596 = n_1532 & n_1404; assign n_1598 = n_798 & n_1498; assign n_1600 = n_798 & n_795; assign n_1602 = n_799 | n_1600; assign n_1606 = n_1598 | n_1596; assign n_1608 = n_1594 | n_1590; assign n_1610 = n_1588 | n_1584; assign n_1612 = n_1578 | n_1576; assign n_1614 = n_1574 | n_1568; assign n_1618 = n_1564 | n_1560; assign n_1620 = n_1602 | n_1606; assign n_1622 = n_1608 | n_1610; assign n_1624 = n_1612 | n_1614; assign n_1628 = n_1618 | n_1554; assign n_1630 = n_1620 | n_1622; assign n_1632 = n_1624 | n_1628; assign n_1634 = n_1630 | n_1632; assign n_1642 = n_800 & n_798; assign n_1648 = n_1642 & n_1438; assign n_1656 = n_1249; assign n_1658 = n_1656 & n_1016; assign n_1662 = n_1295 & n_424; assign n_1664 = n_1662 & n_1348; assign n_1666 = n_1664 & n_1078; assign n_1668 = n_1642 & n_1440; assign n_1670 = n_1668 & n_1146; assign n_1674 = n_800 & n_1540; assign n_1676 = n_1674 & n_1220; assign n_1678 = n_1642 & n_1438; assign n_1680 = n_1678 & n_1300; assign n_1682 = n_800 & n_1532; assign n_1686 = n_1682 & n_1386; assign n_1688 = n_1642 & n_1482; assign n_1690 = n_800 & n_1584; assign n_1692 = n_1642 & n_1438; assign n_1696 = n_1692 & n_1312; assign n_1698 = n_800 & n_1532; assign n_1700 = n_1698 & n_1398; assign n_1702 = n_1642 & n_1494; assign n_1704 = n_800 & n_1596; assign n_1708 = n_1642 & n_1498; assign n_1709 = n_1708; assign n_1710 = n_800 & n_1600; assign n_1712 = n_800 & n_799; assign n_1714 = n_801 | n_1712; assign n_1716 = n_1710 | n_1708; assign n_1720 = n_1704 | n_1702; assign n_1722 = n_1700 | n_1696; assign n_1724 = n_1690 | n_1688; assign n_1726 = n_1686 | n_1680; assign n_1730 = n_1676 | n_1670; assign n_1732 = n_1666 | n_1658; assign n_1734 = n_1714 | n_1716; assign n_1736 = n_1720 | n_1722; HAX1 tmp682(.YS(n_1738), .YC(n_1739), .A(n_1724), .B(n_1726)); assign n_1742 = n_1730 ^ n_1732; assign n_1744 = n_1734 | n_1736; assign n_1746 = n_1738 | n_1742; assign n_1748 = n_1744 | n_1746; assign n_1750 = n_802 & n_800; assign n_1772 = n_473 & n_1658; assign n_1780 = n_1257 & n_1078; assign n_1784 = n_18 & n_1440; assign n_1788 = n_1784 & n_1146; assign n_1790 = n_1750 & n_1540; assign n_1792 = n_1790 & n_1220; assign n_1794 = n_802 & n_1648; assign n_1798 = n_1794 & n_1300; assign n_1800 = n_1750 & n_1532; assign n_1802 = n_1800 & n_1386; assign n_1804 = n_802 & n_1642; assign n_1806 = n_1804 & n_1482; assign n_1810 = n_1750 & n_1584; assign n_1812 = n_802 & n_1696; assign n_1814 = n_1750 & n_1532; assign n_1816 = n_1814 & n_1398; assign n_1818 = n_802 & n_1642; assign n_1822 = n_1818 & n_1494; assign n_1824 = n_1750 & n_1596; assign n_1826 = n_802 & n_1708; assign n_1828 = n_1750 & n_1600; assign n_1830 = n_802 & n_1712; assign n_1834 = n_802 & n_801; assign n_1836 = n_803 | n_1834; assign n_1838 = n_1830 | n_1828; assign n_1840 = n_1826 | n_1824; assign n_1844 = n_1822 | n_1816; assign n_1846 = n_1812 | n_1810; assign n_1848 = n_1806 | n_1802; assign n_1850 = n_1798 | n_1792; assign n_1852 = n_1788 | n_1780; assign n_1856 = n_1836 | n_1838; assign n_1858 = n_1840 | n_1844; assign n_1860 = n_1846 | n_1848; assign n_1862 = n_1850 | n_1852; assign n_1864 = n_1856 | n_1858; HAX1 tmp724(.YS(n_1868), .YC(n_1869), .A(n_1860), .B(n_1862)); assign n_1870 = n_1864 | n_1868; assign n_1872 = n_1870 | n_1772; assign n_2006 = n_53 & n_782; assign n_2010 = n_770 ^ n_852; assign n_2014 = n_772 ^ n_878; assign n_2016 = n_776 ^ n_908; assign n_2018 = n_778 ^ n_948; assign n_2020 = n_780 ^ n_994; assign n_2022 = n_782 ^ n_1050; assign n_2026 = n_784 ^ n_1114; assign n_2028 = n_788 ^ n_1186; assign n_2030 = n_790 ^ n_1260; assign n_2032 = n_792 ^ n_1344; assign n_2034 = n_794 ^ n_1432; assign n_2038 = n_798 ^ n_1530; assign n_2040 = n_800 ^ n_1634; assign n_2042 = n_802 ^ n_1748; assign n_2044 = n_804 ^ n_1872; assign O[0] = n_1097; assign O[1] = n_396; assign O[2] = n_522; assign O[3] = n_1232; assign O[4] = n_1709; assign O[5] = n_714; assign O[6] = n_764; assign O[7] = n_2006; assign O[8] = n_657; assign O[9] = n_2010; assign O[10] = n_2014; assign O[11] = n_2016; assign O[12] = n_2018; assign O[13] = n_2020; assign O[14] = n_2022; assign O[15] = n_2026; assign O[16] = n_2028; assign O[17] = n_2030; assign O[18] = n_2032; assign O[19] = n_2034; assign O[20] = n_2038; assign O[21] = n_2040; assign O[22] = n_2042; assign O[23] = n_2044; endmodule