// Library = EvoApprox 12x12 // Circuit = mul12x12_132 // Area (45) = 799 // Delay (45) = 1.380 // Power (45) = 0.46 // MAE = 17763.20000 // MSE = 481409706.80000 // MRE = 18.52 % // WCE = 81251 // WCRE = 5020900 % // EP = 100.0 % module mul12x12_132(A, B, O); input [11:0] A, B; output [23:0] O; wire n_1750, n_1618, n_709, n_708, n_1812, n_1816, n_1500, n_1818, n_1680, n_1688; wire n_310, n_894, n_321, n_1506, n_1106, n_1100, n_1508, n_1108, n_158, n_1294; wire n_1290, n_150, n_152, n_156, n_157, n_1864, n_1862, n_1860, n_328, n_1868; wire n_238, n_230, n_235, n_234, n_725, n_724, n_2034, n_2030, n_2031, n_2032; wire n_1610, n_2038, n_742, n_129, n_551, n_659, n_658, n_657, n_656, n_655; wire n_654, n_121, n_652, n_744, n_1031, n_1030, n_346, n_833, n_832, n_1402; wire n_420, n_348, n_1406, n_18, n_19, n_16, n_17, n_14, n_15, n_12; wire n_13, n_10, n_11, n_1555, n_330, n_334, n_336, n_1530, n_1532, n_1242; wire n_1716, n_1246, n_1338, n_1712, n_1713, n_1248, n_1249, n_1084, n_1488, n_1086; wire n_1087, n_1080, n_1328, n_1498, n_1324, n_1327, n_1326, n_1492, n_1323, n_1322; wire n_84, n_89, n_88, n_1504, n_771, n_1142, n_1144, n_1146, n_1392, n_1396; wire n_1398, n_1828, n_1822, n_777, n_1826, n_1824, n_569, n_568, n_565, n_564; wire n_567, n_566, n_162, n_1428, n_649, n_169, n_168, n_518, n_510, n_511; wire n_514, n_515, n_516, n_517, n_266, n_264, n_265, n_262, n_263, n_300; wire n_302, n_304, n_268, n_306, n_640, n_1420, n_641, n_642, n_1588, n_794; wire n_795, n_600, n_646, n_769, n_768, n_792, n_647, n_760, n_790, n_413; wire n_412, n_791, n_45, n_44, n_47, n_46, n_41, n_40, n_43, n_42; wire n_1040, n_1041, n_1042, n_49, n_48, n_1594, n_1004, n_1736, n_1564, n_464; wire n_465, n_1561, n_1005, n_1586, n_1554, n_1628, n_980, n_1620, n_1622, n_1186; wire n_1181, n_1180, n_1001, n_1748, n_1214, n_1744, n_1690, n_1692, n_1696, n_1698; wire n_1167, n_793, n_1166, n_1870, n_1872, n_1516, n_1517, n_183, n_182, n_1168; wire n_186, n_222, n_220, n_188, n_630, n_224, n_225, n_2022, n_2026, n_2028; wire n_1518, n_648, n_520, n_138, n_139, n_136, n_137, n_134, n_643, n_644; wire n_645, n_130, n_131, n_356, n_458, n_352, n_353, n_350, n_1632, n_451; wire n_450, n_453, n_452, n_1000, n_454, n_358, n_1529, n_734, n_735, n_736; wire n_737, n_730, n_1523, n_1522, n_1520, n_738, n_739, n_1250, n_1700, n_1254; wire n_1256, n_1258, n_1708, n_726, n_1318, n_1090, n_1096, n_1094, n_914, n_1312; wire n_1098, n_1314, n_1316, n_78, n_79, n_74, n_75, n_1906, n_70, n_71; wire n_72, n_73, n_1414, n_1412, n_1176, n_1170, n_1702, n_1178, n_1772, n_1386; wire n_1704, n_1838, n_1734, n_1830, n_1834, n_1837, n_1836, n_578, n_579, n_576; wire n_577, n_574, n_575, n_572, n_573, n_172, n_173, n_176, n_177, n_174; wire n_178, n_179, n_318, n_257, n_256, n_704, n_259, n_258, n_701, n_700; wire n_703, n_702, n_1310, n_1528, n_380, n_382, n_384, n_386, n_2014, n_675; wire n_674, n_676, n_770, n_550, n_772, n_773, n_776, n_128, n_950, n_1052; wire n_400, n_401, n_1424, n_408, n_409, n_30, n_31, n_32, n_33, n_34; wire n_35, n_36, n_37, n_38, n_39, n_1584, n_798, n_799, n_785, n_784; wire n_653, n_113, n_1598, n_120, n_499, n_498, n_495, n_494, n_497, n_496; wire n_780, n_1408, n_1630, n_783, n_1634, n_782, n_292, n_1438, n_1738, n_1032; wire n_1224, n_1590, n_1596, n_789, n_788, n_1404, n_421, n_1642, n_781, n_1840; wire n_1844, n_1846, n_1848, n_586, n_584, n_198, n_628, n_580, n_194, n_195; wire n_196, n_197, n_622, n_620, n_621, n_270, n_103, n_102, n_107, n_106; wire n_362, n_448, n_360, n_1526, n_364, n_442, n_443, n_1011, n_1010, n_1017; wire n_1016, n_1014, n_693, n_692, n_691, n_690, n_697, n_696, n_699, n_698; wire n_2045, n_2044, n_2041, n_2040, n_2042, n_217, n_216, n_743, n_214, n_745; wire n_212, n_747, n_746, n_749, n_748, n_1496, n_218, n_1306, n_1304, n_1301; wire n_1300, n_1266, n_1260, n_1746, n_1062, n_848, n_67, n_66, n_65, n_64; wire n_63, n_62, n_61, n_60, n_1462, n_716, n_1788, n_717, n_1780, n_1162; wire n_8, n_9, n_1164, n_4, n_5, n_6, n_7, n_0, n_1, n_2; wire n_3, n_1608, n_710, n_1602, n_1600, n_1182, n_1606, n_1806, n_1802, n_1714; wire n_1114, n_1112, n_1110, n_1723, n_1710, n_149, n_148, n_145, n_144, n_142; wire n_1288, n_756, n_624, n_625, n_248, n_754, n_718, n_244, n_190, n_246; wire n_712, n_242, n_711, n_588, n_392, n_393, n_390, n_396, n_397, n_394; wire n_662, n_666, n_664, n_668, n_669, n_1022, n_1023, n_431, n_430, n_1026; wire n_436, n_1028, n_1340, n_1346, n_1344, n_23, n_22, n_21, n_20, n_27; wire n_26, n_25, n_24, n_802, n_803, n_29, n_28, n_804, n_805, n_1494; wire n_326, n_324, n_1505, n_322, n_488, n_320, n_486, n_898, n_484, n_485; wire n_482, n_483, n_480, n_481, n_1236, n_1234, n_1232, n_1238, n_1480, n_1482; wire n_930, n_1336, n_1720, n_1334, n_1722, n_1724, n_1330, n_1726, n_96, n_97; wire n_94, n_95, n_92, n_93, n_90, n_91, n_1158, n_1651, n_1650, n_1156; wire n_1154, n_1155, n_1073, n_1048, n_1858, n_1856, n_1852, n_1850, n_590, n_619; wire n_618, n_594, n_595, n_596, n_597, n_1721, n_615, n_614, n_1049, n_808; wire n_1432, n_114, n_115, n_116, n_117, n_110, n_111, n_112, n_298, n_297; wire n_296, n_294, n_118, n_119, n_291, n_290, n_809, n_509, n_508, n_507; wire n_506, n_505, n_504, n_501, n_500, n_276, n_378, n_379, n_272, n_374; wire n_376, n_377, n_1560, n_278, n_372, n_1486, n_449, n_800, n_801, n_200; wire n_201, n_750, n_751, n_204, n_205, n_206, n_758, n_1078, n_1074, n_1076; wire n_974, n_1072, n_52, n_53, n_56, n_57, n_54, n_477, n_476, n_475; wire n_474, n_473, n_472; assign n_0 = A[0]; assign n_1 = A[0]; assign n_2 = A[1]; assign n_3 = A[1]; assign n_4 = A[2]; assign n_5 = A[2]; assign n_6 = A[3]; assign n_7 = A[3]; assign n_8 = A[4]; assign n_9 = A[4]; assign n_10 = A[5]; assign n_11 = A[5]; assign n_12 = A[6]; assign n_13 = A[6]; assign n_14 = A[7]; assign n_15 = A[7]; assign n_16 = A[8]; assign n_17 = A[8]; assign n_18 = A[9]; assign n_19 = A[9]; assign n_20 = A[10]; assign n_21 = A[10]; assign n_22 = A[11]; assign n_23 = A[11]; assign n_24 = B[0]; assign n_25 = B[0]; assign n_26 = B[1]; assign n_27 = B[1]; assign n_28 = B[2]; assign n_29 = B[2]; assign n_30 = B[3]; assign n_31 = B[3]; assign n_32 = B[4]; assign n_33 = B[4]; assign n_34 = B[5]; assign n_35 = B[5]; assign n_36 = B[6]; assign n_37 = B[6]; assign n_38 = B[7]; assign n_39 = B[7]; assign n_40 = B[8]; assign n_41 = B[8]; assign n_42 = B[9]; assign n_43 = B[9]; assign n_44 = B[10]; assign n_45 = B[10]; assign n_46 = B[11]; assign n_47 = B[11]; assign n_48 = ~(n_18 | n_28 | n_6); assign n_49 = n_48; assign n_52 = n_2 & n_24; assign n_53 = n_52; assign n_54 = n_53 & n_32; assign n_56 = n_49 & n_54; assign n_57 = n_56; assign n_60 = n_10 & n_54; assign n_61 = n_60; assign n_62 = ~(n_12 | n_24 | n_0); assign n_63 = n_62; assign n_64 = n_57 & n_24; assign n_65 = n_64; assign n_66 = n_38 & n_60; assign n_67 = n_66; FAX1 tmp74(.YS(n_70), .YC(n_71), .A(n_38), .B(n_0), .C(n_14)); assign n_72 = n_49 & n_28; assign n_73 = n_72; assign n_74 = n_22 & n_70; assign n_75 = n_74; assign n_78 = n_49 & n_26; assign n_79 = n_78; assign n_84 = n_57 & n_26; assign n_88 = n_57 & n_12; assign n_89 = n_88; assign n_90 = n_75 & n_64; assign n_91 = n_90; assign n_92 = n_61; assign n_93 = n_92; assign n_94 = n_63 & n_26; assign n_95 = n_94; assign n_96 = n_57 & n_94; assign n_97 = n_96; assign n_102 = n_46 & n_84; assign n_103 = n_102; assign n_106 = n_79 & n_28; assign n_107 = n_106; assign n_110 = n_4 & n_28; assign n_111 = n_110; assign n_112 = n_6 & n_44; assign n_113 = n_112; assign n_114 = ~(n_113 & n_102); assign n_115 = n_114; MUX2X1 tmp102(.Y(n_116), .A(n_97), .B(n_102), .S(n_107)); assign n_117 = n_116; assign n_118 = n_107 & n_40; assign n_119 = n_118; assign n_120 = n_89 & n_118; assign n_121 = n_120; assign n_128 = n_97 & n_28; assign n_129 = n_128; assign n_130 = ~(n_91 & n_28 & n_0); assign n_131 = n_130; assign n_134 = n_53 & n_30; OAI21X1 tmp113(.Y(n_136), .A(n_2), .B(n_30), .C(n_0)); assign n_137 = n_136; assign n_138 = ~(n_63 & n_134); assign n_139 = n_138; assign n_142 = n_67 & n_30; assign n_144 = n_73 & n_78; assign n_145 = n_144; assign n_148 = n_93 & n_74; assign n_149 = n_148; assign n_150 = n_107; assign n_152 = n_121 & n_30; assign n_156 = n_65 & n_44; assign n_157 = n_156; assign n_158 = n_20 & n_30; assign n_162 = n_22 & n_30; assign n_168 = n_129 & n_142; assign n_169 = n_168; assign n_172 = ~(n_73 & n_150 & n_67); assign n_173 = n_172; OAI21X1 tmp132(.Y(n_174), .A(n_8), .B(n_128), .C(n_119)); MUX2X1 tmp133(.Y(n_176), .A(n_10), .B(n_102), .S(n_139)); assign n_177 = n_176; assign n_178 = n_121 & n_32; assign n_179 = n_178; assign n_182 = ~(n_131 | n_64 | n_0); assign n_183 = n_182; assign n_186 = n_18 & n_32; assign n_188 = n_20 & n_32; assign n_190 = n_22 & n_32; assign n_194 = ~(n_61 & n_116); assign n_195 = n_194; assign n_196 = n_177 & n_34; assign n_197 = n_196; assign n_198 = n_4 & n_196; assign n_200 = n_137 & n_182; assign n_201 = n_200; assign n_204 = n_129 & n_34; assign n_205 = n_204; assign n_206 = n_197; assign n_212 = n_16 & n_34; assign n_214 = n_18 & n_34; assign n_216 = n_20 & n_34; assign n_217 = n_216; assign n_218 = n_6 & n_64; assign n_220 = n_22 & n_34; OAI21X1 tmp158(.Y(n_222), .A(n_103), .B(n_182), .C(n_0)); assign n_224 = n_73 & n_102; assign n_225 = n_224; assign n_230 = ~(n_6 | n_36 | n_195); assign n_234 = n_10 & n_179; assign n_235 = n_234; assign n_238 = n_14 & n_36; assign n_242 = n_16 & n_36; assign n_244 = n_18 & n_36; assign n_246 = n_20 & n_36; assign n_248 = n_22 & n_36; assign n_256 = n_169 & n_206; assign n_257 = n_256; assign n_258 = n_201 & n_38; assign n_259 = n_258; assign n_262 = n_259 ^ n_218; assign n_263 = n_262; assign n_264 = n_145 & n_38; assign n_265 = n_264; assign n_266 = n_12 & n_38; assign n_268 = n_14 & n_38; assign n_270 = n_16 & n_38; assign n_272 = n_18 & n_38; assign n_276 = n_20 & n_38; assign n_278 = n_22 & n_38; assign n_290 = n_205 & n_40; assign n_291 = n_290; assign n_292 = n_10 & n_40; assign n_294 = n_12 & n_40; HAX1 tmp187(.YS(n_296), .YC(n_297), .A(n_14), .B(n_294)); assign n_298 = n_14 & n_40; assign n_300 = n_16 & n_40; assign n_302 = n_18 & n_40; assign n_304 = n_20 & n_40; assign n_306 = n_22 & n_40; assign n_310 = n_201 & n_94; assign n_318 = n_8 & n_42; assign n_320 = n_183; assign n_321 = n_320; assign n_322 = n_10 & n_42; assign n_324 = n_12 & n_42; assign n_326 = n_14 & n_42; assign n_328 = n_16 & n_42; assign n_330 = n_18 & n_42; assign n_334 = n_20 & n_42; assign n_336 = n_22 & n_42; assign n_346 = n_297 & n_44; assign n_348 = n_8 & n_44; assign n_350 = n_10 & n_44; assign n_352 = n_12 & n_44; assign n_353 = n_352; assign n_356 = n_14 & n_44; assign n_358 = n_16 & n_44; assign n_360 = n_18 & n_44; assign n_362 = n_20 & n_44; assign n_364 = n_22 & n_44; assign n_372 = n_4 & n_46; assign n_374 = n_6 & n_46; assign n_376 = n_145; assign n_377 = n_376; assign n_378 = n_8 & n_46; assign n_379 = n_378; assign n_380 = n_10 & n_46; assign n_382 = n_12 & n_46; assign n_384 = n_14 & n_46; assign n_386 = n_16 & n_46; assign n_390 = n_18 & n_46; assign n_392 = n_20 & n_46; assign n_393 = n_392; assign n_394 = n_22 & n_46; HAX1 tmp228(.YS(n_396), .YC(n_397), .A(n_173), .B(n_178)); assign n_400 = ~n_321; assign n_401 = n_400; assign n_408 = n_321; assign n_409 = n_408; HAX1 tmp233(.YS(n_412), .YC(n_413), .A(n_64), .B(n_290)); assign n_420 = n_73 | n_134; assign n_421 = n_420; assign n_430 = n_157 & n_62; assign n_431 = n_430; assign n_436 = n_144 & n_182; assign n_442 = ~(n_152 & n_178 & n_204); assign n_443 = n_442; assign n_448 = n_225; assign n_449 = n_448; FAX1 tmp243(.YS(n_450), .YC(n_451), .A(n_158), .B(n_186), .C(n_212)); FAX1 tmp244(.YS(n_452), .YC(n_453), .A(n_162), .B(n_188), .C(n_214)); assign n_454 = n_190 & n_216; assign n_458 = n_190 ^ n_216; assign n_464 = ~n_230; assign n_465 = n_464; assign n_472 = n_201; assign n_473 = n_472; FAX1 tmp251(.YS(n_474), .YC(n_475), .A(n_238), .B(n_266), .C(n_292)); FAX1 tmp252(.YS(n_476), .YC(n_477), .A(n_242), .B(n_268), .C(n_294)); FAX1 tmp253(.YS(n_480), .YC(n_481), .A(n_244), .B(n_270), .C(n_298)); FAX1 tmp254(.YS(n_482), .YC(n_483), .A(n_246), .B(n_272), .C(n_300)); FAX1 tmp255(.YS(n_484), .YC(n_485), .A(n_248), .B(n_276), .C(n_302)); assign n_486 = n_278 & n_304; assign n_488 = n_278 ^ n_304; MUX2X1 tmp258(.Y(n_494), .A(n_379), .B(n_102), .S(n_291)); assign n_495 = n_494; FAX1 tmp260(.YS(n_496), .YC(n_497), .A(n_481), .B(n_142), .C(n_235)); FAX1 tmp261(.YS(n_498), .YC(n_499), .A(n_318), .B(n_346), .C(n_372)); FAX1 tmp262(.YS(n_500), .YC(n_501), .A(n_322), .B(n_348), .C(n_374)); FAX1 tmp263(.YS(n_504), .YC(n_505), .A(n_324), .B(n_350), .C(n_378)); FAX1 tmp264(.YS(n_506), .YC(n_507), .A(n_326), .B(n_352), .C(n_380)); FAX1 tmp265(.YS(n_508), .YC(n_509), .A(n_328), .B(n_356), .C(n_382)); FAX1 tmp266(.YS(n_510), .YC(n_511), .A(n_330), .B(n_358), .C(n_384)); FAX1 tmp267(.YS(n_514), .YC(n_515), .A(n_334), .B(n_360), .C(n_386)); FAX1 tmp268(.YS(n_516), .YC(n_517), .A(n_336), .B(n_362), .C(n_390)); assign n_518 = n_364 & n_392; assign n_520 = n_364 ^ n_392; FAX1 tmp271(.YS(n_550), .YC(n_551), .A(n_111), .B(n_176), .C(n_450)); HAX1 tmp272(.YS(n_564), .YC(n_565), .A(n_443), .B(n_486)); HAX1 tmp273(.YS(n_566), .YC(n_567), .A(n_431), .B(n_112)); FAX1 tmp274(.YS(n_568), .YC(n_569), .A(n_449), .B(n_28), .C(n_473)); FAX1 tmp275(.YS(n_572), .YC(n_573), .A(n_451), .B(n_476), .C(n_475)); FAX1 tmp276(.YS(n_574), .YC(n_575), .A(n_453), .B(n_480), .C(n_477)); FAX1 tmp277(.YS(n_576), .YC(n_577), .A(n_454), .B(n_482), .C(n_481)); assign n_578 = n_484 & n_483; assign n_579 = n_578; assign n_580 = n_484 ^ n_483; assign n_584 = n_488 & n_485; assign n_586 = n_488 ^ n_485; assign n_588 = n_306 & n_486; assign n_590 = n_306 ^ n_486; assign n_594 = ~(n_579 & n_72 & n_10); assign n_595 = n_594; assign n_596 = n_26 & n_518; assign n_597 = n_596; assign n_600 = n_169; FAX1 tmp290(.YS(n_614), .YC(n_615), .A(n_217), .B(n_198), .C(n_566)); FAX1 tmp291(.YS(n_618), .YC(n_619), .A(n_550), .B(n_168), .C(n_568)); FAX1 tmp292(.YS(n_620), .YC(n_621), .A(n_452), .B(n_551), .C(n_572)); assign n_622 = n_458 & n_574; assign n_624 = n_458 ^ n_574; assign n_625 = n_624; assign n_628 = n_220 & n_576; assign n_630 = n_220 ^ n_576; MUX2X1 tmp298(.Y(n_640), .A(n_565), .B(n_496), .S(n_495)); assign n_641 = n_640; FAX1 tmp300(.YS(n_642), .YC(n_643), .A(n_567), .B(n_498), .C(n_497)); FAX1 tmp301(.YS(n_644), .YC(n_645), .A(n_569), .B(n_318), .C(n_499)); FAX1 tmp302(.YS(n_646), .YC(n_647), .A(n_573), .B(n_504), .C(n_501)); FAX1 tmp303(.YS(n_648), .YC(n_649), .A(n_575), .B(n_506), .C(n_505)); FAX1 tmp304(.YS(n_652), .YC(n_653), .A(n_577), .B(n_508), .C(n_507)); FAX1 tmp305(.YS(n_654), .YC(n_655), .A(n_578), .B(n_510), .C(n_509)); FAX1 tmp306(.YS(n_656), .YC(n_657), .A(n_584), .B(n_514), .C(n_511)); FAX1 tmp307(.YS(n_658), .YC(n_659), .A(n_588), .B(n_516), .C(n_515)); assign n_662 = n_520 & n_517; assign n_664 = n_520 ^ n_517; assign n_666 = n_394 & n_518; HAX1 tmp311(.YS(n_668), .YC(n_669), .A(n_394), .B(n_518)); HAX1 tmp312(.YS(n_674), .YC(n_675), .A(n_263), .B(n_597)); assign n_676 = ~(n_600 | n_222); assign n_690 = n_465 | n_138; assign n_691 = n_690; FAX1 tmp316(.YS(n_692), .YC(n_693), .A(n_618), .B(n_615), .C(n_642)); FAX1 tmp317(.YS(n_696), .YC(n_697), .A(n_620), .B(n_619), .C(n_644)); FAX1 tmp318(.YS(n_698), .YC(n_699), .A(n_624), .B(n_621), .C(n_646)); FAX1 tmp319(.YS(n_700), .YC(n_701), .A(n_630), .B(n_622), .C(n_648)); FAX1 tmp320(.YS(n_702), .YC(n_703), .A(n_580), .B(n_628), .C(n_652)); assign n_704 = n_586 & n_654; assign n_708 = n_586 ^ n_654; assign n_709 = n_708; assign n_710 = n_590 & n_656; assign n_711 = n_710; assign n_712 = n_590 ^ n_656; HAX1 tmp327(.YS(n_716), .YC(n_717), .A(n_676), .B(n_675)); assign n_718 = ~(n_265 & n_310 & n_449); assign n_724 = ~n_717; assign n_725 = n_724; assign n_726 = n_139 ^ n_400; assign n_730 = n_675; FAX1 tmp333(.YS(n_734), .YC(n_735), .A(n_692), .B(n_691), .C(n_641)); FAX1 tmp334(.YS(n_736), .YC(n_737), .A(n_696), .B(n_693), .C(n_643)); FAX1 tmp335(.YS(n_738), .YC(n_739), .A(n_698), .B(n_697), .C(n_645)); FAX1 tmp336(.YS(n_742), .YC(n_743), .A(n_700), .B(n_699), .C(n_647)); FAX1 tmp337(.YS(n_744), .YC(n_745), .A(n_702), .B(n_701), .C(n_649)); FAX1 tmp338(.YS(n_746), .YC(n_747), .A(n_708), .B(n_703), .C(n_653)); FAX1 tmp339(.YS(n_748), .YC(n_749), .A(n_712), .B(n_704), .C(n_655)); FAX1 tmp340(.YS(n_750), .YC(n_751), .A(n_658), .B(n_710), .C(n_657)); assign n_754 = n_664 & n_659; assign n_756 = n_664 ^ n_659; assign n_758 = n_668 & n_662; assign n_760 = n_668 ^ n_662; HAX1 tmp345(.YS(n_768), .YC(n_769), .A(n_409), .B(n_150)); assign n_770 = ~(n_724 & n_716 & n_0); assign n_771 = n_770; assign n_772 = n_726 & n_725; assign n_773 = n_772; assign n_776 = ~(n_730 | n_174 | n_115); assign n_777 = n_776; HAX1 tmp352(.YS(n_780), .YC(n_781), .A(n_257), .B(n_730)); HAX1 tmp353(.YS(n_782), .YC(n_783), .A(n_736), .B(n_735)); HAX1 tmp354(.YS(n_784), .YC(n_785), .A(n_738), .B(n_737)); HAX1 tmp355(.YS(n_788), .YC(n_789), .A(n_742), .B(n_739)); HAX1 tmp356(.YS(n_790), .YC(n_791), .A(n_744), .B(n_743)); HAX1 tmp357(.YS(n_792), .YC(n_793), .A(n_746), .B(n_745)); HAX1 tmp358(.YS(n_794), .YC(n_795), .A(n_748), .B(n_747)); HAX1 tmp359(.YS(n_798), .YC(n_799), .A(n_750), .B(n_749)); HAX1 tmp360(.YS(n_800), .YC(n_801), .A(n_756), .B(n_751)); HAX1 tmp361(.YS(n_802), .YC(n_803), .A(n_760), .B(n_754)); HAX1 tmp362(.YS(n_804), .YC(n_805), .A(n_666), .B(n_758)); assign n_808 = ~n_377; assign n_809 = n_808; assign n_832 = n_129 & n_150; assign n_833 = n_832; assign n_848 = ~n_225; assign n_894 = n_711 | n_848; assign n_898 = ~(n_772 | n_771); assign n_914 = n_421 & n_234; assign n_930 = n_914 & n_436; assign n_950 = n_95 & n_776; assign n_974 = n_57 & n_898; assign n_980 = n_205 & n_777; assign n_1000 = n_769 & n_78; assign n_1001 = n_1000; assign n_1004 = ~(n_1000 | n_102 | n_0); assign n_1005 = n_1004; assign n_1010 = ~(n_780 & n_950); assign n_1011 = n_1010; assign n_1014 = n_1000 & n_914; assign n_1016 = n_225 & n_62; assign n_1017 = n_1016; OAI21X1 tmp384(.Y(n_1022), .A(n_1000), .B(n_930), .C(n_0)); assign n_1023 = n_1022; assign n_1026 = n_149 & n_974; assign n_1028 = n_1000 & n_662; assign n_1030 = n_780 & n_980; assign n_1031 = n_1030; assign n_1032 = n_780; assign n_1040 = n_1026 | n_1022; assign n_1041 = n_1040; assign n_1042 = n_833 & n_1016; assign n_1048 = n_1040 | n_1042; assign n_1049 = n_1048; assign n_1052 = n_117 & n_182; assign n_1062 = n_1023 & n_1040; FAX1 tmp398(.YS(n_1072), .YC(n_1073), .A(n_833), .B(n_118), .C(n_0)); assign n_1074 = n_353 & n_1016; OAI21X1 tmp400(.Y(n_1076), .A(n_121), .B(n_230), .C(n_0)); assign n_1078 = ~(n_1076 | n_894); assign n_1080 = n_1049 & n_230; assign n_1084 = n_1080 & n_258; assign n_1086 = n_1041 & n_974; assign n_1087 = n_1086; assign n_1090 = n_1052 & n_114; assign n_1094 = n_579 & n_1032; assign n_1096 = n_782 & n_781; assign n_1098 = n_783; assign n_1100 = n_1094; assign n_1106 = n_1084 & n_1078; assign n_1108 = n_1098 | n_1100; assign n_1110 = n_773 | n_1106; assign n_1112 = n_1108 | n_1110; assign n_1114 = n_1112 | n_1074; assign n_1142 = n_799 & n_1078; assign n_1144 = ~(n_225 | n_1000); assign n_1146 = n_1144 & n_930; assign n_1154 = n_709 & n_1028; assign n_1155 = n_1154; assign n_1156 = n_413 & n_1090; assign n_1158 = n_1005 & n_1032; assign n_1162 = n_641 & n_1096; assign n_1164 = n_784 & n_783; HAX1 tmp425(.YS(n_1166), .YC(n_1167), .A(n_785), .B(n_1164)); assign n_1168 = n_1162 | n_1158; assign n_1170 = n_1156 | n_1154; assign n_1176 = n_1142; assign n_1178 = n_1166 | n_1168; assign n_1180 = n_1170; assign n_1181 = n_1180; assign n_1182 = n_1178 | n_1180; assign n_1186 = n_1182 | n_1176; assign n_1214 = n_669 & n_1146; assign n_1224 = n_769 & n_974; assign n_1232 = n_121 & n_1014; assign n_1234 = n_788 & n_1164; assign n_1236 = n_788 & n_785; assign n_1238 = n_789 | n_1236; assign n_1242 = n_1234 | n_1232; assign n_1246 = n_1224 | n_230; assign n_1248 = n_1214; assign n_1249 = n_1248; assign n_1250 = n_1238 | n_1242; assign n_1254 = n_1249 | n_930; assign n_1256 = n_1248 & n_1142; assign n_1258 = n_1250 | n_1254; assign n_1260 = n_1258 | n_1256; assign n_1266 = n_790 & n_788; assign n_1288 = n_57 | n_118; assign n_1290 = n_1288 & n_1078; assign n_1294 = n_431; assign n_1300 = n_393 & n_1028; assign n_1301 = n_1300; assign n_1304 = n_401 & n_1090; assign n_1306 = n_1011 & n_1158; assign n_1310 = n_790 & n_1232; assign n_1312 = n_1266 & n_1164; assign n_1314 = n_790 & n_1236; assign n_1316 = n_790 & n_789; assign n_1318 = n_791 | n_1316; HAX1 tmp462(.YS(n_1322), .YC(n_1323), .A(n_1314), .B(n_1312)); assign n_1324 = n_1310 | n_1306; assign n_1326 = n_1304 | n_1300; assign n_1327 = n_1326; assign n_1328 = n_1294 | n_1294; assign n_1330 = n_1290 | n_258; assign n_1334 = n_1318 | n_1322; assign n_1336 = n_1324 | n_1326; assign n_1338 = n_1328 & n_1330; assign n_1340 = n_1334 | n_1336; assign n_1344 = n_1340 | n_1338; assign n_1346 = n_792 & n_790; assign n_1386 = n_1031 & n_1090; assign n_1392 = n_129 & n_1158; assign n_1396 = n_792 & n_1312; assign n_1398 = n_1346 & n_1236; assign n_1402 = n_792 & n_1316; assign n_1404 = n_792 & n_791; assign n_1406 = n_793 | n_1404; assign n_1408 = n_1402 | n_1398; assign n_1412 = n_1396 | n_1162; assign n_1414 = n_1392 ^ n_1386; assign n_1420 = n_1406 | n_1408; assign n_1424 = n_1412 | n_1414; assign n_1428 = n_1420 | n_1424; assign n_1432 = n_1428; assign n_1438 = n_794 & n_792; assign n_1462 = n_1001 & n_1078; assign n_1480 = n_1438 & n_1254; assign n_1482 = n_1480 & n_1158; assign n_1486 = n_595 & n_1232; assign n_1488 = n_1438 & n_1312; assign n_1492 = n_794 & n_1398; assign n_1494 = n_1438 & n_1316; assign n_1496 = n_794 & n_1404; assign n_1498 = n_794 & n_793; assign n_1500 = n_795 | n_1498; HAX1 tmp499(.YS(n_1504), .YC(n_1505), .A(n_1496), .B(n_1494)); assign n_1506 = n_1492 | n_1488; assign n_1508 = n_1486; assign n_1516 = ~(n_1462 ^ n_1062); assign n_1517 = n_1516; assign n_1518 = n_1500 | n_1504; assign n_1520 = n_1506 ^ n_1508; assign n_1522 = n_1517; assign n_1523 = n_1522; assign n_1526 = n_1518 | n_1520; HAX1 tmp509(.YS(n_1528), .YC(n_1529), .A(n_145), .B(n_1516)); assign n_1530 = n_1526 | n_1528; assign n_1532 = n_798 & n_794; assign n_1554 = n_551 & n_1246; assign n_1555 = n_1554; assign n_1560 = n_809 & n_1078; assign n_1561 = n_1560; assign n_1564 = n_1301 & n_1146; assign n_1584 = n_129 & n_1232; assign n_1586 = n_798 & n_1438; assign n_1588 = n_1586 & n_1312; assign n_1590 = n_1532 & n_1398; assign n_1594 = n_798 & n_1494; assign n_1596 = n_1532 & n_1404; assign n_1598 = n_798 & n_1498; assign n_1600 = n_798 & n_795; assign n_1602 = n_799 | n_1600; assign n_1606 = n_1598 | n_1596; assign n_1608 = n_1594 | n_1590; assign n_1610 = n_1588 | n_1584; assign n_1618 = n_1564 | n_914; assign n_1620 = n_1602 | n_1606; assign n_1622 = n_1608 | n_1610; assign n_1628 = n_1618 | n_1554; assign n_1630 = n_1620 | n_1622; assign n_1632 = n_449 ^ n_1628; assign n_1634 = n_1630 | n_1632; assign n_1642 = n_800 & n_798; assign n_1650 = n_1561; assign n_1651 = n_1650; assign n_1680 = n_1073 & n_1300; assign n_1688 = n_1642 & n_1482; assign n_1690 = n_397 & n_1584; assign n_1692 = n_1642 & n_1438; assign n_1696 = n_1692 & n_1312; assign n_1698 = n_800 & n_1532; assign n_1700 = n_1698 & n_1398; assign n_1702 = n_1642 & n_1494; assign n_1704 = n_800 & n_1596; assign n_1708 = n_1642 & n_1498; assign n_1710 = n_800 & n_1600; assign n_1712 = n_800 & n_799; assign n_1713 = n_1712; assign n_1714 = n_801 | n_1712; assign n_1716 = n_1710 | n_1708; FAX1 tmp554(.YS(n_1720), .YC(n_1721), .A(n_1704), .B(n_1702), .C(n_1523)); HAX1 tmp555(.YS(n_1722), .YC(n_1723), .A(n_1700), .B(n_1696)); MUX2X1 tmp556(.Y(n_1724), .A(n_1690), .B(n_1688), .S(n_0)); assign n_1726 = n_569 | n_1680; assign n_1734 = n_1714 | n_1716; assign n_1736 = n_1720 | n_1722; assign n_1738 = n_1724 | n_1726; assign n_1744 = n_1734 ^ n_1736; assign n_1746 = n_1738; assign n_1748 = n_1744 | n_1746; assign n_1750 = n_802 & n_800; assign n_1772 = n_802 & n_1710; assign n_1780 = n_625 & n_1078; assign n_1788 = n_1529 & n_1338; assign n_1802 = n_1713 & n_1386; assign n_1806 = n_1181 & n_1482; assign n_1812 = n_802 & n_1696; assign n_1816 = n_1713 & n_1414; assign n_1818 = n_802 & n_1642; assign n_1822 = n_1818 & n_1608; assign n_1824 = n_1750 & n_1596; assign n_1826 = n_802 & n_1708; assign n_1828 = n_1750 & n_178; assign n_1830 = n_802 & n_1712; assign n_1834 = n_802 & n_801; assign n_1836 = n_803 | n_1834; assign n_1837 = n_1836; assign n_1838 = n_1830 | n_1828; assign n_1840 = n_1826 | n_1824; assign n_1844 = n_1822 | n_1816; assign n_1846 = n_1812; assign n_1848 = n_1806 | n_1802; assign n_1850 = n_259; assign n_1852 = n_1788 | n_1780; assign n_1856 = n_1836 | n_1838; assign n_1858 = n_1840 | n_1844; assign n_1860 = n_1846 | n_1848; assign n_1862 = n_1850 | n_1852; assign n_1864 = n_1856 | n_1858; assign n_1868 = n_1860 | n_1862; assign n_1870 = n_1864 | n_1868; assign n_1872 = n_1870 | n_1772; assign n_1906 = ~n_1555; assign n_2014 = ~(n_772 & n_718 & n_1327); assign n_2022 = ~(n_1155 & n_1168); assign n_2026 = ~(n_784 & n_1114); assign n_2028 = n_788 ^ n_1186; HAX1 tmp601(.YS(n_2030), .YC(n_2031), .A(n_790), .B(n_1260)); assign n_2032 = n_792 ^ n_1344; assign n_2034 = n_794 ^ n_1432; assign n_2038 = n_798 ^ n_1530; HAX1 tmp605(.YS(n_2040), .YC(n_2041), .A(n_800), .B(n_1634)); assign n_2042 = n_802 ^ n_1748; HAX1 tmp607(.YS(n_2044), .YC(n_2045), .A(n_804), .B(n_1872)); assign O[0] = n_1017; assign O[1] = n_396; assign O[2] = n_1651; assign O[3] = n_1528; assign O[4] = n_645; assign O[5] = n_1906; assign O[6] = n_1087; assign O[7] = n_8; assign O[8] = n_34; assign O[9] = n_1110; assign O[10] = n_2014; assign O[11] = n_1584; assign O[12] = n_1837; assign O[13] = n_90; assign O[14] = n_2022; assign O[15] = n_2026; assign O[16] = n_2028; assign O[17] = n_2030; assign O[18] = n_2032; assign O[19] = n_2034; assign O[20] = n_2038; assign O[21] = n_2040; assign O[22] = n_2042; assign O[23] = n_2044; endmodule