// Library = EvoApprox 12x12 // Circuit = mul12x12_189 // Area (45) = 397 // Delay (45) = 0.990 // Power (45) = 0.18 // MAE = 69000.20000 // MSE = 7215492422.80000 // MRE = 63.29 % // WCE = 330141 // WCRE = 15622700 % // EP = 100.0 % module mul12x12_189(A, B, O); input [11:0] A, B; output [23:0] O; wire n_1360, n_257, n_255, n_1334, n_259, n_419, n_1488, n_1112, n_1110, n_198; wire n_1462, n_148, n_389, n_827, n_142, n_671, n_1004, n_672, n_674, n_676; wire n_678, n_1358, n_897, n_244, n_1355, n_658, n_240, n_1351, n_242, n_1353; wire n_574, n_1104, n_1106, n_1101, n_1002, n_1103, n_1216, n_1108, n_1212, n_155; wire n_652, n_1512, n_663, n_1459, n_661, n_667, n_1514, n_665, n_1329, n_669; wire n_1457, n_1455, n_239, n_1349, n_1208, n_1342, n_1340, n_235, n_1346, n_237; wire n_1344, n_23, n_22, n_21, n_20, n_1221, n_1223, n_1225, n_804, n_9; wire n_1321, n_1492, n_891, n_4, n_893, n_1511, n_895, n_659, n_634, n_125; wire n_656, n_654, n_121, n_559, n_650, n_639, n_832, n_1231, n_1501, n_222; wire n_0, n_220, n_225, n_1481, n_1483, n_1232, n_1485, n_18, n_19, n_16; wire n_17, n_14, n_15, n_12, n_13, n_10, n_11, n_1486, n_93, n_1503; wire n_882, n_648, n_880, n_886, n_335, n_884, n_526, n_641, n_888, n_643; wire n_645, n_587, n_585, n_583, n_582, n_580, n_194, n_1006, n_589, n_1084; wire n_1336, n_1086, n_1505, n_1080, n_1082, n_1498, n_1325, n_1496, n_1327, n_1494; wire n_1088, n_1320, n_1323, n_1490, n_1499, n_1333, n_101, n_1331, n_1449, n_561; wire n_617, n_1507, n_1093, n_1091, n_1090, n_1097, n_1095, n_1094, n_1099, n_1314; wire n_1390, n_1347, n_1520, n_79, n_1229, n_74, n_75, n_76, n_216, n_214; wire n_1509, n_503, n_218, n_1307, n_569, n_567, n_1069, n_279, n_278, n_563; wire n_1338, n_1066, n_689, n_1067, n_684, n_685, n_1064, n_687, n_680, n_682; wire n_289, n_281, n_283, n_63, n_285, n_287, n_200, n_1357, n_1466, n_1464; wire n_1468, n_1227, n_266, n_267, n_265, n_8, n_263, n_578, n_261, n_576; wire n_5, n_6, n_7, n_572, n_1, n_2, n_3, n_871, n_1079, n_1075; wire n_1077, n_878, n_1071, n_1073, n_1318, n_889, n_177, n_174, n_55, n_1470; wire n_1473, n_1472, n_1475, n_1477, n_1479, n_1219, n_1218; assign n_0 = A[0]; assign n_1 = A[1]; assign n_2 = A[2]; assign n_3 = A[3]; assign n_4 = A[4]; assign n_5 = A[5]; assign n_6 = A[6]; assign n_7 = A[7]; assign n_8 = A[8]; assign n_9 = A[9]; assign n_10 = A[10]; assign n_11 = A[11]; assign n_12 = B[0]; assign n_13 = B[1]; assign n_14 = B[2]; assign n_15 = B[3]; assign n_16 = B[4]; assign n_17 = B[5]; assign n_18 = B[6]; assign n_19 = B[7]; assign n_20 = B[8]; assign n_21 = B[9]; assign n_22 = B[10]; assign n_23 = B[11]; assign n_55 = n_20 ^ n_20; assign n_63 = n_7 & n_55; assign n_74 = ~(n_63 & n_6); assign n_75 = n_63 & n_74; assign n_76 = ~(n_75 & n_18); assign n_79 = ~n_75; assign n_93 = n_76; assign n_101 = ~(n_93 | n_17); assign n_121 = ~(n_75 | n_79); assign n_125 = n_93 | n_63; assign n_142 = ~n_93; assign n_148 = n_101 & n_22; assign n_155 = n_11 & n_17; assign n_174 = n_9 & n_18; assign n_177 = n_11 & n_18; assign n_194 = n_8 & n_19; assign n_198 = n_10 & n_19; assign n_200 = n_11 & n_19; assign n_214 = n_19 & n_20; assign n_216 = n_8 & n_20; assign n_218 = n_9 & n_20; assign n_220 = n_10 & n_20; assign n_222 = n_11 & n_20; assign n_225 = ~(n_79 | n_2); assign n_235 = n_6 & n_21; assign n_237 = n_7 & n_21; assign n_239 = n_8 & n_21; assign n_240 = n_9 & n_21; assign n_242 = n_10 & n_21; assign n_244 = n_11 & n_21; assign n_255 = n_5 & n_22; assign n_257 = n_6 & n_22; assign n_259 = n_7 & n_22; assign n_261 = n_8 & n_22; assign n_263 = n_9 & n_22; assign n_265 = n_10 & n_22; assign n_266 = n_11 & n_22; assign n_267 = n_121; assign n_278 = n_5 & n_23; assign n_279 = n_6 & n_23; assign n_281 = n_7 & n_23; assign n_283 = n_8 & n_23; assign n_285 = n_9 & n_23; assign n_287 = n_10 & n_23; assign n_289 = n_11 & n_23; assign n_335 = ~n_267; assign n_389 = ~(n_75 | n_76); assign n_419 = n_225; assign n_503 = ~(n_142 & n_5); assign n_526 = ~n_63; assign n_559 = n_174; assign n_561 = n_174 & n_194; assign n_563 = n_559 & n_214; assign n_567 = n_561 | n_563; assign n_569 = n_142 ^ n_155; assign n_572 = n_569 & n_216; assign n_574 = n_569 ^ n_216; assign n_576 = n_121 | n_572; assign n_578 = n_177 ^ n_198; assign n_580 = n_177 & n_198; assign n_582 = n_578 & n_218; assign n_583 = n_578 ^ n_218; assign n_585 = n_580 | n_582; assign n_587 = n_200 & n_220; assign n_589 = n_200 ^ n_220; assign n_617 = ~(n_20 | n_526); assign n_634 = n_235 & n_255; assign n_639 = n_634; assign n_641 = n_237 ^ n_257; assign n_643 = n_237 & n_257; assign n_645 = n_641 & n_278; assign n_648 = n_643 | n_645; assign n_650 = n_239 ^ n_259; assign n_652 = n_239 & n_259; assign n_654 = n_650 & n_279; assign n_656 = n_650 ^ n_279; assign n_658 = n_652 | n_654; assign n_659 = n_240 ^ n_261; assign n_661 = n_240 & n_261; assign n_663 = n_659 & n_281; assign n_665 = n_659 ^ n_281; assign n_667 = n_661 | n_663; assign n_669 = n_242 ^ n_263; assign n_671 = n_242 & n_263; assign n_672 = n_669 & n_283; assign n_674 = n_669 ^ n_283; assign n_676 = n_671 | n_672; assign n_678 = n_244 ^ n_265; assign n_680 = n_244 & n_265; assign n_682 = n_678 & n_285; assign n_684 = n_678 ^ n_285; assign n_685 = n_680 | n_682; assign n_687 = n_266 & n_287; assign n_689 = n_266 ^ n_287; assign n_804 = n_389; assign n_827 = ~n_121; assign n_832 = n_389; assign n_871 = n_671 & n_419; assign n_878 = n_419 ^ n_574; assign n_880 = n_389 & n_526; assign n_882 = n_878 & n_567; assign n_884 = n_878 | n_567; assign n_886 = n_880 | n_882; assign n_888 = n_583 & n_576; assign n_889 = n_583 ^ n_576; assign n_891 = n_589 & n_585; assign n_893 = n_589 ^ n_585; assign n_895 = n_222 & n_587; assign n_897 = n_222 ^ n_587; assign n_1002 = n_155 & n_884; assign n_1004 = n_155 ^ n_884; assign n_1006 = ~n_419; assign n_1064 = n_125 & n_639; assign n_1066 = ~(n_335 & n_634); assign n_1067 = n_55 | n_1064; assign n_1069 = n_886 ^ n_656; assign n_1071 = n_886 & n_656; assign n_1073 = n_1069 & n_648; assign n_1075 = n_1069 ^ n_648; assign n_1077 = n_1071 | n_1073; assign n_1079 = n_888 ^ n_665; assign n_1080 = n_888 & n_665; assign n_1082 = n_1079 & n_658; assign n_1084 = n_1079 ^ n_658; assign n_1086 = n_1080 | n_1082; assign n_1088 = n_891 ^ n_674; assign n_1090 = n_891 & n_674; assign n_1091 = n_1088 & n_667; assign n_1093 = n_1088 ^ n_667; assign n_1094 = ~n_419; assign n_1095 = n_1090 | n_1091; assign n_1097 = n_895 ^ n_684; assign n_1099 = n_895 & n_684; assign n_1101 = n_1097 & n_676; assign n_1103 = n_1097 ^ n_676; assign n_1104 = n_1099 | n_1101; assign n_1106 = n_689 & n_685; assign n_1108 = n_689 ^ n_685; assign n_1110 = n_827 & n_687; assign n_1112 = n_289 ^ n_687; assign n_1208 = n_1004; assign n_1212 = n_1208 & n_1066; assign n_1216 = n_121 | n_1212; assign n_1218 = n_889 ^ n_1002; assign n_1219 = n_889 & n_1002; assign n_1221 = n_1218 & n_1075; assign n_1223 = n_1218 ^ n_1075; assign n_1225 = n_1219 | n_1221; assign n_1227 = n_893 & n_1084; assign n_1229 = n_893 ^ n_1084; assign n_1231 = n_897 & n_1093; assign n_1232 = n_897 ^ n_1093; assign n_1307 = ~n_1094; assign n_1314 = ~n_871; assign n_1318 = n_1223 ^ n_1216; assign n_1320 = n_1223 & n_1216; assign n_1321 = n_1318 & n_1067; assign n_1323 = n_1318 ^ n_1067; assign n_1325 = n_1320 | n_1321; assign n_1327 = n_1229 ^ n_1225; assign n_1329 = n_1229 & n_1225; assign n_1331 = n_1327 & n_1077; assign n_1333 = n_1327 ^ n_1077; assign n_1334 = n_1329 | n_1331; assign n_1336 = n_1232 ^ n_1227; assign n_1338 = n_1232 & n_1227; assign n_1340 = n_1336 & n_1086; assign n_1342 = n_1336 ^ n_1086; assign n_1344 = n_1338 | n_1340; assign n_1346 = n_1103 ^ n_1231; assign n_1347 = n_1103 & n_1231; assign n_1349 = n_1346 & n_1095; assign n_1351 = n_1346 ^ n_1095; assign n_1353 = n_1347 | n_1349; assign n_1355 = n_1108 & n_1104; assign n_1357 = n_1108 ^ n_1104; assign n_1358 = n_1112 & n_1106; assign n_1360 = n_1112 ^ n_1106; assign n_1390 = ~n_419; assign n_1449 = ~(n_1314 | n_1307); assign n_1455 = n_1449 ^ n_287; assign n_1457 = n_1094 & n_1006; assign n_1459 = n_1323; assign n_1462 = n_1459 & n_1457; assign n_1464 = n_1459 ^ n_1457; assign n_1466 = n_63 | n_1462; assign n_1468 = n_1333 ^ n_1325; assign n_1470 = n_1333 & n_1325; assign n_1472 = n_1468 & n_1466; assign n_1473 = n_1468 ^ n_1466; assign n_1475 = n_1470 | n_1472; assign n_1477 = n_1342 ^ n_1334; assign n_1479 = n_1342 & n_1334; assign n_1481 = n_1477 & n_1475; assign n_1483 = n_1477 ^ n_1475; assign n_1485 = n_1479 | n_1481; assign n_1486 = n_1351 ^ n_1344; assign n_1488 = n_1351 & n_1344; assign n_1490 = n_1486 & n_1485; assign n_1492 = n_1486 ^ n_1485; assign n_1494 = n_1488 | n_1490; assign n_1496 = n_1357 ^ n_1353; assign n_1498 = n_1357 & n_1353; assign n_1499 = n_1496 & n_1494; assign n_1501 = n_1496 ^ n_1494; assign n_1503 = n_1498 ^ n_1499; assign n_1505 = n_1360 ^ n_1355; assign n_1507 = n_1360 & n_1355; assign n_1509 = n_1505 & n_1503; assign n_1511 = n_1505 ^ n_1503; assign n_1512 = n_1507 | n_1509; assign n_1514 = n_1110 ^ n_1358; assign n_1520 = n_1514 | n_1512; assign O[0] = n_1321; assign O[1] = n_142; assign O[2] = n_827; assign O[3] = n_148; assign O[4] = n_1449; assign O[5] = n_2; assign O[6] = n_79; assign O[7] = n_832; assign O[8] = n_289; assign O[9] = n_1390; assign O[10] = n_75; assign O[11] = n_804; assign O[12] = n_617; assign O[13] = n_1390; assign O[14] = n_503; assign O[15] = n_580; assign O[16] = n_1455; assign O[17] = n_1464; assign O[18] = n_1473; assign O[19] = n_1483; assign O[20] = n_1492; assign O[21] = n_1501; assign O[22] = n_1511; assign O[23] = n_1520; endmodule