// Library = EvoApprox 12x12 // Circuit = mul12x12_200 // Area (45) = 359 // Delay (45) = 1.290 // Power (45) = 0.16 // MAE = 70712.10000 // MSE = 7660375435.30000 // MRE = 53.69 % // WCE = 333836 // WCRE = 13056700 % // EP = 100.0 % module mul12x12_200(A, B, O); input [11:0] A, B; output [23:0] O; wire n_1361, n_1363, n_1418, n_1365, n_1369, n_310, n_419, n_1270, n_785, n_1049; wire n_787, n_41, n_869, n_783, n_1043, n_789, n_1045, n_1488, n_1047, n_1287; wire n_1285, n_1283, n_1281, n_147, n_1277, n_1289, n_1426, n_1502, n_1147, n_1248; wire n_897, n_1051, n_1354, n_1000, n_1356, n_1357, n_1180, n_1420, n_1182, n_33; wire n_1508, n_39, n_1404, n_1234, n_1395, n_126, n_397, n_395, n_153, n_1299; wire n_1512, n_1510, n_1516, n_498, n_1514, n_1518, n_1400, n_1022, n_1020, n_1027; wire n_1024, n_1025, n_1272, n_1379, n_1432, n_23, n_22, n_21, n_20, n_920; wire n_25, n_1137, n_1139, n_1260, n_1377, n_1465, n_1156, n_1492, n_891, n_4; wire n_893, n_1414, n_895, n_1504, n_180, n_658, n_656, n_654, n_121, n_1408; wire n_346, n_1231, n_635, n_223, n_1402, n_631, n_633, n_1238, n_1481, n_1482; wire n_1484, n_1275, n_18, n_19, n_16, n_17, n_14, n_15, n_12, n_13; wire n_10, n_11, n_1457, n_99, n_883, n_881, n_525, n_887, n_527, n_641; wire n_889, n_131, n_1307, n_1240, n_1008, n_1129, n_1244, n_629, n_1004, n_627; wire n_1006, n_625, n_455, n_1305, n_1002, n_1127, n_1158, n_1498, n_1152, n_1303; wire n_1150, n_1494, n_1143, n_1125, n_1154, n_1490, n_80, n_1301, n_1123, n_1406; wire n_1522, n_1520, n_1397, n_1250, n_1018, n_1254, n_992, n_1012, n_1010, n_1016; wire n_1014, n_1149, n_918, n_1309, n_1141, n_1311, n_916, n_1313, n_1145, n_1500; wire n_912, n_1391, n_1393, n_79, n_1131, n_1399, n_118, n_1506, n_1416, n_1133; wire n_1412, n_1410, n_504, n_1496, n_1135, n_1174, n_1176, n_1268, n_1172, n_1264; wire n_1266, n_566, n_1178, n_1262, n_1486, n_1252, n_1389, n_682, n_288, n_160; wire n_167, n_1387, n_1385, n_1256, n_1383, n_1381, n_994, n_201, n_750, n_1461; wire n_756, n_1467, n_754, n_1258, n_758, n_1469, n_998, n_266, n_1373, n_1279; wire n_1371, n_8, n_9, n_1375, n_301, n_5, n_6, n_7, n_0, n_1; wire n_2, n_3, n_871, n_877, n_875, n_177, n_50, n_179, n_1274, n_1471; wire n_1463, n_1473, n_607, n_1475, n_1477, n_1479, n_766, n_764, n_762, n_760; assign n_0 = A[0]; assign n_1 = A[1]; assign n_2 = A[2]; assign n_3 = A[3]; assign n_4 = A[4]; assign n_5 = A[5]; assign n_6 = A[6]; assign n_7 = A[7]; assign n_8 = A[8]; assign n_9 = A[9]; assign n_10 = A[10]; assign n_11 = A[11]; assign n_12 = B[0]; assign n_13 = B[1]; assign n_14 = B[2]; assign n_15 = B[3]; assign n_16 = B[4]; assign n_17 = B[5]; assign n_18 = B[6]; assign n_19 = B[7]; assign n_20 = B[8]; assign n_21 = B[9]; assign n_22 = B[10]; assign n_23 = B[11]; assign n_25 = ~(n_5 | n_22); assign n_33 = n_25 & n_5; assign n_39 = n_33; assign n_41 = n_22 | n_23; assign n_50 = n_39 & n_6; assign n_79 = ~n_39; assign n_80 = n_33 & n_10; assign n_99 = ~n_33; assign n_118 = ~n_99; assign n_121 = n_39 & n_21; assign n_126 = ~n_121; assign n_131 = ~n_118; assign n_147 = ~n_39; assign n_153 = ~(n_131 | n_4); assign n_160 = n_21 & n_118; assign n_167 = n_50; assign n_177 = ~(n_167 | n_121); assign n_179 = ~n_79; assign n_180 = n_153; assign n_201 = ~(n_131 | n_79); assign n_223 = ~n_179; assign n_266 = n_11 & n_15; assign n_288 = n_177; assign n_301 = ~(n_19 | n_41); assign n_310 = ~n_131; assign n_346 = ~n_201; assign n_395 = n_10 & n_16; assign n_397 = n_11 & n_16; assign n_419 = n_126; assign n_455 = n_346; assign n_498 = n_266 & n_395; assign n_504 = n_498; assign n_525 = ~(n_10 | n_17); assign n_527 = n_11 & n_17; assign n_566 = ~(n_167 & n_160); assign n_607 = ~n_80; assign n_625 = ~(n_607 | n_455); assign n_627 = ~(n_397 & n_167); assign n_629 = n_397 & n_525; assign n_631 = n_627 & n_504; assign n_633 = n_627 ^ n_504; assign n_635 = n_629 | n_631; assign n_641 = n_504 & n_18; assign n_654 = n_9 & n_18; assign n_656 = n_10 & n_18; assign n_658 = n_11 & n_18; assign n_682 = ~n_79; assign n_750 = n_633 & n_654; assign n_754 = ~n_99; assign n_756 = n_750; assign n_758 = n_527 ^ n_656; assign n_760 = n_527 & n_656; assign n_762 = n_758 & n_635; assign n_764 = n_301 | n_635; assign n_766 = n_760 | n_762; assign n_783 = n_8 & n_19; assign n_785 = n_9 & n_19; assign n_787 = n_10 & n_19; assign n_789 = n_11 & n_19; assign n_869 = ~(n_754 | n_310); assign n_871 = n_455 & n_783; assign n_875 = n_869; assign n_877 = n_871 | n_754; assign n_881 = n_764 & n_785; assign n_883 = n_455 & n_756; assign n_887 = n_881 | n_883; assign n_889 = n_658 ^ n_787; assign n_891 = n_658 & n_787; assign n_893 = n_889 & n_766; assign n_895 = n_889 ^ n_766; assign n_897 = n_891 | n_893; assign n_912 = n_7 & n_20; assign n_916 = n_9 & n_20; assign n_918 = n_10 & n_20; assign n_920 = n_11 & n_20; assign n_992 = n_875 & n_912; assign n_994 = n_11 & n_180; assign n_998 = n_992 | n_994; assign n_1000 = ~(n_17 ^ n_566); assign n_1002 = ~n_131; assign n_1004 = n_1000 & n_877; assign n_1006 = ~n_33; assign n_1008 = n_1002 | n_1004; assign n_1010 = n_895 ^ n_916; assign n_1012 = n_895 & n_916; assign n_1014 = n_1010 & n_887; assign n_1016 = n_1010 ^ n_887; assign n_1018 = n_1012 | n_1014; assign n_1020 = n_789 ^ n_918; assign n_1022 = n_789 & n_918; assign n_1024 = n_1020 & n_897; assign n_1025 = n_1020 ^ n_897; assign n_1027 = n_1022 | n_1024; assign n_1043 = n_7 & n_21; assign n_1045 = n_8 & n_21; assign n_1047 = n_9 & n_21; assign n_1049 = n_10 & n_21; assign n_1051 = n_11 & n_21; assign n_1123 = n_1006 & n_1043; assign n_1125 = n_397 & n_998; assign n_1127 = ~(n_50 & n_79); assign n_1129 = n_1123 | n_1125; assign n_1131 = n_1016 ^ n_1045; assign n_1133 = n_1016 & n_1045; assign n_1135 = n_1131 & n_1008; assign n_1137 = n_1131 ^ n_1008; assign n_1139 = n_1133 | n_1135; assign n_1141 = n_1025 ^ n_1047; assign n_1143 = n_1025 & n_1047; assign n_1145 = n_1141 & n_1018; assign n_1147 = n_1141 ^ n_1018; assign n_1149 = n_1143 | n_1145; assign n_1150 = n_920 ^ n_1049; assign n_1152 = n_920 & n_1049; assign n_1154 = n_1150 & n_1027; assign n_1156 = n_1150 ^ n_1027; assign n_1158 = n_1152 | n_1154; assign n_1172 = n_6 & n_22; assign n_1174 = n_7 & n_22; assign n_1176 = n_8 & n_22; assign n_1178 = n_9 & n_22; assign n_1180 = n_10 & n_22; assign n_1182 = n_11 & n_22; assign n_1231 = ~n_160; assign n_1234 = ~n_223; assign n_1238 = ~n_147; assign n_1240 = n_1234; assign n_1244 = n_1127 & n_1172; assign n_1248 = n_641; assign n_1250 = n_1244 | n_160; assign n_1252 = n_1137 ^ n_1174; assign n_1254 = n_1137 & n_1174; assign n_1256 = n_1252 & n_1129; assign n_1258 = n_1252 ^ n_1129; assign n_1260 = n_1254 | n_1256; assign n_1262 = n_1147 ^ n_1176; assign n_1264 = n_1147 & n_1176; assign n_1266 = n_1262 & n_1139; assign n_1268 = n_1262 ^ n_1139; assign n_1270 = n_1264 | n_1266; assign n_1272 = n_1156 ^ n_1178; assign n_1274 = n_1156 & n_1178; assign n_1275 = n_1272 & n_1149; assign n_1277 = n_1272 ^ n_1149; assign n_1279 = n_1274 ^ n_1275; assign n_1281 = n_1051 ^ n_1180; assign n_1283 = n_1051 & n_1180; assign n_1285 = n_1281 & n_1158; assign n_1287 = n_1281 ^ n_1158; assign n_1289 = n_1283 | n_1285; assign n_1299 = n_4 & n_23; assign n_1301 = n_5 & n_23; assign n_1303 = n_6 & n_23; assign n_1305 = n_7 & n_23; assign n_1307 = n_8 & n_23; assign n_1309 = n_9 & n_23; assign n_1311 = n_10 & n_23; assign n_1313 = n_11 & n_23; assign n_1354 = ~n_1238; assign n_1356 = n_5 & n_1299; assign n_1357 = ~(n_1354 | n_1231); assign n_1361 = n_1356 | n_1357; assign n_1363 = ~(n_1248 & n_1301); assign n_1365 = n_1248 & n_1301; assign n_1369 = n_1363 ^ n_1240; assign n_1371 = n_1365; assign n_1373 = n_1258 ^ n_1303; assign n_1375 = n_1258 & n_1303; assign n_1377 = n_1373 & n_1250; assign n_1379 = n_1373 ^ n_1250; assign n_1381 = n_1375 | n_1377; assign n_1383 = n_1268 ^ n_1305; assign n_1385 = n_1268 & n_1305; assign n_1387 = n_1383 & n_1260; assign n_1389 = n_1383 ^ n_1260; assign n_1391 = n_1385 | n_1387; assign n_1393 = n_1277 ^ n_1307; assign n_1395 = n_1277 & n_1307; assign n_1397 = n_1393 & n_1270; assign n_1399 = n_1393 ^ n_1270; assign n_1400 = n_1395 | n_1397; assign n_1402 = n_1287 ^ n_1309; assign n_1404 = n_1287 & n_1309; assign n_1406 = n_1402 & n_1279; assign n_1408 = n_1402 ^ n_1279; assign n_1410 = n_1404 | n_1406; assign n_1412 = n_1182 ^ n_1311; assign n_1414 = n_1182 & n_1311; assign n_1416 = n_1412 & n_1289; assign n_1418 = n_1412 ^ n_1289; assign n_1420 = n_1414 | n_1416; assign n_1426 = ~n_201; assign n_1432 = n_1426; assign n_1457 = n_1369 & n_1361; assign n_1461 = n_419 ^ n_625; assign n_1463 = n_1457; assign n_1465 = n_1379 ^ n_1371; assign n_1467 = n_1379 & n_1371; assign n_1469 = n_1465 & n_1463; assign n_1471 = n_1465 ^ n_1463; assign n_1473 = n_1467 | n_1469; assign n_1475 = n_1389 ^ n_1381; assign n_1477 = n_1389 & n_1381; assign n_1479 = n_1475 & n_1473; assign n_1481 = n_1475 ^ n_1473; assign n_1482 = n_1477 | n_1479; assign n_1484 = n_1399 ^ n_1391; assign n_1486 = n_1399 & n_1391; assign n_1488 = n_1484 & n_1482; assign n_1490 = n_1484 ^ n_1482; assign n_1492 = n_1486 | n_1488; assign n_1494 = n_1408 ^ n_1400; assign n_1496 = n_1408 & n_1400; assign n_1498 = n_1494 & n_1492; assign n_1500 = n_1494 ^ n_1492; assign n_1502 = n_1496 | n_1498; assign n_1504 = n_1418 ^ n_1410; assign n_1506 = n_1418 & n_1410; assign n_1508 = n_1504 & n_1502; assign n_1510 = n_1504 ^ n_1502; assign n_1512 = n_1506 | n_1508; assign n_1514 = n_1313 ^ n_1420; assign n_1516 = n_1313 & n_1420; assign n_1518 = n_1514 & n_1512; assign n_1520 = n_1514 ^ n_1512; assign n_1522 = n_1516 | n_1518; assign O[0] = n_9; assign O[1] = n_682; assign O[2] = n_11; assign O[3] = n_288; assign O[4] = n_201; assign O[5] = n_118; assign O[6] = n_3; assign O[7] = n_160; assign O[8] = n_153; assign O[9] = n_1426; assign O[10] = n_1127; assign O[11] = n_12; assign O[12] = n_1461; assign O[13] = n_1432; assign O[14] = n_419; assign O[15] = n_288; assign O[16] = n_1461; assign O[17] = n_1471; assign O[18] = n_1481; assign O[19] = n_1490; assign O[20] = n_1500; assign O[21] = n_1510; assign O[22] = n_1520; assign O[23] = n_1522; endmodule