// Library = EvoApprox 12x12 // Circuit = mul12x12_210 // Area (45) = 199 // Delay (45) = 0.980 // Power (45) = 0.07 // MAE = 213991.30000 // MSE = 67708640499.30000 // MRE = 183.03 % // WCE = 803366 // WCRE = 40964500 % // EP = 100.0 % module mul12x12_210(A, B, O); input [11:0] A, B; output [23:0] O; wire n_1361, n_1363, n_1365, n_1367, n_1369, n_313, n_1094, n_1117, n_1115, n_1113; wire n_42, n_149, n_1248, n_1359, n_1354, n_1356, n_1357, n_1350, n_1352, n_1104; wire n_1107, n_1106, n_1100, n_1102, n_1109, n_1234, n_1512, n_1510, n_1516, n_1514; wire n_1518, n_238, n_1348, n_1274, n_1379, n_23, n_22, n_21, n_20, n_27; wire n_1137, n_1139, n_1227, n_1500, n_1502, n_1504, n_5, n_1508, n_1402, n_1408; wire n_1236, n_180, n_1232, n_1231, n_1400, n_1006, n_1404, n_1406, n_1238, n_1481; wire n_1482, n_1484, n_1275, n_18, n_19, n_16, n_17, n_14, n_15, n_12; wire n_13, n_10, n_11, n_98, n_138, n_1242, n_1240, n_1246, n_1486, n_1244; wire n_1004, n_981, n_1002, n_1488, n_1498, n_1496, n_1494, n_1088, n_1492, n_1490; wire n_1522, n_1520, n_1250, n_1252, n_1090, n_852, n_1141, n_1098, n_1506, n_1410; wire n_67, n_1385, n_63, n_1381, n_1467, n_1469, n_1373, n_1371, n_8, n_9; wire n_1375, n_4, n_1272, n_6, n_1270, n_0, n_1, n_2, n_3, n_871; wire n_1387, n_1383, n_1377, n_174, n_53, n_55, n_169, n_1473, n_1475, n_1477; wire n_1479, n_7; assign n_0 = A[0]; assign n_1 = A[1]; assign n_2 = A[2]; assign n_3 = A[3]; assign n_4 = A[4]; assign n_5 = A[5]; assign n_6 = A[6]; assign n_7 = A[7]; assign n_8 = A[8]; assign n_9 = A[9]; assign n_10 = A[10]; assign n_11 = A[11]; assign n_12 = B[0]; assign n_13 = B[1]; assign n_14 = B[2]; assign n_15 = B[3]; assign n_16 = B[4]; assign n_17 = B[5]; assign n_18 = B[6]; assign n_19 = B[7]; assign n_20 = B[8]; assign n_21 = B[9]; assign n_22 = B[10]; assign n_23 = B[11]; assign n_27 = n_2 ^ n_2; assign n_42 = ~n_27; assign n_53 = ~(n_42 | n_3); assign n_55 = n_53; assign n_63 = ~(n_55 & n_9); assign n_67 = ~n_7; assign n_98 = ~n_67; assign n_138 = ~n_27; assign n_149 = ~(n_4 | n_42); assign n_169 = n_23 & n_98; assign n_174 = ~n_149; assign n_180 = n_53 & n_10; assign n_238 = ~n_55; assign n_313 = ~(n_238 | n_149); assign n_852 = n_149 | n_19; assign n_871 = n_11 & n_19; assign n_981 = n_871 ^ n_149; assign n_1002 = n_9 & n_20; assign n_1004 = n_10 & n_20; assign n_1006 = n_11 & n_20; assign n_1088 = n_313; assign n_1090 = n_53 ^ n_1002; assign n_1094 = n_1090 & n_852; assign n_1098 = n_313 | n_1094; assign n_1100 = n_981 ^ n_1004; assign n_1102 = n_871 & n_1004; assign n_1104 = n_1100 & n_1098; assign n_1106 = n_1100 ^ n_1098; assign n_1107 = n_1102 | n_1104; assign n_1109 = n_149 ^ n_1006; assign n_1113 = n_1109 & n_1107; assign n_1115 = n_1109 ^ n_1107; assign n_1117 = n_180 | n_1113; assign n_1137 = n_9 & n_21; assign n_1139 = n_10 & n_21; assign n_1141 = n_11 & n_21; assign n_1227 = n_1106 & n_1137; assign n_1231 = n_138; assign n_1232 = n_1227; assign n_1234 = n_1115 ^ n_1139; assign n_1236 = n_1115 & n_1139; assign n_1238 = n_1234 & n_1232; assign n_1240 = n_1234 ^ n_1232; assign n_1242 = n_1236 | n_1238; assign n_1244 = n_1117 ^ n_1141; assign n_1246 = n_1117 & n_1141; assign n_1248 = n_1244 & n_1242; assign n_1250 = n_1244 ^ n_1242; assign n_1252 = n_1246 | n_1248; assign n_1270 = n_8 & n_22; assign n_1272 = n_9 & n_22; assign n_1274 = n_10 & n_22; assign n_1275 = n_11 & n_22; assign n_1348 = n_169; assign n_1350 = ~(n_1231 & n_1270); assign n_1352 = n_1231 & n_1270; assign n_1354 = n_1231 & n_1348; assign n_1356 = n_1350 ^ n_1348; assign n_1357 = n_1352 | n_1354; assign n_1359 = n_1240 ^ n_1272; assign n_1361 = n_1240 & n_1272; assign n_1363 = n_1359 & n_1357; assign n_1365 = n_1359 ^ n_1357; assign n_1367 = n_1361 | n_1363; assign n_1369 = n_1250 ^ n_1274; assign n_1371 = n_1250 & n_1274; assign n_1373 = n_1369 & n_1367; assign n_1375 = n_1369 ^ n_1367; assign n_1377 = n_1371 | n_1373; assign n_1379 = n_1252 ^ n_1275; assign n_1381 = n_1252 & n_1275; assign n_1383 = n_1379 & n_1377; assign n_1385 = n_1379 ^ n_1377; assign n_1387 = n_1381 ^ n_1383; assign n_1400 = n_6 & n_23; assign n_1402 = n_7 & n_23; assign n_1404 = n_8 & n_23; assign n_1406 = n_9 & n_23; assign n_1408 = n_10 & n_23; assign n_1410 = n_11 & n_23; assign n_1467 = n_1410 & n_1400; assign n_1469 = ~(n_5 | n_174); assign n_1473 = n_1467 | n_1469; assign n_1475 = ~(n_1356 & n_1402); assign n_1477 = n_1356 & n_1402; assign n_1479 = n_138 & n_1467; assign n_1481 = n_1475 ^ n_1473; assign n_1482 = n_1477 | n_1479; assign n_1484 = n_1365 ^ n_1404; assign n_1486 = n_1365 & n_1404; assign n_1488 = n_1484 & n_1482; assign n_1490 = n_1484 ^ n_1482; assign n_1492 = n_1486 | n_1488; assign n_1494 = n_1375 ^ n_1406; assign n_1496 = n_1375 & n_1406; assign n_1498 = n_1494 & n_1492; assign n_1500 = n_1494 ^ n_1492; assign n_1502 = n_1496 | n_1498; assign n_1504 = n_1385 ^ n_1408; assign n_1506 = n_1385 & n_1408; assign n_1508 = n_1504 & n_1502; assign n_1510 = n_1504 ^ n_1502; assign n_1512 = n_1506 | n_1508; assign n_1514 = n_1387 ^ n_1410; assign n_1516 = n_1387 & n_1410; assign n_1518 = n_1514 & n_1512; assign n_1520 = n_1514 ^ n_1512; assign n_1522 = n_1516 | n_1518; assign O[0] = n_5; assign O[1] = n_174; assign O[2] = n_63; assign O[3] = n_12; assign O[4] = n_313; assign O[5] = n_138; assign O[6] = n_3; assign O[7] = n_1088; assign O[8] = n_5; assign O[9] = n_10; assign O[10] = n_55; assign O[11] = n_180; assign O[12] = n_313; assign O[13] = n_18; assign O[14] = n_1231; assign O[15] = n_4; assign O[16] = n_21; assign O[17] = n_238; assign O[18] = n_1481; assign O[19] = n_1490; assign O[20] = n_1500; assign O[21] = n_1510; assign O[22] = n_1520; assign O[23] = n_1522; endmodule