// Library = EvoApprox 12x12 // Circuit = mul12x12_215 // Area (45) = 189 // Delay (45) = 0.720 // Power (45) = 0.07 // MAE = 201975.40000 // MSE = 60914385548.60000 // MRE = 192.14 % // WCE = 822310 // WCRE = 43264200 % // EP = 100.0 % module mul12x12_215(A, B, O); input [11:0] A, B; output [23:0] O; wire n_704, n_1748, n_45, n_44, n_47, n_46, n_41, n_40, n_43, n_42; wire n_384, n_386, n_750, n_751, n_798, n_1620, n_659, n_2044, n_714, n_715; wire n_712, n_713, n_710, n_30, n_31, n_32, n_33, n_34, n_35, n_36; wire n_37, n_38, n_39, n_1744, n_589, n_799, n_392, n_390, n_396, n_394; wire n_2028, n_2042, n_1598, n_1734, n_1834, n_662, n_1864, n_666, n_664, n_665; wire n_668, n_669, n_1630, n_1634, n_1432, n_23, n_22, n_21, n_20, n_27; wire n_26, n_25, n_24, n_802, n_803, n_29, n_28, n_2038, n_2039, n_793; wire n_1836, n_2041, n_1500, n_1870, n_488, n_658, n_486, n_656, n_655, n_654; wire n_121, n_120, n_804, n_2040, n_1, n_18, n_19, n_16, n_17, n_14; wire n_3, n_12, n_13, n_10, n_11, n_330, n_520, n_334, n_336, n_1518; wire n_1530, n_1714, n_586, n_988, n_1712, n_358, n_588, n_1830, n_1498, n_2029; wire n_15, n_89, n_88, n_1857, n_1856, n_1526, n_590, n_362, n_360, n_364; wire n_1310, n_2034, n_78, n_79, n_2043, n_2035, n_76, n_77, n_72, n_73; wire n_746, n_749, n_748, n_2032, n_591, n_278, n_800, n_521, n_801, n_169; wire n_168, n_63, n_62, n_518, n_1838, n_202, n_203, n_756, n_757, n_754; wire n_510, n_511, n_758, n_514, n_515, n_516, n_517, n_8, n_9, n_4; wire n_5, n_6, n_7, n_0, n_304, n_2, n_306, n_1602, n_657, n_1606; wire n_52, n_53, n_794, n_792, n_761, n_760; assign n_0 = A[0]; assign n_1 = A[0]; assign n_2 = A[1]; assign n_3 = A[1]; assign n_4 = A[2]; assign n_5 = A[2]; assign n_6 = A[3]; assign n_7 = A[3]; assign n_8 = A[4]; assign n_9 = A[4]; assign n_10 = A[5]; assign n_11 = A[5]; assign n_12 = A[6]; assign n_13 = A[6]; assign n_14 = A[7]; assign n_15 = A[7]; assign n_16 = A[8]; assign n_17 = A[8]; assign n_18 = A[9]; assign n_19 = A[9]; assign n_20 = A[10]; assign n_21 = A[10]; assign n_22 = A[11]; assign n_23 = A[11]; assign n_24 = B[0]; assign n_25 = B[0]; assign n_26 = B[1]; assign n_27 = B[1]; assign n_28 = B[2]; assign n_29 = B[2]; assign n_30 = B[3]; assign n_31 = B[3]; assign n_32 = B[4]; assign n_33 = B[4]; assign n_34 = B[5]; assign n_35 = B[5]; assign n_36 = B[6]; assign n_37 = B[6]; assign n_38 = B[7]; assign n_39 = B[7]; assign n_40 = B[8]; assign n_41 = B[8]; assign n_42 = B[9]; assign n_43 = B[9]; assign n_44 = B[10]; assign n_45 = B[10]; assign n_46 = B[11]; assign n_47 = B[11]; assign n_52 = ~(n_18 | n_24 | n_30); assign n_53 = n_52; assign n_62 = n_53 & n_24; assign n_63 = n_62; assign n_72 = ~n_62; assign n_73 = n_72; assign n_76 = n_62; assign n_77 = n_76; assign n_78 = ~(n_6 & n_62); assign n_79 = n_78; assign n_88 = ~n_73; assign n_89 = n_88; assign n_120 = ~(n_18 | n_79); assign n_121 = n_120; assign n_168 = ~(n_121 | n_78); assign n_169 = n_168; assign n_202 = ~n_63; assign n_203 = n_202; assign n_278 = n_22 & n_38; assign n_304 = n_20 & n_40; assign n_306 = n_22 & n_40; assign n_330 = n_18 & n_42; assign n_334 = n_20 & n_42; assign n_336 = n_22 & n_42; assign n_358 = n_16 & n_44; assign n_360 = n_18 & n_44; assign n_362 = n_20 & n_44; assign n_364 = n_22 & n_44; assign n_384 = n_14 & n_46; assign n_386 = n_16 & n_46; assign n_390 = n_18 & n_46; assign n_392 = n_20 & n_46; assign n_394 = n_22 & n_46; assign n_396 = ~n_89; assign n_486 = n_278 & n_304; assign n_488 = n_278 | n_304; FAX1 tmp95(.YS(n_510), .YC(n_511), .A(n_330), .B(n_358), .C(n_384)); FAX1 tmp96(.YS(n_514), .YC(n_515), .A(n_334), .B(n_360), .C(n_386)); FAX1 tmp97(.YS(n_516), .YC(n_517), .A(n_336), .B(n_362), .C(n_390)); assign n_518 = n_364 & n_392; HAX1 tmp99(.YS(n_520), .YC(n_521), .A(n_364), .B(n_392)); assign n_586 = n_488; assign n_588 = n_73 & n_486; assign n_589 = n_588; HAX1 tmp103(.YS(n_590), .YC(n_591), .A(n_306), .B(n_486)); FAX1 tmp104(.YS(n_654), .YC(n_655), .A(n_121), .B(n_510), .C(n_511)); FAX1 tmp105(.YS(n_656), .YC(n_657), .A(n_89), .B(n_514), .C(n_511)); FAX1 tmp106(.YS(n_658), .YC(n_659), .A(n_589), .B(n_516), .C(n_515)); assign n_662 = n_520 & n_517; HAX1 tmp108(.YS(n_664), .YC(n_665), .A(n_520), .B(n_517)); assign n_666 = n_203 & n_518; assign n_668 = n_394 ^ n_666; assign n_669 = n_668; assign n_704 = n_586 & n_654; assign n_710 = n_590 & n_656; HAX1 tmp114(.YS(n_712), .YC(n_713), .A(n_590), .B(n_656)); assign n_714 = ~n_203; assign n_715 = n_714; assign n_746 = n_655; FAX1 tmp118(.YS(n_748), .YC(n_749), .A(n_712), .B(n_704), .C(n_655)); FAX1 tmp119(.YS(n_750), .YC(n_751), .A(n_658), .B(n_710), .C(n_657)); assign n_754 = n_664 & n_659; HAX1 tmp121(.YS(n_756), .YC(n_757), .A(n_664), .B(n_659)); assign n_758 = n_669 & n_662; HAX1 tmp123(.YS(n_760), .YC(n_761), .A(n_668), .B(n_662)); HAX1 tmp124(.YS(n_792), .YC(n_793), .A(n_746), .B(n_78)); assign n_794 = n_748; HAX1 tmp126(.YS(n_798), .YC(n_799), .A(n_750), .B(n_749)); HAX1 tmp127(.YS(n_800), .YC(n_801), .A(n_756), .B(n_751)); HAX1 tmp128(.YS(n_802), .YC(n_803), .A(n_760), .B(n_754)); assign n_804 = n_666 | n_758; assign n_988 = ~n_77; assign n_1310 = ~n_169; assign n_1432 = n_793; assign n_1498 = n_794 & n_793; assign n_1500 = n_77 | n_1498; assign n_1518 = n_1500; assign n_1526 = n_1518; assign n_1530 = n_1526; assign n_1598 = n_798 & n_1526; assign n_1602 = n_799; assign n_1606 = n_1598; assign n_1620 = n_1602 | n_1606; assign n_1630 = n_1620; assign n_1634 = n_1630; assign n_1712 = n_800 & n_799; assign n_1714 = n_801 | n_1712; assign n_1734 = n_1714; assign n_1744 = n_1734; assign n_1748 = n_1744; assign n_1830 = n_802 & n_1712; assign n_1834 = n_802 & n_801; assign n_1836 = n_803 | n_1834; assign n_1838 = n_1830; assign n_1856 = n_1836 | n_1838; assign n_1857 = n_1856; assign n_1864 = n_1857; assign n_1870 = n_1864; assign n_2028 = ~n_89; assign n_2029 = n_2028; assign n_2032 = n_792; HAX1 tmp160(.YS(n_2034), .YC(n_2035), .A(n_794), .B(n_1432)); HAX1 tmp161(.YS(n_2038), .YC(n_2039), .A(n_798), .B(n_1530)); HAX1 tmp162(.YS(n_2040), .YC(n_2041), .A(n_800), .B(n_1634)); HAX1 tmp163(.YS(n_2042), .YC(n_2043), .A(n_802), .B(n_1748)); assign n_2044 = n_804 | n_1870; assign O[0] = n_73; assign O[1] = n_396; assign O[2] = n_38; assign O[3] = n_32; assign O[4] = n_10; assign O[5] = n_714; assign O[6] = n_46; assign O[7] = n_76; assign O[8] = n_2; assign O[9] = n_1310; assign O[10] = n_715; assign O[11] = n_0; assign O[12] = n_2029; assign O[13] = n_20; assign O[14] = n_30; assign O[15] = n_988; assign O[16] = n_34; assign O[17] = n_1310; assign O[18] = n_2032; assign O[19] = n_2034; assign O[20] = n_2038; assign O[21] = n_2040; assign O[22] = n_2042; assign O[23] = n_2044; endmodule