// // Verilog description for cell CIRCUIT, // 12/14/05 22:06:42 // // LeonardoSpectrum Level 3, 2004a.63 // module CIRCUIT ( PRI_IN_0, PRI_IN_1, PRI_IN_2, PRI_IN_3, PRI_IN_4, PRI_OUT_0, PRI_OUT_1, PRI_OUT_2, PRI_OUT_3, PRI_OUT_4, C_MUX2_1_SEL, C_MUX2_2_SEL, C_MUX2_3_SEL, C_MUX2_4_SEL, C_MUX2_5_SEL, CLK ) ; input [15:0]PRI_IN_0 ; input [15:0]PRI_IN_1 ; input [15:0]PRI_IN_2 ; input [15:0]PRI_IN_3 ; input [15:0]PRI_IN_4 ; output [15:0]PRI_OUT_0 ; output [15:0]PRI_OUT_1 ; output [15:0]PRI_OUT_2 ; output [15:0]PRI_OUT_3 ; output [15:0]PRI_OUT_4 ; input C_MUX2_1_SEL ; input C_MUX2_2_SEL ; input C_MUX2_3_SEL ; input C_MUX2_4_SEL ; input C_MUX2_5_SEL ; input CLK ; wire nx2, nx10, nx18, nx20, nx38, nx40, nx56, nx58, nx60, nx78, nx80, nx96, nx98, nx100, nx118, nx120, nx136, nx138, nx140, nx158, nx160, nx176, nx178, nx180, nx198, nx200, nx216, nx218, nx220, nx238, nx240, nx256, nx258, nx260, nx278, nx280, nx296, nx300, nx318, nx326, nx328, nx344, nx346, nx348, nx366, nx368, nx384, nx386, nx388, nx406, nx408, nx424, nx426, nx428, nx446, nx448, nx464, nx466, nx468, nx486, nx488, nx504, nx506, nx508, nx526, nx528, nx544, nx546, nx548, nx566, nx568, nx584, nx586, nx588, nx606, nx608, reg_6_q_c_0_, reg_9_q_c_0_, reg_10_q_c_0_, nx626, nx640, nx654, reg_3_q_c_0_, nx676, nx686, nx694, nx706, reg_1_q_c_0_, nx720, nx732, nx736, nx742, nx750, reg_6_q_c_1_, reg_9_q_c_1_, reg_10_q_c_1_, nx766, nx768, nx776, nx778, nx786, nx788, reg_3_q_c_1_, nx800, nx802, nx812, nx814, nx816, reg_1_q_c_1_, nx830, nx844, nx846, reg_6_q_c_2_, reg_2_q_c_2_, nx898, nx908, nx918, reg_3_q_c_2_, reg_5_q_c_2_, nx946, nx948, nx950, nx962, nx964, reg_1_q_c_2_, nx984, nx988, nx998, nx1002, nx1004, reg_6_q_c_3_, reg_9_q_c_3_, nx1036, reg_2_q_c_3_, nx1044, reg_10_q_c_3_, nx1052, nx1054, nx1056, nx1064, nx1066, nx1074, nx1076, reg_3_q_c_3_, nx1090, reg_5_q_c_3_, nx1106, nx1108, nx1118, nx1120, nx1122, reg_1_q_c_3_, nx1146, nx1160, nx1162, reg_6_q_c_4_, nx1214, nx1224, nx1234, reg_3_q_c_4_, nx1262, nx1264, nx1266, nx1278, nx1280, reg_1_q_c_4_, nx1304, nx1314, nx1318, nx1320, reg_6_q_c_5_, nx1344, reg_9_q_c_5_, nx1352, reg_2_q_c_5_, nx1360, reg_10_q_c_5_, nx1368, nx1370, nx1372, nx1380, nx1382, nx1390, nx1392, reg_3_q_c_5_, nx1406, reg_5_q_c_5_, nx1422, nx1424, nx1434, nx1436, nx1438, reg_1_q_c_5_, nx1462, nx1476, nx1478, reg_6_q_c_6_, reg_2_q_c_6_, nx1530, nx1540, nx1550, reg_3_q_c_6_, reg_5_q_c_6_, nx1578, nx1580, nx1582, nx1594, nx1596, reg_1_q_c_6_, nx1620, nx1630, nx1634, nx1636, reg_6_q_c_7_, nx1660, reg_9_q_c_7_, nx1668, reg_2_q_c_7_, nx1676, reg_10_q_c_7_, nx1684, nx1686, nx1688, nx1696, nx1698, nx1706, nx1708, reg_3_q_c_7_, nx1722, reg_5_q_c_7_, nx1738, nx1740, nx1750, nx1752, nx1754, reg_1_q_c_7_, nx1778, nx1792, nx1794, reg_6_q_c_8_, reg_2_q_c_8_, nx1846, nx1856, nx1866, reg_3_q_c_8_, reg_5_q_c_8_, nx1894, nx1896, nx1898, nx1910, nx1912, reg_1_q_c_8_, nx1936, nx1946, nx1950, nx1952, reg_6_q_c_9_, nx1976, reg_9_q_c_9_, nx1984, reg_2_q_c_9_, nx1992, reg_10_q_c_9_, nx2000, nx2002, nx2004, nx2012, nx2014, nx2022, nx2024, reg_3_q_c_9_, nx2038, reg_5_q_c_9_, nx2054, nx2056, nx2066, nx2068, nx2070, reg_1_q_c_9_, nx2094, nx2108, nx2110, reg_6_q_c_10_, reg_2_q_c_10_, nx2162, nx2172, nx2182, reg_3_q_c_10_, reg_5_q_c_10_, nx2210, nx2212, nx2214, nx2226, nx2228, reg_1_q_c_10_, nx2248, nx2252, nx2262, nx2266, nx2268, reg_6_q_c_11_, nx2292, reg_9_q_c_11_, nx2300, reg_2_q_c_11_, nx2308, reg_10_q_c_11_, nx2316, nx2318, nx2320, nx2328, nx2330, nx2338, nx2340, reg_3_q_c_11_, nx2354, reg_5_q_c_11_, nx2370, nx2372, nx2382, nx2384, nx2386, reg_1_q_c_11_, nx2410, nx2426, reg_6_q_c_12_, reg_2_q_c_12_, nx2478, nx2488, nx2498, reg_3_q_c_12_, reg_5_q_c_12_, nx2526, nx2528, nx2530, nx2542, nx2544, reg_1_q_c_12_, nx2568, nx2578, nx2582, nx2584, reg_6_q_c_13_, reg_9_q_c_13_, nx2616, reg_2_q_c_13_, nx2624, reg_10_q_c_13_, nx2632, nx2634, nx2636, nx2644, nx2646, nx2654, nx2656, reg_3_q_c_13_, nx2670, reg_5_q_c_13_, nx2686, nx2688, nx2698, nx2700, nx2702, reg_1_q_c_13_, nx2726, nx2742, reg_6_q_c_14_, reg_2_q_c_14_, nx2794, nx2804, nx2814, reg_3_q_c_14_, reg_5_q_c_14_, nx2842, nx2844, nx2846, nx2858, nx2860, reg_1_q_c_14_, nx2884, nx2894, nx2898, nx2900, reg_6_q_c_15_, reg_9_q_c_15_, nx2932, reg_2_q_c_15_, nx2940, reg_10_q_c_15_, nx2948, nx2952, nx2962, nx2972, reg_3_q_c_15_, nx2986, reg_5_q_c_15_, nx3002, nx3004, nx3018, reg_1_q_c_15_, nx3052, nx3072, reg_4_q_c_0_, nx3080, nx3094, reg_4_q_c_1_, nx3096, nx3098, nx3112, reg_4_q_c_2_, nx3122, nx3124, nx3138, reg_4_q_c_3_, nx3146, nx3148, nx3150, nx3164, reg_4_q_c_4_, nx3174, nx3176, nx3190, reg_4_q_c_5_, nx3198, nx3200, nx3202, nx3216, reg_4_q_c_6_, nx3226, nx3228, nx3242, reg_4_q_c_7_, nx3250, nx3252, nx3254, nx3268, reg_4_q_c_8_, nx3278, nx3280, nx3294, reg_4_q_c_9_, nx3302, nx3304, nx3306, nx3320, reg_4_q_c_10_, nx3330, nx3332, nx3346, reg_4_q_c_11_, nx3354, nx3356, nx3358, nx3372, reg_4_q_c_12_, nx3382, nx3384, nx3398, reg_4_q_c_13_, nx3406, nx3408, nx3410, nx3424, reg_4_q_c_14_, nx3434, nx3436, nx3450, reg_4_q_c_15_, nx3458, nx3462, nx1401, nx1415, nx1417, nx1431, nx1433, nx1447, nx1449, nx1465, nx1467, nx1482, nx1484, nx1497, nx1499, nx1515, nx1517, nx1527, nx1541, nx1549, nx1551, nx1559, nx1569, nx1571, nx1577, nx1579, nx1585, nx1587, nx1605, nx1613, nx1619, nx1623, nx1627, nx1631, nx1637, nx1640, nx1642, nx1643, nx1645, nx1665, nx1673, nx1675, nx1683, nx1691, nx1693, nx1699, nx1702, nx1705, nx1713, nx1719, nx1725, nx1729, nx1735, nx1739, nx1744, nx1747, nx1749, nx1751, nx1771, nx1779, nx1781, nx1787, nx1795, nx1797, nx1801, nx1805, nx1809, nx1819, nx1827, nx1833, nx1837, nx1843, nx1847, nx1851, nx1855, nx1857, nx1858, nx1859, nx1874, nx1883, nx1885, nx1893, nx1901, nx1903, nx1909, nx1913, nx1916, nx1923, nx1931, nx1937, nx1940, nx1945, nx1949, nx1955, nx1958, nx1961, nx1962, nx1963, nx1983, nx1991, nx1993, nx2001, nx2008, nx2010, nx2016, nx2019, nx2023, nx2030, nx2037, nx2043, nx2047, nx2053, nx2057, nx2061, nx2065, nx2067, nx2069, nx2071, nx2089, nx2097, nx2099, nx2105, nx2113, nx2115, nx2120, nx2125, nx2129, nx2139, nx2147, nx2153, nx2157, nx2163, nx2166, nx2171, nx2175, nx2177, nx2178, nx2179, nx2197, nx2203, nx2205, nx2213, nx2219, nx2221, nx2227, nx2231, nx2234, nx2243, nx2251, nx2256, nx2259, nx2265, nx2269, nx2273, nx2277, nx2279, nx2280, nx2281, nx2301, nx2303, nx2311, nx2313, nx2321, nx2326, nx2329, nx2333, nx2336, nx2345, nx2347, nx2365, nx2367, nx2381, nx2383, nx2395, nx2397, nx2413, nx2415, nx2430, nx2432, nx2445, nx2447, nx2463, nx2465, nx2487, nx2505, nx2515, nx2529, nx2531, nx2539, nx2549, nx2565, nx2601, nx2611, nx2623, nx2639, nx2673, nx2675, nx2685, nx2708, nx2746, nx2748, nx2757, nx2769, nx2785, nx2809, nx2820, nx2822, nx2833, nx2845, nx2857, nx2904, nx2915, nx2978, nx2989, nx3013, nx3038, nx3041, nx3043, nx3045, nx3061, nx3063, nx3069, nx3071, nx3073, nx3075, nx3077, nx3079, nx3081, nx3083, nx3085, nx3087, nx3089, nx3091, nx3093, nx3095, nx3097, nx3099, nx3101, nx1534, reg_2_q_c_0_, nx1544, reg_5_q_c_0_, nx1565, reg_2_q_c_1_, nx1593, reg_5_q_c_1_, nx1599, nx1565_XX0_XREP3, reg_2_q_c_4_, nx1753, reg_5_q_c_4_, nx3263, nx3264, nx3265, nx3266, nx3267, nx3269, nx3270, nx3271, nx3272, nx3273, nx3274, nx3275, nx3276, nx3277, nx3279, nx3281, nx3282, nx3283, nx3284, nx3285, nx3286, nx3287, nx3288, nx3289, nx2880, nx3290, nx3059, nx3291, nx3292, nx2951, nx2957, nx3057, nx2564, nx2873, nx2881, nx3055, nx3293, nx3295, nx3296, nx3297, nx3298, nx3299, nx3300, nx3301, nx3303, nx3305, nx3307, nx3308, nx3309, nx3310, nx3311, nx3312, nx3313, nx3314, nx3315, nx3316, nx3317, nx2803, nx3318, nx3053, nx3319, nx3321, nx2727, nx2732, nx3322, nx2653, nx2660, nx3049, nx3323, nx3324, nx3325, nx3326, nx3327, nx3328, nx3329, nx3331, nx3333, nx3334, nx3335, nx3336, nx3337, nx3338, nx3339, nx3340, nx3341, nx3342, nx3343, nx3344, nx3345, nx3347, nx3348, nx3349, nx3350, nx3351, nx3352, nx3353, nx3058, nx2968, nx2608, nx3355, nx2740, nx3357, nx3359, nx2893, nx3360, nx2424, nx3361, nx3362, nx3363, nx3364, nx3365, nx3366, nx3367, nx3368, nx3369, nx3042, nx3370, nx3371, nx3373, nx3374, nx3375, nx3376, nx3377, nx3378, nx3379, nx3380, nx3381, nx3383, nx3385, nx3386, nx3387, nx3388, nx3389, nx3390, nx3391, nx3392, nx3393, nx3394, nx3395, nx3396, nx3397, nx3399, nx3400, nx3401, nx3402, nx3403, nx3404, nx3405, NOT_nx1932, nx3407, nx3409, nx3411, nx3412, nx3413, nx3414, nx3415, nx3416, nx3417, nx3418, nx1616, nx3419, nx3420, nx3421, nx2694, nx1300, nx3422, nx3051, nx3423, nx2581, nx2588, nx3047, nx3425, nx3426, nx3427, nx3428, nx3429, nx1028, nx2599, nx3430, nx3431, nx3432, nx3433, nx3435, nx3437, nx3438, nx3439, nx3440, nx3441, nx3442, nx3443, nx3444, nx3445, nx3446, nx3447, nx3448, nx3449, nx3451, nx3452, nx3622; wire [151:0] \$dummy ; dff REG_8_reg_q_0_ (.Q (PRI_OUT_4[0]), .QB (\$dummy [0]), .D (nx10), .CLK ( CLK)) ; oai21 ix11 (.Y (nx10), .A0 (nx1401), .A1 (PRI_IN_4[0]), .B0 (nx2)) ; inv02 ix1402 (.Y (nx1401), .A (PRI_IN_1[0])) ; nand02 ix3 (.Y (nx2), .A0 (PRI_IN_4[0]), .A1 (nx1401)) ; dff REG_8_reg_q_1_ (.Q (PRI_OUT_4[1]), .QB (\$dummy [1]), .D (nx20), .CLK ( CLK)) ; xor2 ix21 (.Y (nx20), .A0 (nx2), .A1 (nx18)) ; xnor2 ix19 (.Y (nx18), .A0 (PRI_IN_1[1]), .A1 (PRI_IN_4[1])) ; dff REG_8_reg_q_2_ (.Q (PRI_OUT_4[2]), .QB (\$dummy [2]), .D (nx40), .CLK ( CLK)) ; xnor2 ix41 (.Y (nx40), .A0 (nx1415), .A1 (nx38)) ; aoi22 ix1416 (.Y (nx1415), .A0 (nx1417), .A1 (PRI_IN_1[1]), .B0 (nx2), .B1 ( nx18)) ; inv02 ix1418 (.Y (nx1417), .A (PRI_IN_4[1])) ; xnor2 ix39 (.Y (nx38), .A0 (PRI_IN_1[2]), .A1 (PRI_IN_4[2])) ; dff REG_8_reg_q_3_ (.Q (PRI_OUT_4[3]), .QB (\$dummy [3]), .D (nx60), .CLK ( CLK)) ; xor2 ix61 (.Y (nx60), .A0 (nx56), .A1 (nx58)) ; mux21 ix57 (.Y (nx56), .A0 (PRI_IN_4[2]), .A1 (nx1415), .S0 (nx38)) ; xnor2 ix59 (.Y (nx58), .A0 (PRI_IN_1[3]), .A1 (PRI_IN_4[3])) ; dff REG_8_reg_q_4_ (.Q (PRI_OUT_4[4]), .QB (\$dummy [4]), .D (nx80), .CLK ( CLK)) ; xnor2 ix81 (.Y (nx80), .A0 (nx1431), .A1 (nx78)) ; aoi22 ix1432 (.Y (nx1431), .A0 (nx1433), .A1 (PRI_IN_1[3]), .B0 (nx56), .B1 ( nx58)) ; inv02 ix1434 (.Y (nx1433), .A (PRI_IN_4[3])) ; xnor2 ix79 (.Y (nx78), .A0 (PRI_IN_1[4]), .A1 (PRI_IN_4[4])) ; dff REG_8_reg_q_5_ (.Q (PRI_OUT_4[5]), .QB (\$dummy [5]), .D (nx100), .CLK ( CLK)) ; xor2 ix101 (.Y (nx100), .A0 (nx96), .A1 (nx98)) ; mux21 ix97 (.Y (nx96), .A0 (PRI_IN_4[4]), .A1 (nx1431), .S0 (nx78)) ; xnor2 ix99 (.Y (nx98), .A0 (PRI_IN_1[5]), .A1 (PRI_IN_4[5])) ; dff REG_8_reg_q_6_ (.Q (PRI_OUT_4[6]), .QB (\$dummy [6]), .D (nx120), .CLK ( CLK)) ; xnor2 ix121 (.Y (nx120), .A0 (nx1447), .A1 (nx118)) ; aoi22 ix1448 (.Y (nx1447), .A0 (nx1449), .A1 (PRI_IN_1[5]), .B0 (nx96), .B1 ( nx98)) ; inv02 ix1450 (.Y (nx1449), .A (PRI_IN_4[5])) ; xnor2 ix119 (.Y (nx118), .A0 (PRI_IN_1[6]), .A1 (PRI_IN_4[6])) ; dff REG_8_reg_q_7_ (.Q (PRI_OUT_4[7]), .QB (\$dummy [7]), .D (nx140), .CLK ( CLK)) ; xor2 ix141 (.Y (nx140), .A0 (nx136), .A1 (nx138)) ; mux21 ix137 (.Y (nx136), .A0 (PRI_IN_4[6]), .A1 (nx1447), .S0 (nx118)) ; xnor2 ix139 (.Y (nx138), .A0 (PRI_IN_1[7]), .A1 (PRI_IN_4[7])) ; dff REG_8_reg_q_8_ (.Q (PRI_OUT_4[8]), .QB (\$dummy [8]), .D (nx160), .CLK ( CLK)) ; xnor2 ix161 (.Y (nx160), .A0 (nx1465), .A1 (nx158)) ; aoi22 ix1466 (.Y (nx1465), .A0 (nx1467), .A1 (PRI_IN_1[7]), .B0 (nx136), .B1 ( nx138)) ; inv02 ix1468 (.Y (nx1467), .A (PRI_IN_4[7])) ; xnor2 ix159 (.Y (nx158), .A0 (PRI_IN_1[8]), .A1 (PRI_IN_4[8])) ; dff REG_8_reg_q_9_ (.Q (PRI_OUT_4[9]), .QB (\$dummy [9]), .D (nx180), .CLK ( CLK)) ; xor2 ix181 (.Y (nx180), .A0 (nx176), .A1 (nx178)) ; mux21 ix177 (.Y (nx176), .A0 (PRI_IN_4[8]), .A1 (nx1465), .S0 (nx158)) ; xnor2 ix179 (.Y (nx178), .A0 (PRI_IN_1[9]), .A1 (PRI_IN_4[9])) ; dff REG_8_reg_q_10_ (.Q (PRI_OUT_4[10]), .QB (\$dummy [10]), .D (nx200), .CLK ( CLK)) ; xnor2 ix201 (.Y (nx200), .A0 (nx1482), .A1 (nx198)) ; aoi22 ix1483 (.Y (nx1482), .A0 (nx1484), .A1 (PRI_IN_1[9]), .B0 (nx176), .B1 ( nx178)) ; inv02 ix1485 (.Y (nx1484), .A (PRI_IN_4[9])) ; xnor2 ix199 (.Y (nx198), .A0 (PRI_IN_1[10]), .A1 (PRI_IN_4[10])) ; dff REG_8_reg_q_11_ (.Q (PRI_OUT_4[11]), .QB (\$dummy [11]), .D (nx220), .CLK ( CLK)) ; xor2 ix221 (.Y (nx220), .A0 (nx216), .A1 (nx218)) ; mux21 ix217 (.Y (nx216), .A0 (PRI_IN_4[10]), .A1 (nx1482), .S0 (nx198)) ; xnor2 ix219 (.Y (nx218), .A0 (PRI_IN_1[11]), .A1 (PRI_IN_4[11])) ; dff REG_8_reg_q_12_ (.Q (PRI_OUT_4[12]), .QB (\$dummy [12]), .D (nx240), .CLK ( CLK)) ; xnor2 ix241 (.Y (nx240), .A0 (nx1497), .A1 (nx238)) ; aoi22 ix1498 (.Y (nx1497), .A0 (nx1499), .A1 (PRI_IN_1[11]), .B0 (nx216), .B1 ( nx218)) ; inv02 ix1500 (.Y (nx1499), .A (PRI_IN_4[11])) ; xnor2 ix239 (.Y (nx238), .A0 (PRI_IN_1[12]), .A1 (PRI_IN_4[12])) ; dff REG_8_reg_q_13_ (.Q (PRI_OUT_4[13]), .QB (\$dummy [13]), .D (nx260), .CLK ( CLK)) ; xor2 ix261 (.Y (nx260), .A0 (nx256), .A1 (nx258)) ; mux21 ix257 (.Y (nx256), .A0 (PRI_IN_4[12]), .A1 (nx1497), .S0 (nx238)) ; xnor2 ix259 (.Y (nx258), .A0 (PRI_IN_1[13]), .A1 (PRI_IN_4[13])) ; dff REG_8_reg_q_14_ (.Q (PRI_OUT_4[14]), .QB (\$dummy [14]), .D (nx280), .CLK ( CLK)) ; xnor2 ix281 (.Y (nx280), .A0 (nx1515), .A1 (nx278)) ; aoi22 ix1516 (.Y (nx1515), .A0 (nx1517), .A1 (PRI_IN_1[13]), .B0 (nx256), .B1 ( nx258)) ; inv02 ix1518 (.Y (nx1517), .A (PRI_IN_4[13])) ; xnor2 ix279 (.Y (nx278), .A0 (PRI_IN_1[14]), .A1 (PRI_IN_4[14])) ; dff REG_8_reg_q_15_ (.Q (PRI_OUT_4[15]), .QB (\$dummy [15]), .D (nx300), .CLK ( CLK)) ; xnor2 ix301 (.Y (nx300), .A0 (nx296), .A1 (nx1527)) ; mux21 ix297 (.Y (nx296), .A0 (PRI_IN_4[14]), .A1 (nx1515), .S0 (nx278)) ; xor2 ix1528 (.Y (nx1527), .A0 (PRI_IN_1[15]), .A1 (PRI_IN_4[15])) ; ao21 ix3091 (.Y (PRI_OUT_3[0]), .A0 (C_MUX2_4_SEL), .A1 (reg_4_q_c_0_), .B0 ( nx3072)) ; dff REG_4_reg_q_0_ (.Q (reg_4_q_c_0_), .QB (\$dummy [16]), .D (nx3080), .CLK ( CLK)) ; xnor2 ix3081 (.Y (nx3080), .A0 (PRI_OUT_4[0]), .A1 (nx3263)) ; xor2 ix641 (.Y (nx640), .A0 (PRI_IN_1[0]), .A1 (reg_10_q_c_0_)) ; dff REG_10_reg_q_0_ (.Q (reg_10_q_c_0_), .QB (\$dummy [17]), .D (nx626), .CLK ( CLK)) ; dff REG_9_reg_q_0_ (.Q (reg_9_q_c_0_), .QB (nx1541), .D (nx654), .CLK (CLK) ) ; ao21 ix677 (.Y (nx676), .A0 (PRI_IN_2[0]), .A1 (nx1549), .B0 (nx1551)) ; inv02 ix1550 (.Y (nx1549), .A (PRI_IN_3[0])) ; nor02 ix1552 (.Y (nx1551), .A0 (nx1549), .A1 (PRI_IN_2[0])) ; nor02 ix3073 (.Y (nx3072), .A0 (C_MUX2_4_SEL), .A1 (nx1544)) ; ao21 ix3109 (.Y (PRI_OUT_3[1]), .A0 (C_MUX2_4_SEL), .A1 (reg_4_q_c_1_), .B0 ( nx3094)) ; dff REG_4_reg_q_1_ (.Q (reg_4_q_c_1_), .QB (\$dummy [18]), .D (nx3098), .CLK ( CLK)) ; xnor2 ix3099 (.Y (nx3098), .A0 (nx1559), .A1 (nx3096)) ; nand02 ix1560 (.Y (nx1559), .A0 (PRI_OUT_4[0]), .A1 (nx686)) ; xnor2 ix3097 (.Y (nx3096), .A0 (PRI_OUT_4[1]), .A1 (nx1565)) ; xor2 ix779 (.Y (nx778), .A0 (nx1569), .A1 (nx1571)) ; nand02 ix1570 (.Y (nx1569), .A0 (PRI_IN_1[0]), .A1 (reg_10_q_c_0_)) ; xnor2 ix1572 (.Y (nx1571), .A0 (PRI_IN_1[1]), .A1 (reg_10_q_c_1_)) ; dff REG_10_reg_q_1_ (.Q (reg_10_q_c_1_), .QB (\$dummy [19]), .D (nx768), .CLK ( CLK)) ; xor2 ix769 (.Y (nx768), .A0 (nx1577), .A1 (nx1579)) ; nand02 ix1578 (.Y (nx1577), .A0 (PRI_IN_1[0]), .A1 (reg_9_q_c_0_)) ; xnor2 ix1580 (.Y (nx1579), .A0 (PRI_IN_1[1]), .A1 (reg_9_q_c_1_)) ; dff REG_9_reg_q_1_ (.Q (reg_9_q_c_1_), .QB (\$dummy [20]), .D (nx788), .CLK ( CLK)) ; xor2 ix789 (.Y (nx788), .A0 (nx1585), .A1 (nx1587)) ; nand02 ix1586 (.Y (nx1585), .A0 (PRI_IN_3[0]), .A1 (reg_2_q_c_0_)) ; xnor2 ix803 (.Y (nx802), .A0 (nx1551), .A1 (nx800)) ; xnor2 ix801 (.Y (nx800), .A0 (PRI_IN_2[1]), .A1 (PRI_IN_3[1])) ; nor02 ix3095 (.Y (nx3094), .A0 (C_MUX2_4_SEL), .A1 (nx1593)) ; ao21 ix3135 (.Y (PRI_OUT_3[2]), .A0 (C_MUX2_4_SEL), .A1 (reg_4_q_c_2_), .B0 ( nx3112)) ; dff REG_4_reg_q_2_ (.Q (reg_4_q_c_2_), .QB (\$dummy [21]), .D (nx3124), .CLK ( CLK)) ; xnor2 ix3125 (.Y (nx3124), .A0 (nx1605), .A1 (nx3122)) ; aoi32 ix1606 (.Y (nx1605), .A0 (PRI_OUT_4[0]), .A1 (nx686), .A2 (nx3096), .B0 ( nx812), .B1 (PRI_OUT_4[1])) ; xnor2 ix3123 (.Y (nx3122), .A0 (PRI_OUT_4[2]), .A1 (nx3047)) ; mux21 ix1614 (.Y (nx1613), .A0 (reg_2_q_c_2_), .A1 (nx3430), .S0 ( C_MUX2_1_SEL)) ; dff REG_2_reg_q_2_ (.Q (reg_2_q_c_2_), .QB (nx1645), .D (nx908), .CLK (CLK) ) ; xor2 ix909 (.Y (nx908), .A0 (nx1619), .A1 (nx1623)) ; aoi32 ix1620 (.Y (nx1619), .A0 (PRI_IN_1[0]), .A1 (reg_10_q_c_0_), .A2 ( nx776), .B0 (reg_10_q_c_1_), .B1 (PRI_IN_1[1])) ; dff REG_10_reg_q_2_ (.Q (\$dummy [22]), .QB (nx1643), .D (nx898), .CLK (CLK) ) ; xor2 ix899 (.Y (nx898), .A0 (nx1627), .A1 (nx1631)) ; aoi32 ix1628 (.Y (nx1627), .A0 (PRI_IN_1[0]), .A1 (reg_9_q_c_0_), .A2 (nx766 ), .B0 (reg_9_q_c_1_), .B1 (PRI_IN_1[1])) ; dff REG_9_reg_q_2_ (.Q (\$dummy [23]), .QB (nx1642), .D (nx918), .CLK (CLK) ) ; xor2 ix919 (.Y (nx918), .A0 (nx1637), .A1 (nx1640)) ; aoi32 ix1638 (.Y (nx1637), .A0 (PRI_IN_3[0]), .A1 (reg_2_q_c_0_), .A2 (nx786 ), .B0 (reg_2_q_c_1_), .B1 (PRI_IN_3[1])) ; dff REG_5_reg_q_2_ (.Q (reg_5_q_c_2_), .QB (\$dummy [24]), .D (nx950), .CLK ( CLK)) ; xor2 ix951 (.Y (nx950), .A0 (nx946), .A1 (nx948)) ; mux21 ix947 (.Y (nx946), .A0 (PRI_IN_3[1]), .A1 (nx1551), .S0 (nx800)) ; xnor2 ix949 (.Y (nx948), .A0 (PRI_IN_2[2]), .A1 (PRI_IN_3[2])) ; nor02 ix3113 (.Y (nx3112), .A0 (C_MUX2_4_SEL), .A1 (nx1645)) ; ao21 ix3161 (.Y (PRI_OUT_3[3]), .A0 (C_MUX2_4_SEL), .A1 (reg_4_q_c_3_), .B0 ( nx3138)) ; dff REG_4_reg_q_3_ (.Q (reg_4_q_c_3_), .QB (\$dummy [25]), .D (nx3150), .CLK ( CLK)) ; xor2 ix3151 (.Y (nx3150), .A0 (nx3146), .A1 (nx3148)) ; mux21 ix3147 (.Y (nx3146), .A0 (nx3047), .A1 (nx1605), .S0 (nx3122)) ; xnor2 ix3149 (.Y (nx3148), .A0 (PRI_OUT_4[3]), .A1 (nx3432)) ; mux21 ix1666 (.Y (nx1665), .A0 (reg_2_q_c_3_), .A1 (reg_5_q_c_3_), .S0 ( C_MUX2_1_SEL)) ; dff REG_2_reg_q_3_ (.Q (reg_2_q_c_3_), .QB (nx1699), .D (nx1066), .CLK (CLK) ) ; xnor2 ix1067 (.Y (nx1066), .A0 (nx1044), .A1 (nx1675)) ; oai22 ix1045 (.Y (nx1044), .A0 (nx1619), .A1 (nx1623), .B0 (nx1643), .B1 ( nx1673)) ; inv02 ix1674 (.Y (nx1673), .A (PRI_IN_1[2])) ; xnor2 ix1676 (.Y (nx1675), .A0 (PRI_IN_1[3]), .A1 (reg_10_q_c_3_)) ; dff REG_10_reg_q_3_ (.Q (reg_10_q_c_3_), .QB (\$dummy [26]), .D (nx1056), .CLK ( CLK)) ; xnor2 ix1057 (.Y (nx1056), .A0 (nx1052), .A1 (nx1683)) ; oai22 ix1053 (.Y (nx1052), .A0 (nx1627), .A1 (nx1631), .B0 (nx1642), .B1 ( nx1673)) ; xnor2 ix1684 (.Y (nx1683), .A0 (PRI_IN_1[3]), .A1 (reg_9_q_c_3_)) ; dff REG_9_reg_q_3_ (.Q (reg_9_q_c_3_), .QB (\$dummy [27]), .D (nx1076), .CLK ( CLK)) ; xnor2 ix1077 (.Y (nx1076), .A0 (nx1036), .A1 (nx1693)) ; oai22 ix1037 (.Y (nx1036), .A0 (nx1637), .A1 (nx1640), .B0 (nx1645), .B1 ( nx1691)) ; inv02 ix1692 (.Y (nx1691), .A (PRI_IN_3[2])) ; dff REG_5_reg_q_3_ (.Q (reg_5_q_c_3_), .QB (nx1705), .D (nx1108), .CLK (CLK) ) ; xnor2 ix1109 (.Y (nx1108), .A0 (nx1702), .A1 (nx1106)) ; aoi22 ix1703 (.Y (nx1702), .A0 (nx1691), .A1 (PRI_IN_2[2]), .B0 (nx946), .B1 ( nx948)) ; xnor2 ix1107 (.Y (nx1106), .A0 (PRI_IN_2[3]), .A1 (PRI_IN_3[3])) ; nor02 ix3139 (.Y (nx3138), .A0 (C_MUX2_4_SEL), .A1 (nx1699)) ; ao21 ix3187 (.Y (PRI_OUT_3[4]), .A0 (C_MUX2_4_SEL), .A1 (reg_4_q_c_4_), .B0 ( nx3164)) ; dff REG_4_reg_q_4_ (.Q (reg_4_q_c_4_), .QB (\$dummy [28]), .D (nx3176), .CLK ( CLK)) ; xnor2 ix3177 (.Y (nx3176), .A0 (nx1713), .A1 (nx3174)) ; aoi22 ix1714 (.Y (nx1713), .A0 (nx1118), .A1 (PRI_OUT_4[3]), .B0 (nx3146), .B1 ( nx3148)) ; xnor2 ix3175 (.Y (nx3174), .A0 (PRI_OUT_4[4]), .A1 (nx3264)) ; mux21 ix1720 (.Y (nx1719), .A0 (reg_2_q_c_4_), .A1 (reg_5_q_c_4_), .S0 ( C_MUX2_1_SEL)) ; xor2 ix1225 (.Y (nx1224), .A0 (nx1725), .A1 (nx1729)) ; aoi22 ix1726 (.Y (nx1725), .A0 (reg_10_q_c_3_), .A1 (PRI_IN_1[3]), .B0 ( nx1044), .B1 (nx1064)) ; dff REG_10_reg_q_4_ (.Q (\$dummy [29]), .QB (nx1751), .D (nx1214), .CLK (CLK )) ; xor2 ix1215 (.Y (nx1214), .A0 (nx1735), .A1 (nx1739)) ; aoi22 ix1736 (.Y (nx1735), .A0 (reg_9_q_c_3_), .A1 (PRI_IN_1[3]), .B0 ( nx1052), .B1 (nx1054)) ; dff REG_9_reg_q_4_ (.Q (\$dummy [30]), .QB (nx1749), .D (nx1234), .CLK (CLK) ) ; xor2 ix1235 (.Y (nx1234), .A0 (nx1744), .A1 (nx1747)) ; aoi22 ix1745 (.Y (nx1744), .A0 (reg_2_q_c_3_), .A1 (PRI_IN_3[3]), .B0 ( nx1036), .B1 (nx1074)) ; xor2 ix1267 (.Y (nx1266), .A0 (nx1262), .A1 (nx1264)) ; mux21 ix1263 (.Y (nx1262), .A0 (PRI_IN_3[3]), .A1 (nx1702), .S0 (nx1106)) ; xnor2 ix1265 (.Y (nx1264), .A0 (PRI_IN_2[4]), .A1 (PRI_IN_3[4])) ; nor02 ix3165 (.Y (nx3164), .A0 (C_MUX2_4_SEL), .A1 (nx1753)) ; ao21 ix3213 (.Y (PRI_OUT_3[5]), .A0 (C_MUX2_4_SEL), .A1 (reg_4_q_c_5_), .B0 ( nx3190)) ; dff REG_4_reg_q_5_ (.Q (reg_4_q_c_5_), .QB (\$dummy [31]), .D (nx3202), .CLK ( CLK)) ; xor2 ix3203 (.Y (nx3202), .A0 (nx3198), .A1 (nx3200)) ; mux21 ix3199 (.Y (nx3198), .A0 (nx3264), .A1 (nx1713), .S0 (nx3174)) ; xnor2 ix3201 (.Y (nx3200), .A0 (PRI_OUT_4[5]), .A1 (nx3435)) ; mux21 ix1772 (.Y (nx1771), .A0 (reg_2_q_c_5_), .A1 (reg_5_q_c_5_), .S0 ( C_MUX2_1_SEL)) ; dff REG_2_reg_q_5_ (.Q (reg_2_q_c_5_), .QB (nx1801), .D (nx1382), .CLK (CLK) ) ; xnor2 ix1383 (.Y (nx1382), .A0 (nx1360), .A1 (nx1781)) ; oai22 ix1361 (.Y (nx1360), .A0 (nx1725), .A1 (nx1729), .B0 (nx1751), .B1 ( nx1779)) ; inv02 ix1780 (.Y (nx1779), .A (PRI_IN_1[4])) ; xnor2 ix1782 (.Y (nx1781), .A0 (PRI_IN_1[5]), .A1 (reg_10_q_c_5_)) ; dff REG_10_reg_q_5_ (.Q (reg_10_q_c_5_), .QB (\$dummy [32]), .D (nx1372), .CLK ( CLK)) ; xnor2 ix1373 (.Y (nx1372), .A0 (nx1368), .A1 (nx1787)) ; oai22 ix1369 (.Y (nx1368), .A0 (nx1735), .A1 (nx1739), .B0 (nx1749), .B1 ( nx1779)) ; xnor2 ix1788 (.Y (nx1787), .A0 (PRI_IN_1[5]), .A1 (reg_9_q_c_5_)) ; dff REG_9_reg_q_5_ (.Q (reg_9_q_c_5_), .QB (\$dummy [33]), .D (nx1392), .CLK ( CLK)) ; xnor2 ix1393 (.Y (nx1392), .A0 (nx1352), .A1 (nx1797)) ; oai22 ix1353 (.Y (nx1352), .A0 (nx1744), .A1 (nx1747), .B0 (nx1753), .B1 ( nx1795)) ; inv02 ix1796 (.Y (nx1795), .A (PRI_IN_3[4])) ; dff REG_5_reg_q_5_ (.Q (reg_5_q_c_5_), .QB (nx1809), .D (nx1424), .CLK (CLK) ) ; xnor2 ix1425 (.Y (nx1424), .A0 (nx1805), .A1 (nx1422)) ; aoi22 ix1806 (.Y (nx1805), .A0 (nx1795), .A1 (PRI_IN_2[4]), .B0 (nx1262), .B1 ( nx1264)) ; xnor2 ix1423 (.Y (nx1422), .A0 (PRI_IN_2[5]), .A1 (PRI_IN_3[5])) ; nor02 ix3191 (.Y (nx3190), .A0 (C_MUX2_4_SEL), .A1 (nx1801)) ; ao21 ix3239 (.Y (PRI_OUT_3[6]), .A0 (C_MUX2_4_SEL), .A1 (reg_4_q_c_6_), .B0 ( nx3216)) ; dff REG_4_reg_q_6_ (.Q (reg_4_q_c_6_), .QB (\$dummy [34]), .D (nx3228), .CLK ( CLK)) ; xnor2 ix3229 (.Y (nx3228), .A0 (nx1819), .A1 (nx3226)) ; aoi22 ix1820 (.Y (nx1819), .A0 (nx1434), .A1 (PRI_OUT_4[5]), .B0 (nx3198), .B1 ( nx3200)) ; xnor2 ix3227 (.Y (nx3226), .A0 (PRI_OUT_4[6]), .A1 (nx3051)) ; mux21 ix1828 (.Y (nx1827), .A0 (nx3438), .A1 (nx3440), .S0 (C_MUX2_1_SEL)) ; dff REG_2_reg_q_6_ (.Q (reg_2_q_c_6_), .QB (nx1859), .D (nx1540), .CLK (CLK) ) ; xor2 ix1541 (.Y (nx1540), .A0 (nx1833), .A1 (nx1837)) ; aoi22 ix1834 (.Y (nx1833), .A0 (reg_10_q_c_5_), .A1 (PRI_IN_1[5]), .B0 ( nx1360), .B1 (nx1380)) ; dff REG_10_reg_q_6_ (.Q (\$dummy [35]), .QB (nx1858), .D (nx1530), .CLK (CLK )) ; xor2 ix1531 (.Y (nx1530), .A0 (nx1843), .A1 (nx1847)) ; aoi22 ix1844 (.Y (nx1843), .A0 (reg_9_q_c_5_), .A1 (PRI_IN_1[5]), .B0 ( nx1368), .B1 (nx1370)) ; dff REG_9_reg_q_6_ (.Q (\$dummy [36]), .QB (nx1857), .D (nx1550), .CLK (CLK) ) ; xor2 ix1551 (.Y (nx1550), .A0 (nx1851), .A1 (nx1855)) ; aoi22 ix1852 (.Y (nx1851), .A0 (reg_2_q_c_5_), .A1 (PRI_IN_3[5]), .B0 ( nx1352), .B1 (nx1390)) ; dff REG_5_reg_q_6_ (.Q (reg_5_q_c_6_), .QB (\$dummy [37]), .D (nx1582), .CLK ( CLK)) ; xor2 ix1583 (.Y (nx1582), .A0 (nx1578), .A1 (nx1580)) ; mux21 ix1579 (.Y (nx1578), .A0 (PRI_IN_3[5]), .A1 (nx1805), .S0 (nx1422)) ; xnor2 ix1581 (.Y (nx1580), .A0 (PRI_IN_2[6]), .A1 (PRI_IN_3[6])) ; nor02 ix3217 (.Y (nx3216), .A0 (C_MUX2_4_SEL), .A1 (nx1859)) ; ao21 ix3265 (.Y (PRI_OUT_3[7]), .A0 (C_MUX2_4_SEL), .A1 (reg_4_q_c_7_), .B0 ( nx3242)) ; dff REG_4_reg_q_7_ (.Q (reg_4_q_c_7_), .QB (\$dummy [38]), .D (nx3254), .CLK ( CLK)) ; xor2 ix3255 (.Y (nx3254), .A0 (nx3250), .A1 (nx3252)) ; mux21 ix3251 (.Y (nx3250), .A0 (nx3051), .A1 (nx1819), .S0 (nx3226)) ; xnor2 ix3253 (.Y (nx3252), .A0 (PRI_OUT_4[7]), .A1 (nx3442)) ; mux21 ix1876 (.Y (nx1874), .A0 (reg_2_q_c_7_), .A1 (reg_5_q_c_7_), .S0 ( C_MUX2_1_SEL)) ; dff REG_2_reg_q_7_ (.Q (reg_2_q_c_7_), .QB (nx1909), .D (nx1698), .CLK (CLK) ) ; xnor2 ix1699 (.Y (nx1698), .A0 (nx1676), .A1 (nx1885)) ; oai22 ix1677 (.Y (nx1676), .A0 (nx1833), .A1 (nx1837), .B0 (nx1858), .B1 ( nx1883)) ; inv02 ix1884 (.Y (nx1883), .A (PRI_IN_1[6])) ; xnor2 ix1886 (.Y (nx1885), .A0 (PRI_IN_1[7]), .A1 (reg_10_q_c_7_)) ; dff REG_10_reg_q_7_ (.Q (reg_10_q_c_7_), .QB (\$dummy [39]), .D (nx1688), .CLK ( CLK)) ; xnor2 ix1689 (.Y (nx1688), .A0 (nx1684), .A1 (nx1893)) ; oai22 ix1685 (.Y (nx1684), .A0 (nx1843), .A1 (nx1847), .B0 (nx1857), .B1 ( nx1883)) ; xnor2 ix1894 (.Y (nx1893), .A0 (PRI_IN_1[7]), .A1 (reg_9_q_c_7_)) ; dff REG_9_reg_q_7_ (.Q (reg_9_q_c_7_), .QB (\$dummy [40]), .D (nx1708), .CLK ( CLK)) ; xnor2 ix1709 (.Y (nx1708), .A0 (nx1668), .A1 (nx1903)) ; oai22 ix1669 (.Y (nx1668), .A0 (nx1851), .A1 (nx1855), .B0 (nx1859), .B1 ( nx1901)) ; inv02 ix1902 (.Y (nx1901), .A (PRI_IN_3[6])) ; dff REG_5_reg_q_7_ (.Q (reg_5_q_c_7_), .QB (nx1916), .D (nx1740), .CLK (CLK) ) ; xnor2 ix1741 (.Y (nx1740), .A0 (nx1913), .A1 (nx1738)) ; aoi22 ix1914 (.Y (nx1913), .A0 (nx1901), .A1 (PRI_IN_2[6]), .B0 (nx1578), .B1 ( nx1580)) ; xnor2 ix1739 (.Y (nx1738), .A0 (PRI_IN_2[7]), .A1 (PRI_IN_3[7])) ; nor02 ix3243 (.Y (nx3242), .A0 (C_MUX2_4_SEL), .A1 (nx1909)) ; ao21 ix3291 (.Y (PRI_OUT_3[8]), .A0 (C_MUX2_4_SEL), .A1 (reg_4_q_c_8_), .B0 ( nx3268)) ; dff REG_4_reg_q_8_ (.Q (reg_4_q_c_8_), .QB (\$dummy [41]), .D (nx3280), .CLK ( CLK)) ; xnor2 ix3281 (.Y (nx3280), .A0 (nx1923), .A1 (nx3278)) ; aoi22 ix1924 (.Y (nx1923), .A0 (nx1750), .A1 (PRI_OUT_4[7]), .B0 (nx3250), .B1 ( nx3252)) ; xnor2 ix3279 (.Y (nx3278), .A0 (PRI_OUT_4[8]), .A1 (nx3053)) ; mux21 ix1932 (.Y (nx1931), .A0 (reg_2_q_c_8_), .A1 (reg_5_q_c_8_), .S0 ( C_MUX2_1_SEL)) ; dff REG_2_reg_q_8_ (.Q (reg_2_q_c_8_), .QB (nx1963), .D (nx1856), .CLK (CLK) ) ; xor2 ix1857 (.Y (nx1856), .A0 (nx1937), .A1 (nx1940)) ; aoi22 ix1938 (.Y (nx1937), .A0 (reg_10_q_c_7_), .A1 (PRI_IN_1[7]), .B0 ( nx1676), .B1 (nx1696)) ; dff REG_10_reg_q_8_ (.Q (\$dummy [42]), .QB (nx1962), .D (nx1846), .CLK (CLK )) ; xor2 ix1847 (.Y (nx1846), .A0 (nx1945), .A1 (nx1949)) ; aoi22 ix1946 (.Y (nx1945), .A0 (reg_9_q_c_7_), .A1 (PRI_IN_1[7]), .B0 ( nx1684), .B1 (nx1686)) ; dff REG_9_reg_q_8_ (.Q (\$dummy [43]), .QB (nx1961), .D (nx1866), .CLK (CLK) ) ; xor2 ix1867 (.Y (nx1866), .A0 (nx1955), .A1 (nx1958)) ; aoi22 ix1956 (.Y (nx1955), .A0 (reg_2_q_c_7_), .A1 (PRI_IN_3[7]), .B0 ( nx1668), .B1 (nx1706)) ; dff REG_5_reg_q_8_ (.Q (reg_5_q_c_8_), .QB (\$dummy [44]), .D (nx1898), .CLK ( CLK)) ; xor2 ix1899 (.Y (nx1898), .A0 (nx1894), .A1 (nx1896)) ; mux21 ix1895 (.Y (nx1894), .A0 (PRI_IN_3[7]), .A1 (nx1913), .S0 (nx1738)) ; xnor2 ix1897 (.Y (nx1896), .A0 (PRI_IN_2[8]), .A1 (PRI_IN_3[8])) ; nor02 ix3269 (.Y (nx3268), .A0 (C_MUX2_4_SEL), .A1 (nx1963)) ; ao21 ix3317 (.Y (PRI_OUT_3[9]), .A0 (C_MUX2_4_SEL), .A1 (reg_4_q_c_9_), .B0 ( nx3294)) ; dff REG_4_reg_q_9_ (.Q (reg_4_q_c_9_), .QB (\$dummy [45]), .D (nx3306), .CLK ( CLK)) ; xor2 ix3307 (.Y (nx3306), .A0 (nx3302), .A1 (nx3304)) ; mux21 ix3303 (.Y (nx3302), .A0 (nx3053), .A1 (nx1923), .S0 (nx3278)) ; xnor2 ix3305 (.Y (nx3304), .A0 (PRI_OUT_4[9]), .A1 (nx1983)) ; mux21 ix1984 (.Y (nx1983), .A0 (reg_2_q_c_9_), .A1 (reg_5_q_c_9_), .S0 ( C_MUX2_1_SEL)) ; dff REG_2_reg_q_9_ (.Q (reg_2_q_c_9_), .QB (nx2016), .D (nx2014), .CLK (CLK) ) ; xnor2 ix2015 (.Y (nx2014), .A0 (nx1992), .A1 (nx1993)) ; oai22 ix1993 (.Y (nx1992), .A0 (nx1937), .A1 (nx1940), .B0 (nx1962), .B1 ( nx1991)) ; inv02 ix1992 (.Y (nx1991), .A (PRI_IN_1[8])) ; xnor2 ix1994 (.Y (nx1993), .A0 (PRI_IN_1[9]), .A1 (reg_10_q_c_9_)) ; dff REG_10_reg_q_9_ (.Q (reg_10_q_c_9_), .QB (\$dummy [46]), .D (nx2004), .CLK ( CLK)) ; xnor2 ix2005 (.Y (nx2004), .A0 (nx2000), .A1 (nx2001)) ; oai22 ix2001 (.Y (nx2000), .A0 (nx1945), .A1 (nx1949), .B0 (nx1961), .B1 ( nx1991)) ; xnor2 ix2002 (.Y (nx2001), .A0 (PRI_IN_1[9]), .A1 (reg_9_q_c_9_)) ; dff REG_9_reg_q_9_ (.Q (reg_9_q_c_9_), .QB (\$dummy [47]), .D (nx2024), .CLK ( CLK)) ; xnor2 ix2025 (.Y (nx2024), .A0 (nx1984), .A1 (nx2010)) ; oai22 ix1985 (.Y (nx1984), .A0 (nx1955), .A1 (nx1958), .B0 (nx1963), .B1 ( nx2008)) ; inv02 ix2009 (.Y (nx2008), .A (PRI_IN_3[8])) ; dff REG_5_reg_q_9_ (.Q (reg_5_q_c_9_), .QB (nx2023), .D (nx2056), .CLK (CLK) ) ; xnor2 ix2057 (.Y (nx2056), .A0 (nx2019), .A1 (nx2054)) ; aoi22 ix2020 (.Y (nx2019), .A0 (nx2008), .A1 (PRI_IN_2[8]), .B0 (nx1894), .B1 ( nx1896)) ; xnor2 ix2055 (.Y (nx2054), .A0 (PRI_IN_2[9]), .A1 (PRI_IN_3[9])) ; nor02 ix3295 (.Y (nx3294), .A0 (C_MUX2_4_SEL), .A1 (nx2016)) ; ao21 ix3343 (.Y (PRI_OUT_3[10]), .A0 (C_MUX2_4_SEL), .A1 (reg_4_q_c_10_), .B0 ( nx3320)) ; dff REG_4_reg_q_10_ (.Q (reg_4_q_c_10_), .QB (\$dummy [48]), .D (nx3332), .CLK ( CLK)) ; xnor2 ix3333 (.Y (nx3332), .A0 (nx2030), .A1 (nx3330)) ; aoi22 ix2031 (.Y (nx2030), .A0 (nx2066), .A1 (PRI_OUT_4[9]), .B0 (nx3302), .B1 ( nx3304)) ; xnor2 ix3331 (.Y (nx3330), .A0 (PRI_OUT_4[10]), .A1 (nx3055)) ; mux21 ix2038 (.Y (nx2037), .A0 (reg_2_q_c_10_), .A1 (reg_5_q_c_10_), .S0 ( C_MUX2_1_SEL)) ; dff REG_2_reg_q_10_ (.Q (reg_2_q_c_10_), .QB (nx2071), .D (nx2172), .CLK ( CLK)) ; xor2 ix2173 (.Y (nx2172), .A0 (nx2043), .A1 (nx2047)) ; aoi22 ix2044 (.Y (nx2043), .A0 (reg_10_q_c_9_), .A1 (PRI_IN_1[9]), .B0 ( nx1992), .B1 (nx2012)) ; dff REG_10_reg_q_10_ (.Q (\$dummy [49]), .QB (nx2069), .D (nx2162), .CLK ( CLK)) ; xor2 ix2163 (.Y (nx2162), .A0 (nx2053), .A1 (nx2057)) ; aoi22 ix2054 (.Y (nx2053), .A0 (reg_9_q_c_9_), .A1 (PRI_IN_1[9]), .B0 ( nx2000), .B1 (nx2002)) ; dff REG_9_reg_q_10_ (.Q (\$dummy [50]), .QB (nx2067), .D (nx2182), .CLK (CLK )) ; xor2 ix2183 (.Y (nx2182), .A0 (nx2061), .A1 (nx2065)) ; aoi22 ix2062 (.Y (nx2061), .A0 (reg_2_q_c_9_), .A1 (PRI_IN_3[9]), .B0 ( nx1984), .B1 (nx2022)) ; dff REG_5_reg_q_10_ (.Q (reg_5_q_c_10_), .QB (\$dummy [51]), .D (nx2214), .CLK ( CLK)) ; xor2 ix2215 (.Y (nx2214), .A0 (nx2210), .A1 (nx2212)) ; mux21 ix2211 (.Y (nx2210), .A0 (PRI_IN_3[9]), .A1 (nx2019), .S0 (nx2054)) ; xnor2 ix2213 (.Y (nx2212), .A0 (PRI_IN_2[10]), .A1 (PRI_IN_3[10])) ; nor02 ix3321 (.Y (nx3320), .A0 (C_MUX2_4_SEL), .A1 (nx2071)) ; ao21 ix3369 (.Y (PRI_OUT_3[11]), .A0 (C_MUX2_4_SEL), .A1 (reg_4_q_c_11_), .B0 ( nx3346)) ; dff REG_4_reg_q_11_ (.Q (reg_4_q_c_11_), .QB (\$dummy [52]), .D (nx3358), .CLK ( CLK)) ; xor2 ix3359 (.Y (nx3358), .A0 (nx3354), .A1 (nx3356)) ; mux21 ix3355 (.Y (nx3354), .A0 (nx3055), .A1 (nx2030), .S0 (nx3330)) ; xnor2 ix3357 (.Y (nx3356), .A0 (PRI_OUT_4[11]), .A1 (nx3444)) ; mux21 ix2090 (.Y (nx2089), .A0 (reg_2_q_c_11_), .A1 (reg_5_q_c_11_), .S0 ( C_MUX2_1_SEL)) ; dff REG_2_reg_q_11_ (.Q (reg_2_q_c_11_), .QB (nx2120), .D (nx2330), .CLK ( CLK)) ; xnor2 ix2331 (.Y (nx2330), .A0 (nx2308), .A1 (nx2099)) ; oai22 ix2309 (.Y (nx2308), .A0 (nx2043), .A1 (nx2047), .B0 (nx2069), .B1 ( nx2097)) ; inv02 ix2098 (.Y (nx2097), .A (PRI_IN_1[10])) ; xnor2 ix2100 (.Y (nx2099), .A0 (PRI_IN_1[11]), .A1 (reg_10_q_c_11_)) ; dff REG_10_reg_q_11_ (.Q (reg_10_q_c_11_), .QB (\$dummy [53]), .D (nx2320), .CLK (CLK)) ; xnor2 ix2321 (.Y (nx2320), .A0 (nx2316), .A1 (nx2105)) ; oai22 ix2317 (.Y (nx2316), .A0 (nx2053), .A1 (nx2057), .B0 (nx2067), .B1 ( nx2097)) ; xnor2 ix2106 (.Y (nx2105), .A0 (PRI_IN_1[11]), .A1 (reg_9_q_c_11_)) ; dff REG_9_reg_q_11_ (.Q (reg_9_q_c_11_), .QB (\$dummy [54]), .D (nx2340), .CLK ( CLK)) ; xnor2 ix2341 (.Y (nx2340), .A0 (nx2300), .A1 (nx2115)) ; oai22 ix2301 (.Y (nx2300), .A0 (nx2061), .A1 (nx2065), .B0 (nx2071), .B1 ( nx2113)) ; inv02 ix2114 (.Y (nx2113), .A (PRI_IN_3[10])) ; dff REG_5_reg_q_11_ (.Q (reg_5_q_c_11_), .QB (nx2129), .D (nx2372), .CLK ( CLK)) ; xnor2 ix2373 (.Y (nx2372), .A0 (nx2125), .A1 (nx2370)) ; aoi22 ix2126 (.Y (nx2125), .A0 (nx2113), .A1 (PRI_IN_2[10]), .B0 (nx2210), .B1 ( nx2212)) ; xnor2 ix2371 (.Y (nx2370), .A0 (PRI_IN_2[11]), .A1 (PRI_IN_3[11])) ; nor02 ix3347 (.Y (nx3346), .A0 (C_MUX2_4_SEL), .A1 (nx2120)) ; ao21 ix3395 (.Y (PRI_OUT_3[12]), .A0 (C_MUX2_4_SEL), .A1 (reg_4_q_c_12_), .B0 ( nx3372)) ; dff REG_4_reg_q_12_ (.Q (reg_4_q_c_12_), .QB (\$dummy [55]), .D (nx3384), .CLK ( CLK)) ; xnor2 ix3385 (.Y (nx3384), .A0 (nx2139), .A1 (nx3382)) ; aoi22 ix2140 (.Y (nx2139), .A0 (nx2382), .A1 (PRI_OUT_4[11]), .B0 (nx3354), .B1 (nx3356)) ; xnor2 ix3383 (.Y (nx3382), .A0 (PRI_OUT_4[12]), .A1 (nx3057)) ; mux21 ix2148 (.Y (nx2147), .A0 (reg_2_q_c_12_), .A1 (nx3446), .S0 ( C_MUX2_1_SEL)) ; dff REG_2_reg_q_12_ (.Q (reg_2_q_c_12_), .QB (nx2179), .D (nx2488), .CLK ( CLK)) ; xor2 ix2489 (.Y (nx2488), .A0 (nx2153), .A1 (nx2157)) ; aoi22 ix2154 (.Y (nx2153), .A0 (reg_10_q_c_11_), .A1 (PRI_IN_1[11]), .B0 ( nx2308), .B1 (nx2328)) ; dff REG_10_reg_q_12_ (.Q (\$dummy [56]), .QB (nx2178), .D (nx2478), .CLK ( CLK)) ; xor2 ix2479 (.Y (nx2478), .A0 (nx2163), .A1 (nx2166)) ; aoi22 ix2164 (.Y (nx2163), .A0 (reg_9_q_c_11_), .A1 (PRI_IN_1[11]), .B0 ( nx2316), .B1 (nx2318)) ; dff REG_9_reg_q_12_ (.Q (\$dummy [57]), .QB (nx2177), .D (nx2498), .CLK (CLK )) ; xor2 ix2499 (.Y (nx2498), .A0 (nx2171), .A1 (nx2175)) ; aoi22 ix2172 (.Y (nx2171), .A0 (reg_2_q_c_11_), .A1 (PRI_IN_3[11]), .B0 ( nx2300), .B1 (nx2338)) ; dff REG_5_reg_q_12_ (.Q (reg_5_q_c_12_), .QB (\$dummy [58]), .D (nx2530), .CLK ( CLK)) ; xor2 ix2531 (.Y (nx2530), .A0 (nx2526), .A1 (nx2528)) ; mux21 ix2527 (.Y (nx2526), .A0 (PRI_IN_3[11]), .A1 (nx2125), .S0 (nx2370)) ; xnor2 ix2529 (.Y (nx2528), .A0 (PRI_IN_2[12]), .A1 (PRI_IN_3[12])) ; nor02 ix3373 (.Y (nx3372), .A0 (C_MUX2_4_SEL), .A1 (nx2179)) ; ao21 ix3421 (.Y (PRI_OUT_3[13]), .A0 (C_MUX2_4_SEL), .A1 (reg_4_q_c_13_), .B0 ( nx3398)) ; dff REG_4_reg_q_13_ (.Q (reg_4_q_c_13_), .QB (\$dummy [59]), .D (nx3410), .CLK ( CLK)) ; xor2 ix3411 (.Y (nx3410), .A0 (nx3406), .A1 (nx3408)) ; mux21 ix3407 (.Y (nx3406), .A0 (nx3057), .A1 (nx2139), .S0 (nx3382)) ; xnor2 ix3409 (.Y (nx3408), .A0 (PRI_OUT_4[13]), .A1 (nx3448)) ; mux21 ix2198 (.Y (nx2197), .A0 (reg_2_q_c_13_), .A1 (reg_5_q_c_13_), .S0 ( C_MUX2_1_SEL)) ; dff REG_2_reg_q_13_ (.Q (reg_2_q_c_13_), .QB (nx2227), .D (nx2646), .CLK ( CLK)) ; xnor2 ix2647 (.Y (nx2646), .A0 (nx2624), .A1 (nx2205)) ; oai22 ix2625 (.Y (nx2624), .A0 (nx2153), .A1 (nx2157), .B0 (nx2178), .B1 ( nx2203)) ; inv02 ix2204 (.Y (nx2203), .A (PRI_IN_1[12])) ; xnor2 ix2206 (.Y (nx2205), .A0 (PRI_IN_1[13]), .A1 (reg_10_q_c_13_)) ; dff REG_10_reg_q_13_ (.Q (reg_10_q_c_13_), .QB (\$dummy [60]), .D (nx2636), .CLK (CLK)) ; xnor2 ix2637 (.Y (nx2636), .A0 (nx2632), .A1 (nx2213)) ; oai22 ix2633 (.Y (nx2632), .A0 (nx2163), .A1 (nx2166), .B0 (nx2177), .B1 ( nx2203)) ; xnor2 ix2214 (.Y (nx2213), .A0 (PRI_IN_1[13]), .A1 (reg_9_q_c_13_)) ; dff REG_9_reg_q_13_ (.Q (reg_9_q_c_13_), .QB (\$dummy [61]), .D (nx2656), .CLK ( CLK)) ; xnor2 ix2657 (.Y (nx2656), .A0 (nx2616), .A1 (nx2221)) ; oai22 ix2617 (.Y (nx2616), .A0 (nx2171), .A1 (nx2175), .B0 (nx2179), .B1 ( nx2219)) ; inv02 ix2220 (.Y (nx2219), .A (PRI_IN_3[12])) ; dff REG_5_reg_q_13_ (.Q (reg_5_q_c_13_), .QB (nx2234), .D (nx2688), .CLK ( CLK)) ; xnor2 ix2689 (.Y (nx2688), .A0 (nx2231), .A1 (nx2686)) ; aoi22 ix2232 (.Y (nx2231), .A0 (nx2219), .A1 (PRI_IN_2[12]), .B0 (nx2526), .B1 ( nx2528)) ; xnor2 ix2687 (.Y (nx2686), .A0 (PRI_IN_2[13]), .A1 (PRI_IN_3[13])) ; nor02 ix3399 (.Y (nx3398), .A0 (C_MUX2_4_SEL), .A1 (nx2227)) ; ao21 ix3447 (.Y (PRI_OUT_3[14]), .A0 (C_MUX2_4_SEL), .A1 (reg_4_q_c_14_), .B0 ( nx3424)) ; dff REG_4_reg_q_14_ (.Q (reg_4_q_c_14_), .QB (\$dummy [62]), .D (nx3436), .CLK ( CLK)) ; xnor2 ix3437 (.Y (nx3436), .A0 (nx2243), .A1 (nx3434)) ; aoi22 ix2244 (.Y (nx2243), .A0 (nx2698), .A1 (PRI_OUT_4[13]), .B0 (nx3406), .B1 (nx3408)) ; xnor2 ix3435 (.Y (nx3434), .A0 (PRI_OUT_4[14]), .A1 (nx3059)) ; mux21 ix2252 (.Y (nx2251), .A0 (reg_2_q_c_14_), .A1 (reg_5_q_c_14_), .S0 ( C_MUX2_1_SEL)) ; dff REG_2_reg_q_14_ (.Q (reg_2_q_c_14_), .QB (nx2281), .D (nx2804), .CLK ( CLK)) ; xor2 ix2805 (.Y (nx2804), .A0 (nx2256), .A1 (nx2259)) ; aoi22 ix2257 (.Y (nx2256), .A0 (reg_10_q_c_13_), .A1 (PRI_IN_1[13]), .B0 ( nx2624), .B1 (nx2644)) ; dff REG_10_reg_q_14_ (.Q (\$dummy [63]), .QB (nx2280), .D (nx2794), .CLK ( CLK)) ; xor2 ix2795 (.Y (nx2794), .A0 (nx2265), .A1 (nx2269)) ; aoi22 ix2266 (.Y (nx2265), .A0 (reg_9_q_c_13_), .A1 (PRI_IN_1[13]), .B0 ( nx2632), .B1 (nx2634)) ; dff REG_9_reg_q_14_ (.Q (\$dummy [64]), .QB (nx2279), .D (nx2814), .CLK (CLK )) ; xor2 ix2815 (.Y (nx2814), .A0 (nx2273), .A1 (nx2277)) ; aoi22 ix2274 (.Y (nx2273), .A0 (reg_2_q_c_13_), .A1 (PRI_IN_3[13]), .B0 ( nx2616), .B1 (nx2654)) ; dff REG_5_reg_q_14_ (.Q (reg_5_q_c_14_), .QB (\$dummy [65]), .D (nx2846), .CLK ( CLK)) ; xor2 ix2847 (.Y (nx2846), .A0 (nx2842), .A1 (nx2844)) ; mux21 ix2843 (.Y (nx2842), .A0 (PRI_IN_3[13]), .A1 (nx2231), .S0 (nx2686)) ; xnor2 ix2845 (.Y (nx2844), .A0 (PRI_IN_2[14]), .A1 (PRI_IN_3[14])) ; nor02 ix3425 (.Y (nx3424), .A0 (C_MUX2_4_SEL), .A1 (nx2281)) ; ao21 ix3473 (.Y (PRI_OUT_3[15]), .A0 (C_MUX2_4_SEL), .A1 (reg_4_q_c_15_), .B0 ( nx3450)) ; dff REG_4_reg_q_15_ (.Q (reg_4_q_c_15_), .QB (\$dummy [66]), .D (nx3462), .CLK ( CLK)) ; xnor2 ix3463 (.Y (nx3462), .A0 (nx3458), .A1 (nx2301)) ; mux21 ix3459 (.Y (nx3458), .A0 (nx3059), .A1 (nx2243), .S0 (nx3434)) ; xor2 ix2302 (.Y (nx2301), .A0 (PRI_OUT_4[15]), .A1 (nx2303)) ; mux21 ix2304 (.Y (nx2303), .A0 (reg_2_q_c_15_), .A1 (reg_5_q_c_15_), .S0 ( C_MUX2_1_SEL)) ; dff REG_2_reg_q_15_ (.Q (reg_2_q_c_15_), .QB (nx2333), .D (nx2962), .CLK ( CLK)) ; xnor2 ix2963 (.Y (nx2962), .A0 (nx2940), .A1 (nx2313)) ; oai22 ix2941 (.Y (nx2940), .A0 (nx2256), .A1 (nx2259), .B0 (nx2280), .B1 ( nx2311)) ; inv02 ix2312 (.Y (nx2311), .A (PRI_IN_1[14])) ; xnor2 ix2314 (.Y (nx2313), .A0 (PRI_IN_1[15]), .A1 (reg_10_q_c_15_)) ; dff REG_10_reg_q_15_ (.Q (reg_10_q_c_15_), .QB (\$dummy [67]), .D (nx2952), .CLK (CLK)) ; xnor2 ix2953 (.Y (nx2952), .A0 (nx2948), .A1 (nx2321)) ; oai22 ix2949 (.Y (nx2948), .A0 (nx2265), .A1 (nx2269), .B0 (nx2279), .B1 ( nx2311)) ; xnor2 ix2322 (.Y (nx2321), .A0 (PRI_IN_1[15]), .A1 (reg_9_q_c_15_)) ; dff REG_9_reg_q_15_ (.Q (reg_9_q_c_15_), .QB (\$dummy [68]), .D (nx2972), .CLK ( CLK)) ; xnor2 ix2973 (.Y (nx2972), .A0 (nx2932), .A1 (nx2329)) ; oai22 ix2933 (.Y (nx2932), .A0 (nx2273), .A1 (nx2277), .B0 (nx2281), .B1 ( nx2326)) ; inv02 ix2327 (.Y (nx2326), .A (PRI_IN_3[14])) ; dff REG_5_reg_q_15_ (.Q (reg_5_q_c_15_), .QB (\$dummy [69]), .D (nx3004), .CLK ( CLK)) ; xnor2 ix3005 (.Y (nx3004), .A0 (nx2336), .A1 (nx3002)) ; aoi22 ix2337 (.Y (nx2336), .A0 (nx2326), .A1 (PRI_IN_2[14]), .B0 (nx2842), .B1 ( nx2844)) ; xnor2 ix3003 (.Y (nx3002), .A0 (PRI_IN_2[15]), .A1 (PRI_IN_3[15])) ; nor02 ix3451 (.Y (nx3450), .A0 (C_MUX2_4_SEL), .A1 (nx2333)) ; dff REG_7_reg_q_0_ (.Q (PRI_OUT_2[0]), .QB (\$dummy [70]), .D (nx318), .CLK ( CLK)) ; ao21 ix319 (.Y (nx318), .A0 (nx2345), .A1 (PRI_OUT_4[0]), .B0 (nx2347)) ; inv02 ix2346 (.Y (nx2345), .A (PRI_IN_0[0])) ; nor02 ix2348 (.Y (nx2347), .A0 (PRI_OUT_4[0]), .A1 (nx2345)) ; dff REG_7_reg_q_1_ (.Q (PRI_OUT_2[1]), .QB (\$dummy [71]), .D (nx328), .CLK ( CLK)) ; xnor2 ix329 (.Y (nx328), .A0 (nx2347), .A1 (nx326)) ; xnor2 ix327 (.Y (nx326), .A0 (PRI_IN_0[1]), .A1 (PRI_OUT_4[1])) ; dff REG_7_reg_q_2_ (.Q (PRI_OUT_2[2]), .QB (\$dummy [72]), .D (nx348), .CLK ( CLK)) ; xor2 ix349 (.Y (nx348), .A0 (nx344), .A1 (nx346)) ; mux21 ix345 (.Y (nx344), .A0 (PRI_IN_0[1]), .A1 (nx2347), .S0 (nx326)) ; xnor2 ix347 (.Y (nx346), .A0 (PRI_IN_0[2]), .A1 (PRI_OUT_4[2])) ; dff REG_7_reg_q_3_ (.Q (PRI_OUT_2[3]), .QB (\$dummy [73]), .D (nx368), .CLK ( CLK)) ; xnor2 ix369 (.Y (nx368), .A0 (nx2365), .A1 (nx366)) ; aoi22 ix2366 (.Y (nx2365), .A0 (nx2367), .A1 (PRI_OUT_4[2]), .B0 (nx344), .B1 ( nx346)) ; inv02 ix2368 (.Y (nx2367), .A (PRI_IN_0[2])) ; xnor2 ix367 (.Y (nx366), .A0 (PRI_IN_0[3]), .A1 (PRI_OUT_4[3])) ; dff REG_7_reg_q_4_ (.Q (PRI_OUT_2[4]), .QB (\$dummy [74]), .D (nx388), .CLK ( CLK)) ; xor2 ix389 (.Y (nx388), .A0 (nx384), .A1 (nx386)) ; mux21 ix385 (.Y (nx384), .A0 (PRI_IN_0[3]), .A1 (nx2365), .S0 (nx366)) ; xnor2 ix387 (.Y (nx386), .A0 (PRI_IN_0[4]), .A1 (PRI_OUT_4[4])) ; dff REG_7_reg_q_5_ (.Q (PRI_OUT_2[5]), .QB (\$dummy [75]), .D (nx408), .CLK ( CLK)) ; xnor2 ix409 (.Y (nx408), .A0 (nx2381), .A1 (nx406)) ; aoi22 ix2382 (.Y (nx2381), .A0 (nx2383), .A1 (PRI_OUT_4[4]), .B0 (nx384), .B1 ( nx386)) ; inv02 ix2384 (.Y (nx2383), .A (PRI_IN_0[4])) ; xnor2 ix407 (.Y (nx406), .A0 (PRI_IN_0[5]), .A1 (PRI_OUT_4[5])) ; dff REG_7_reg_q_6_ (.Q (PRI_OUT_2[6]), .QB (\$dummy [76]), .D (nx428), .CLK ( CLK)) ; xor2 ix429 (.Y (nx428), .A0 (nx424), .A1 (nx426)) ; mux21 ix425 (.Y (nx424), .A0 (PRI_IN_0[5]), .A1 (nx2381), .S0 (nx406)) ; xnor2 ix427 (.Y (nx426), .A0 (PRI_IN_0[6]), .A1 (PRI_OUT_4[6])) ; dff REG_7_reg_q_7_ (.Q (PRI_OUT_2[7]), .QB (\$dummy [77]), .D (nx448), .CLK ( CLK)) ; xnor2 ix449 (.Y (nx448), .A0 (nx2395), .A1 (nx446)) ; aoi22 ix2396 (.Y (nx2395), .A0 (nx2397), .A1 (PRI_OUT_4[6]), .B0 (nx424), .B1 ( nx426)) ; inv02 ix2398 (.Y (nx2397), .A (PRI_IN_0[6])) ; xnor2 ix447 (.Y (nx446), .A0 (PRI_IN_0[7]), .A1 (PRI_OUT_4[7])) ; dff REG_7_reg_q_8_ (.Q (PRI_OUT_2[8]), .QB (\$dummy [78]), .D (nx468), .CLK ( CLK)) ; xor2 ix469 (.Y (nx468), .A0 (nx464), .A1 (nx466)) ; mux21 ix465 (.Y (nx464), .A0 (PRI_IN_0[7]), .A1 (nx2395), .S0 (nx446)) ; xnor2 ix467 (.Y (nx466), .A0 (PRI_IN_0[8]), .A1 (PRI_OUT_4[8])) ; dff REG_7_reg_q_9_ (.Q (PRI_OUT_2[9]), .QB (\$dummy [79]), .D (nx488), .CLK ( CLK)) ; xnor2 ix489 (.Y (nx488), .A0 (nx2413), .A1 (nx486)) ; aoi22 ix2414 (.Y (nx2413), .A0 (nx2415), .A1 (PRI_OUT_4[8]), .B0 (nx464), .B1 ( nx466)) ; inv02 ix2416 (.Y (nx2415), .A (PRI_IN_0[8])) ; xnor2 ix487 (.Y (nx486), .A0 (PRI_IN_0[9]), .A1 (PRI_OUT_4[9])) ; dff REG_7_reg_q_10_ (.Q (PRI_OUT_2[10]), .QB (\$dummy [80]), .D (nx508), .CLK ( CLK)) ; xor2 ix509 (.Y (nx508), .A0 (nx504), .A1 (nx506)) ; mux21 ix505 (.Y (nx504), .A0 (PRI_IN_0[9]), .A1 (nx2413), .S0 (nx486)) ; xnor2 ix507 (.Y (nx506), .A0 (PRI_IN_0[10]), .A1 (PRI_OUT_4[10])) ; dff REG_7_reg_q_11_ (.Q (PRI_OUT_2[11]), .QB (\$dummy [81]), .D (nx528), .CLK ( CLK)) ; xnor2 ix529 (.Y (nx528), .A0 (nx2430), .A1 (nx526)) ; aoi22 ix2431 (.Y (nx2430), .A0 (nx2432), .A1 (PRI_OUT_4[10]), .B0 (nx504), .B1 ( nx506)) ; inv02 ix2433 (.Y (nx2432), .A (PRI_IN_0[10])) ; xnor2 ix527 (.Y (nx526), .A0 (PRI_IN_0[11]), .A1 (PRI_OUT_4[11])) ; dff REG_7_reg_q_12_ (.Q (PRI_OUT_2[12]), .QB (\$dummy [82]), .D (nx548), .CLK ( CLK)) ; xor2 ix549 (.Y (nx548), .A0 (nx544), .A1 (nx546)) ; mux21 ix545 (.Y (nx544), .A0 (PRI_IN_0[11]), .A1 (nx2430), .S0 (nx526)) ; xnor2 ix547 (.Y (nx546), .A0 (PRI_IN_0[12]), .A1 (PRI_OUT_4[12])) ; dff REG_7_reg_q_13_ (.Q (PRI_OUT_2[13]), .QB (\$dummy [83]), .D (nx568), .CLK ( CLK)) ; xnor2 ix569 (.Y (nx568), .A0 (nx2445), .A1 (nx566)) ; aoi22 ix2446 (.Y (nx2445), .A0 (nx2447), .A1 (PRI_OUT_4[12]), .B0 (nx544), .B1 ( nx546)) ; inv02 ix2448 (.Y (nx2447), .A (PRI_IN_0[12])) ; xnor2 ix567 (.Y (nx566), .A0 (PRI_IN_0[13]), .A1 (PRI_OUT_4[13])) ; dff REG_7_reg_q_14_ (.Q (PRI_OUT_2[14]), .QB (\$dummy [84]), .D (nx588), .CLK ( CLK)) ; xor2 ix589 (.Y (nx588), .A0 (nx584), .A1 (nx586)) ; mux21 ix585 (.Y (nx584), .A0 (PRI_IN_0[13]), .A1 (nx2445), .S0 (nx566)) ; xnor2 ix587 (.Y (nx586), .A0 (PRI_IN_0[14]), .A1 (PRI_OUT_4[14])) ; dff REG_7_reg_q_15_ (.Q (PRI_OUT_2[15]), .QB (\$dummy [85]), .D (nx608), .CLK ( CLK)) ; xnor2 ix609 (.Y (nx608), .A0 (nx2463), .A1 (nx606)) ; aoi22 ix2464 (.Y (nx2463), .A0 (nx2465), .A1 (PRI_OUT_4[14]), .B0 (nx584), .B1 ( nx586)) ; inv02 ix2466 (.Y (nx2465), .A (PRI_IN_0[14])) ; xnor2 ix607 (.Y (nx606), .A0 (PRI_IN_0[15]), .A1 (PRI_OUT_4[15])) ; dff REG_6_reg_q_0_ (.Q (reg_6_q_c_0_), .QB (\$dummy [86]), .D (nx750), .CLK ( CLK)) ; oai21 ix751 (.Y (nx750), .A0 (nx1541), .A1 (PRI_OUT_0[0]), .B0 (nx742)) ; ao21 ix739 (.Y (PRI_OUT_0[0]), .A0 (C_MUX2_3_SEL), .A1 (reg_3_q_c_0_), .B0 ( nx736)) ; dff REG_3_reg_q_0_ (.Q (reg_3_q_c_0_), .QB (\$dummy [87]), .D (nx694), .CLK ( CLK)) ; xnor2 ix695 (.Y (nx694), .A0 (reg_6_q_c_0_), .A1 (nx3263)) ; ao32 ix737 (.Y (nx736), .A0 (reg_5_q_c_0_), .A1 (nx3061), .A2 (C_MUX2_2_SEL) , .B0 (reg_1_q_c_0_), .B1 (nx3041)) ; dff REG_1_reg_q_0_ (.Q (reg_1_q_c_0_), .QB (\$dummy [88]), .D (nx720), .CLK ( CLK)) ; ao21 ix721 (.Y (nx720), .A0 (reg_5_q_c_0_), .A1 (nx3263), .B0 (nx2487)) ; nor03 ix2488 (.Y (nx2487), .A0 (C_MUX2_1_SEL), .A1 (reg_5_q_c_0_), .A2 ( nx1544)) ; nor02 ix733 (.Y (nx732), .A0 (C_MUX2_3_SEL), .A1 (C_MUX2_2_SEL)) ; nand02 ix743 (.Y (nx742), .A0 (PRI_OUT_0[0]), .A1 (nx1541)) ; dff REG_6_reg_q_1_ (.Q (reg_6_q_c_1_), .QB (\$dummy [89]), .D (nx846), .CLK ( CLK)) ; xor2 ix847 (.Y (nx846), .A0 (nx742), .A1 (nx844)) ; dff REG_3_reg_q_1_ (.Q (reg_3_q_c_1_), .QB (\$dummy [90]), .D (nx816), .CLK ( CLK)) ; xnor2 ix817 (.Y (nx816), .A0 (nx2505), .A1 (nx814)) ; nand02 ix2506 (.Y (nx2505), .A0 (reg_6_q_c_0_), .A1 (nx686)) ; xnor2 ix815 (.Y (nx814), .A0 (reg_6_q_c_1_), .A1 (nx1565)) ; dff REG_1_reg_q_1_ (.Q (reg_1_q_c_1_), .QB (\$dummy [91]), .D (nx830), .CLK ( CLK)) ; xor2 ix831 (.Y (nx830), .A0 (nx2487), .A1 (nx2515)) ; dff REG_6_reg_q_2_ (.Q (reg_6_q_c_2_), .QB (\$dummy [92]), .D (nx1004), .CLK ( CLK)) ; xnor2 ix1005 (.Y (nx1004), .A0 (nx2529), .A1 (nx1002)) ; aoi22 ix2530 (.Y (nx2529), .A0 (nx2531), .A1 (reg_9_q_c_1_), .B0 (nx742), .B1 ( nx844)) ; aoi222 ix2532 (.Y (nx2531), .A0 (C_MUX2_3_SEL), .A1 (reg_3_q_c_1_), .B0 ( reg_1_q_c_1_), .B1 (nx3041), .C0 (reg_5_q_c_1_), .C1 (nx3038)) ; and02 ix707 (.Y (nx706), .A0 (nx3061), .A1 (C_MUX2_2_SEL)) ; ao21 ix1001 (.Y (PRI_OUT_0[2]), .A0 (C_MUX2_3_SEL), .A1 (reg_3_q_c_2_), .B0 ( nx998)) ; dff REG_3_reg_q_2_ (.Q (reg_3_q_c_2_), .QB (\$dummy [93]), .D (nx964), .CLK ( CLK)) ; xnor2 ix965 (.Y (nx964), .A0 (nx2539), .A1 (nx962)) ; aoi32 ix2540 (.Y (nx2539), .A0 (reg_6_q_c_0_), .A1 (nx686), .A2 (nx814), .B0 ( nx812), .B1 (reg_6_q_c_1_)) ; xnor2 ix963 (.Y (nx962), .A0 (reg_6_q_c_2_), .A1 (nx3047)) ; ao32 ix999 (.Y (nx998), .A0 (nx3430), .A1 (nx3061), .A2 (C_MUX2_2_SEL), .B0 ( reg_1_q_c_2_), .B1 (nx3041)) ; dff REG_1_reg_q_2_ (.Q (reg_1_q_c_2_), .QB (\$dummy [94]), .D (nx988), .CLK ( CLK)) ; xnor2 ix989 (.Y (nx988), .A0 (nx984), .A1 (nx2549)) ; oai32 ix985 (.Y (nx984), .A0 (C_MUX2_1_SEL), .A1 (nx1599), .A2 (reg_2_q_c_1_ ), .B0 (nx2487), .B1 (nx2515)) ; xnor2 ix2550 (.Y (nx2549), .A0 (nx3430), .A1 (nx3047)) ; dff REG_6_reg_q_3_ (.Q (reg_6_q_c_3_), .QB (\$dummy [95]), .D (nx1162), .CLK ( CLK)) ; xor2 ix1163 (.Y (nx1162), .A0 (nx1028), .A1 (nx1160)) ; dff REG_3_reg_q_3_ (.Q (reg_3_q_c_3_), .QB (\$dummy [96]), .D (nx1122), .CLK ( CLK)) ; xor2 ix1123 (.Y (nx1122), .A0 (nx1090), .A1 (nx1120)) ; mux21 ix1091 (.Y (nx1090), .A0 (nx1613), .A1 (nx2539), .S0 (nx962)) ; xnor2 ix1121 (.Y (nx1120), .A0 (reg_6_q_c_3_), .A1 (nx3432)) ; dff REG_1_reg_q_3_ (.Q (reg_1_q_c_3_), .QB (\$dummy [97]), .D (nx1146), .CLK ( CLK)) ; xor2 ix1147 (.Y (nx1146), .A0 (nx2581), .A1 (nx2588)) ; dff REG_6_reg_q_4_ (.Q (reg_6_q_c_4_), .QB (\$dummy [98]), .D (nx1320), .CLK ( CLK)) ; xnor2 ix1321 (.Y (nx1320), .A0 (nx2599), .A1 (nx1318)) ; aoi222 ix2602 (.Y (nx2601), .A0 (C_MUX2_3_SEL), .A1 (reg_3_q_c_3_), .B0 ( reg_1_q_c_3_), .B1 (nx3041), .C0 (reg_5_q_c_3_), .C1 (nx3038)) ; ao21 ix1317 (.Y (PRI_OUT_0[4]), .A0 (C_MUX2_3_SEL), .A1 (reg_3_q_c_4_), .B0 ( nx1314)) ; dff REG_3_reg_q_4_ (.Q (reg_3_q_c_4_), .QB (\$dummy [99]), .D (nx1280), .CLK ( CLK)) ; xnor2 ix1281 (.Y (nx1280), .A0 (nx2611), .A1 (nx1278)) ; aoi22 ix2612 (.Y (nx2611), .A0 (nx1118), .A1 (reg_6_q_c_3_), .B0 (nx1090), .B1 ( nx1120)) ; xnor2 ix1279 (.Y (nx1278), .A0 (reg_6_q_c_4_), .A1 (nx3264)) ; ao32 ix1315 (.Y (nx1314), .A0 (reg_5_q_c_4_), .A1 (nx3061), .A2 ( C_MUX2_2_SEL), .B0 (reg_1_q_c_4_), .B1 (nx3041)) ; dff REG_1_reg_q_4_ (.Q (reg_1_q_c_4_), .QB (\$dummy [100]), .D (nx1304), .CLK ( CLK)) ; xnor2 ix1305 (.Y (nx1304), .A0 (nx1300), .A1 (nx2623)) ; xnor2 ix2624 (.Y (nx2623), .A0 (reg_5_q_c_4_), .A1 (nx3049)) ; dff REG_6_reg_q_5_ (.Q (reg_6_q_c_5_), .QB (\$dummy [101]), .D (nx1478), .CLK ( CLK)) ; xor2 ix1479 (.Y (nx1478), .A0 (nx1344), .A1 (nx1476)) ; oai22 ix1345 (.Y (nx1344), .A0 (nx2599), .A1 (nx2639), .B0 (PRI_OUT_0[4]), .B1 ( nx1749)) ; dff REG_3_reg_q_5_ (.Q (reg_3_q_c_5_), .QB (\$dummy [102]), .D (nx1438), .CLK ( CLK)) ; xor2 ix1439 (.Y (nx1438), .A0 (nx1406), .A1 (nx1436)) ; mux21 ix1407 (.Y (nx1406), .A0 (nx1719), .A1 (nx2611), .S0 (nx1278)) ; xnor2 ix1437 (.Y (nx1436), .A0 (reg_6_q_c_5_), .A1 (nx3435)) ; dff REG_1_reg_q_5_ (.Q (reg_1_q_c_5_), .QB (\$dummy [103]), .D (nx1462), .CLK ( CLK)) ; xor2 ix1463 (.Y (nx1462), .A0 (nx2653), .A1 (nx2660)) ; dff REG_6_reg_q_6_ (.Q (reg_6_q_c_6_), .QB (\$dummy [104]), .D (nx1636), .CLK ( CLK)) ; xnor2 ix1637 (.Y (nx1636), .A0 (nx2673), .A1 (nx1634)) ; aoi22 ix2674 (.Y (nx2673), .A0 (nx2675), .A1 (reg_9_q_c_5_), .B0 (nx1344), .B1 ( nx1476)) ; aoi222 ix2676 (.Y (nx2675), .A0 (C_MUX2_3_SEL), .A1 (reg_3_q_c_5_), .B0 ( reg_1_q_c_5_), .B1 (nx3043), .C0 (reg_5_q_c_5_), .C1 (nx3038)) ; ao21 ix1633 (.Y (PRI_OUT_0[6]), .A0 (C_MUX2_3_SEL), .A1 (reg_3_q_c_6_), .B0 ( nx1630)) ; dff REG_3_reg_q_6_ (.Q (reg_3_q_c_6_), .QB (\$dummy [105]), .D (nx1596), .CLK ( CLK)) ; xnor2 ix1597 (.Y (nx1596), .A0 (nx2685), .A1 (nx1594)) ; aoi22 ix2686 (.Y (nx2685), .A0 (nx1434), .A1 (reg_6_q_c_5_), .B0 (nx1406), .B1 ( nx1436)) ; xnor2 ix1595 (.Y (nx1594), .A0 (reg_6_q_c_6_), .A1 (nx3051)) ; ao32 ix1631 (.Y (nx1630), .A0 (nx3440), .A1 (nx3061), .A2 (C_MUX2_2_SEL), .B0 ( reg_1_q_c_6_), .B1 (nx3043)) ; dff REG_1_reg_q_6_ (.Q (reg_1_q_c_6_), .QB (\$dummy [106]), .D (nx1620), .CLK ( CLK)) ; xnor2 ix1621 (.Y (nx1620), .A0 (nx1616), .A1 (nx2694)) ; dff REG_6_reg_q_7_ (.Q (reg_6_q_c_7_), .QB (\$dummy [107]), .D (nx1794), .CLK ( CLK)) ; xor2 ix1795 (.Y (nx1794), .A0 (nx1660), .A1 (nx1792)) ; oai22 ix1661 (.Y (nx1660), .A0 (nx2673), .A1 (nx2708), .B0 (PRI_OUT_0[6]), .B1 ( nx1857)) ; dff REG_3_reg_q_7_ (.Q (reg_3_q_c_7_), .QB (\$dummy [108]), .D (nx1754), .CLK ( CLK)) ; xor2 ix1755 (.Y (nx1754), .A0 (nx1722), .A1 (nx1752)) ; mux21 ix1723 (.Y (nx1722), .A0 (nx1827), .A1 (nx2685), .S0 (nx1594)) ; xnor2 ix1753 (.Y (nx1752), .A0 (reg_6_q_c_7_), .A1 (nx3442)) ; dff REG_1_reg_q_7_ (.Q (reg_1_q_c_7_), .QB (\$dummy [109]), .D (nx1778), .CLK ( CLK)) ; xor2 ix1779 (.Y (nx1778), .A0 (nx2727), .A1 (nx2732)) ; dff REG_6_reg_q_8_ (.Q (reg_6_q_c_8_), .QB (\$dummy [110]), .D (nx1952), .CLK ( CLK)) ; xnor2 ix1953 (.Y (nx1952), .A0 (nx2746), .A1 (nx1950)) ; aoi22 ix2747 (.Y (nx2746), .A0 (nx2748), .A1 (reg_9_q_c_7_), .B0 (nx1660), .B1 ( nx1792)) ; aoi222 ix2749 (.Y (nx2748), .A0 (C_MUX2_3_SEL), .A1 (reg_3_q_c_7_), .B0 ( reg_1_q_c_7_), .B1 (nx3043), .C0 (reg_5_q_c_7_), .C1 (nx3038)) ; ao21 ix1949 (.Y (PRI_OUT_0[8]), .A0 (C_MUX2_3_SEL), .A1 (reg_3_q_c_8_), .B0 ( nx1946)) ; dff REG_3_reg_q_8_ (.Q (reg_3_q_c_8_), .QB (\$dummy [111]), .D (nx1912), .CLK ( CLK)) ; xnor2 ix1913 (.Y (nx1912), .A0 (nx2757), .A1 (nx1910)) ; aoi22 ix2758 (.Y (nx2757), .A0 (nx1750), .A1 (reg_6_q_c_7_), .B0 (nx1722), .B1 ( nx1752)) ; xnor2 ix1911 (.Y (nx1910), .A0 (reg_6_q_c_8_), .A1 (nx3053)) ; ao32 ix1947 (.Y (nx1946), .A0 (reg_5_q_c_8_), .A1 (nx3063), .A2 ( C_MUX2_2_SEL), .B0 (reg_1_q_c_8_), .B1 (nx3043)) ; dff REG_1_reg_q_8_ (.Q (reg_1_q_c_8_), .QB (\$dummy [112]), .D (nx1936), .CLK ( CLK)) ; xnor2 ix1937 (.Y (nx1936), .A0 (nx3319), .A1 (nx2769)) ; xnor2 ix2770 (.Y (nx2769), .A0 (reg_5_q_c_8_), .A1 (nx3053)) ; dff REG_6_reg_q_9_ (.Q (reg_6_q_c_9_), .QB (\$dummy [113]), .D (nx2110), .CLK ( CLK)) ; xor2 ix2111 (.Y (nx2110), .A0 (nx1976), .A1 (nx2108)) ; oai22 ix1977 (.Y (nx1976), .A0 (nx2746), .A1 (nx2785), .B0 (PRI_OUT_0[8]), .B1 ( nx1961)) ; dff REG_3_reg_q_9_ (.Q (reg_3_q_c_9_), .QB (\$dummy [114]), .D (nx2070), .CLK ( CLK)) ; xor2 ix2071 (.Y (nx2070), .A0 (nx2038), .A1 (nx2068)) ; mux21 ix2039 (.Y (nx2038), .A0 (nx1931), .A1 (nx2757), .S0 (nx1910)) ; xnor2 ix2069 (.Y (nx2068), .A0 (reg_6_q_c_9_), .A1 (nx1983)) ; dff REG_1_reg_q_9_ (.Q (reg_1_q_c_9_), .QB (\$dummy [115]), .D (nx2094), .CLK ( CLK)) ; xor2 ix2095 (.Y (nx2094), .A0 (nx2803), .A1 (nx2809)) ; dff REG_6_reg_q_10_ (.Q (reg_6_q_c_10_), .QB (\$dummy [116]), .D (nx2268), .CLK ( CLK)) ; xnor2 ix2269 (.Y (nx2268), .A0 (nx2820), .A1 (nx2266)) ; aoi22 ix2821 (.Y (nx2820), .A0 (nx2822), .A1 (reg_9_q_c_9_), .B0 (nx1976), .B1 ( nx2108)) ; aoi222 ix2824 (.Y (nx2822), .A0 (C_MUX2_3_SEL), .A1 (reg_3_q_c_9_), .B0 ( reg_1_q_c_9_), .B1 (nx3043), .C0 (reg_5_q_c_9_), .C1 (nx3038)) ; ao21 ix2265 (.Y (PRI_OUT_0[10]), .A0 (C_MUX2_3_SEL), .A1 (reg_3_q_c_10_), .B0 ( nx2262)) ; dff REG_3_reg_q_10_ (.Q (reg_3_q_c_10_), .QB (\$dummy [117]), .D (nx2228), .CLK ( CLK)) ; xnor2 ix2229 (.Y (nx2228), .A0 (nx2833), .A1 (nx2226)) ; aoi22 ix2834 (.Y (nx2833), .A0 (nx2066), .A1 (reg_6_q_c_9_), .B0 (nx2038), .B1 ( nx2068)) ; xnor2 ix2227 (.Y (nx2226), .A0 (reg_6_q_c_10_), .A1 (nx3055)) ; ao32 ix2263 (.Y (nx2262), .A0 (reg_5_q_c_10_), .A1 (nx3063), .A2 ( C_MUX2_2_SEL), .B0 (reg_1_q_c_10_), .B1 (nx3451)) ; dff REG_1_reg_q_10_ (.Q (reg_1_q_c_10_), .QB (\$dummy [118]), .D (nx2252), .CLK ( CLK)) ; xnor2 ix2253 (.Y (nx2252), .A0 (nx2248), .A1 (nx2845)) ; oai32 ix2249 (.Y (nx2248), .A0 (C_MUX2_1_SEL), .A1 (nx2023), .A2 ( reg_2_q_c_9_), .B0 (nx3318), .B1 (nx2809)) ; xnor2 ix2846 (.Y (nx2845), .A0 (reg_5_q_c_10_), .A1 (nx3055)) ; dff REG_6_reg_q_11_ (.Q (reg_6_q_c_11_), .QB (\$dummy [119]), .D (nx2426), .CLK ( CLK)) ; xor2 ix2427 (.Y (nx2426), .A0 (nx2292), .A1 (nx2424)) ; oai22 ix2293 (.Y (nx2292), .A0 (nx2820), .A1 (nx2857), .B0 (PRI_OUT_0[10]), .B1 (nx2067)) ; dff REG_3_reg_q_11_ (.Q (reg_3_q_c_11_), .QB (\$dummy [120]), .D (nx2386), .CLK ( CLK)) ; xor2 ix2387 (.Y (nx2386), .A0 (nx2354), .A1 (nx2384)) ; mux21 ix2355 (.Y (nx2354), .A0 (nx2037), .A1 (nx2833), .S0 (nx2226)) ; xnor2 ix2385 (.Y (nx2384), .A0 (reg_6_q_c_11_), .A1 (nx3444)) ; dff REG_1_reg_q_11_ (.Q (reg_1_q_c_11_), .QB (\$dummy [121]), .D (nx2410), .CLK ( CLK)) ; xor2 ix2411 (.Y (nx2410), .A0 (nx2873), .A1 (nx2881)) ; dff REG_6_reg_q_12_ (.Q (reg_6_q_c_12_), .QB (\$dummy [122]), .D (nx2584), .CLK ( CLK)) ; xnor2 ix2585 (.Y (nx2584), .A0 (nx2893), .A1 (nx2582)) ; dff REG_3_reg_q_12_ (.Q (reg_3_q_c_12_), .QB (\$dummy [123]), .D (nx2544), .CLK ( CLK)) ; xnor2 ix2545 (.Y (nx2544), .A0 (nx2904), .A1 (nx2542)) ; aoi22 ix2905 (.Y (nx2904), .A0 (nx2382), .A1 (reg_6_q_c_11_), .B0 (nx2354), .B1 (nx2384)) ; xnor2 ix2543 (.Y (nx2542), .A0 (reg_6_q_c_12_), .A1 (nx3057)) ; ao32 ix2579 (.Y (nx2578), .A0 (nx3446), .A1 (nx3063), .A2 (C_MUX2_2_SEL), .B0 ( reg_1_q_c_12_), .B1 (nx3451)) ; dff REG_1_reg_q_12_ (.Q (reg_1_q_c_12_), .QB (\$dummy [124]), .D (nx2568), .CLK ( CLK)) ; xnor2 ix2569 (.Y (nx2568), .A0 (nx2564), .A1 (nx2915)) ; xnor2 ix2916 (.Y (nx2915), .A0 (nx3446), .A1 (nx3057)) ; dff REG_6_reg_q_13_ (.Q (reg_6_q_c_13_), .QB (\$dummy [125]), .D (nx2742), .CLK ( CLK)) ; xor2 ix2743 (.Y (nx2742), .A0 (nx2608), .A1 (nx2740)) ; dff REG_3_reg_q_13_ (.Q (reg_3_q_c_13_), .QB (\$dummy [126]), .D (nx2702), .CLK ( CLK)) ; xor2 ix2703 (.Y (nx2702), .A0 (nx2670), .A1 (nx2700)) ; mux21 ix2671 (.Y (nx2670), .A0 (nx2147), .A1 (nx2904), .S0 (nx2542)) ; xnor2 ix2701 (.Y (nx2700), .A0 (reg_6_q_c_13_), .A1 (nx3448)) ; dff REG_1_reg_q_13_ (.Q (reg_1_q_c_13_), .QB (\$dummy [127]), .D (nx2726), .CLK ( CLK)) ; xor2 ix2727 (.Y (nx2726), .A0 (nx2951), .A1 (nx2957)) ; dff REG_6_reg_q_14_ (.Q (reg_6_q_c_14_), .QB (\$dummy [128]), .D (nx2900), .CLK ( CLK)) ; xnor2 ix2901 (.Y (nx2900), .A0 (nx2968), .A1 (nx2898)) ; dff REG_3_reg_q_14_ (.Q (reg_3_q_c_14_), .QB (\$dummy [129]), .D (nx2860), .CLK ( CLK)) ; xnor2 ix2861 (.Y (nx2860), .A0 (nx2978), .A1 (nx2858)) ; aoi22 ix2979 (.Y (nx2978), .A0 (nx2698), .A1 (reg_6_q_c_13_), .B0 (nx2670), .B1 (nx2700)) ; xnor2 ix2859 (.Y (nx2858), .A0 (reg_6_q_c_14_), .A1 (nx3059)) ; ao32 ix2895 (.Y (nx2894), .A0 (reg_5_q_c_14_), .A1 (nx3063), .A2 ( C_MUX2_2_SEL), .B0 (reg_1_q_c_14_), .B1 (nx3451)) ; dff REG_1_reg_q_14_ (.Q (reg_1_q_c_14_), .QB (\$dummy [130]), .D (nx2884), .CLK ( CLK)) ; xnor2 ix2885 (.Y (nx2884), .A0 (nx3291), .A1 (nx2989)) ; xnor2 ix2990 (.Y (nx2989), .A0 (reg_5_q_c_14_), .A1 (nx3059)) ; dff REG_6_reg_q_15_ (.Q (reg_6_q_c_15_), .QB (\$dummy [131]), .D (nx3058), .CLK ( CLK)) ; ao21 ix3055 (.Y (PRI_OUT_0[15]), .A0 (C_MUX2_3_SEL), .A1 (reg_3_q_c_15_), .B0 ( nx3052)) ; dff REG_3_reg_q_15_ (.Q (reg_3_q_c_15_), .QB (\$dummy [132]), .D (nx3018), .CLK ( CLK)) ; xnor2 ix3019 (.Y (nx3018), .A0 (nx2986), .A1 (nx3013)) ; mux21 ix2987 (.Y (nx2986), .A0 (nx2251), .A1 (nx2978), .S0 (nx2858)) ; xor2 ix3014 (.Y (nx3013), .A0 (reg_6_q_c_15_), .A1 (nx2303)) ; ao32 ix3053 (.Y (nx3052), .A0 (reg_5_q_c_15_), .A1 (nx3063), .A2 ( C_MUX2_2_SEL), .B0 (reg_1_q_c_15_), .B1 (nx732)) ; dff REG_1_reg_q_15_ (.Q (reg_1_q_c_15_), .QB (\$dummy [133]), .D (nx3042), .CLK ( CLK)) ; inv02 ix2699 (.Y (nx2698), .A (nx3448)) ; inv02 ix2655 (.Y (nx2654), .A (nx2221)) ; inv02 ix2645 (.Y (nx2644), .A (nx2205)) ; inv02 ix2635 (.Y (nx2634), .A (nx2213)) ; inv02 ix2383 (.Y (nx2382), .A (nx3444)) ; inv02 ix2339 (.Y (nx2338), .A (nx2115)) ; inv02 ix2329 (.Y (nx2328), .A (nx2099)) ; inv02 ix2319 (.Y (nx2318), .A (nx2105)) ; inv02 ix2858 (.Y (nx2857), .A (nx2266)) ; inv02 ix2067 (.Y (nx2066), .A (nx1983)) ; inv02 ix2023 (.Y (nx2022), .A (nx2010)) ; inv02 ix2013 (.Y (nx2012), .A (nx1993)) ; inv02 ix2003 (.Y (nx2002), .A (nx2001)) ; inv02 ix2786 (.Y (nx2785), .A (nx1950)) ; inv02 ix1751 (.Y (nx1750), .A (nx3442)) ; inv02 ix1707 (.Y (nx1706), .A (nx1903)) ; inv02 ix1697 (.Y (nx1696), .A (nx1885)) ; inv02 ix1687 (.Y (nx1686), .A (nx1893)) ; inv02 ix2709 (.Y (nx2708), .A (nx1634)) ; inv02 ix1435 (.Y (nx1434), .A (nx3435)) ; inv02 ix1391 (.Y (nx1390), .A (nx1797)) ; inv02 ix1381 (.Y (nx1380), .A (nx1781)) ; inv02 ix1371 (.Y (nx1370), .A (nx1787)) ; inv02 ix2640 (.Y (nx2639), .A (nx1318)) ; inv02 ix1119 (.Y (nx1118), .A (nx3432)) ; inv02 ix1075 (.Y (nx1074), .A (nx1693)) ; inv02 ix1065 (.Y (nx1064), .A (nx1675)) ; inv02 ix1055 (.Y (nx1054), .A (nx1683)) ; inv02 ix2566 (.Y (nx2565), .A (nx1002)) ; inv02 ix813 (.Y (nx812), .A (nx1565)) ; inv02 ix787 (.Y (nx786), .A (nx1587)) ; inv02 ix777 (.Y (nx776), .A (nx1571)) ; inv02 ix767 (.Y (nx766), .A (nx1579)) ; inv02 ix687 (.Y (nx686), .A (nx1534)) ; inv02 ix843 (.Y (PRI_OUT_0[1]), .A (nx2531)) ; inv02 ix1159 (.Y (PRI_OUT_0[3]), .A (nx2601)) ; inv02 ix1475 (.Y (PRI_OUT_0[5]), .A (nx2675)) ; inv02 ix1791 (.Y (PRI_OUT_0[7]), .A (nx2748)) ; inv02 ix2107 (.Y (PRI_OUT_0[9]), .A (nx2822)) ; nor02 ix3039 (.Y (nx3041), .A0 (C_MUX2_3_SEL), .A1 (C_MUX2_2_SEL)) ; nor02 ix3042 (.Y (nx3043), .A0 (C_MUX2_3_SEL), .A1 (C_MUX2_2_SEL)) ; nor02 ix3044 (.Y (nx3045), .A0 (C_MUX2_3_SEL), .A1 (C_MUX2_2_SEL)) ; inv02 ix3060 (.Y (nx3061), .A (C_MUX2_3_SEL)) ; inv02 ix3062 (.Y (nx3063), .A (C_MUX2_3_SEL)) ; xor2 ix627 (.Y (nx626), .A0 (PRI_IN_1[0]), .A1 (reg_9_q_c_0_)) ; xor2 ix655 (.Y (nx654), .A0 (PRI_IN_3[0]), .A1 (reg_2_q_c_0_)) ; xor2 ix1588 (.Y (nx1587), .A0 (PRI_IN_3[1]), .A1 (nx1593)) ; xor2 ix1624 (.Y (nx1623), .A0 (PRI_IN_1[2]), .A1 (nx1643)) ; xor2 ix1632 (.Y (nx1631), .A0 (PRI_IN_1[2]), .A1 (nx1642)) ; xor2 ix1641 (.Y (nx1640), .A0 (PRI_IN_3[2]), .A1 (nx1645)) ; xor2 ix1694 (.Y (nx1693), .A0 (PRI_IN_3[3]), .A1 (nx1699)) ; xor2 ix1730 (.Y (nx1729), .A0 (PRI_IN_1[4]), .A1 (nx1751)) ; xor2 ix1740 (.Y (nx1739), .A0 (PRI_IN_1[4]), .A1 (nx1749)) ; xor2 ix1748 (.Y (nx1747), .A0 (PRI_IN_3[4]), .A1 (nx1753)) ; xor2 ix1798 (.Y (nx1797), .A0 (PRI_IN_3[5]), .A1 (nx1801)) ; xor2 ix1838 (.Y (nx1837), .A0 (PRI_IN_1[6]), .A1 (nx1858)) ; xor2 ix1848 (.Y (nx1847), .A0 (PRI_IN_1[6]), .A1 (nx1857)) ; xor2 ix1856 (.Y (nx1855), .A0 (PRI_IN_3[6]), .A1 (nx1859)) ; xor2 ix1904 (.Y (nx1903), .A0 (PRI_IN_3[7]), .A1 (nx1909)) ; xor2 ix1941 (.Y (nx1940), .A0 (PRI_IN_1[8]), .A1 (nx1962)) ; xor2 ix1950 (.Y (nx1949), .A0 (PRI_IN_1[8]), .A1 (nx1961)) ; xor2 ix1959 (.Y (nx1958), .A0 (PRI_IN_3[8]), .A1 (nx1963)) ; xor2 ix2011 (.Y (nx2010), .A0 (PRI_IN_3[9]), .A1 (nx2016)) ; xor2 ix2048 (.Y (nx2047), .A0 (PRI_IN_1[10]), .A1 (nx2069)) ; xor2 ix2058 (.Y (nx2057), .A0 (PRI_IN_1[10]), .A1 (nx2067)) ; xor2 ix2066 (.Y (nx2065), .A0 (PRI_IN_3[10]), .A1 (nx2071)) ; xor2 ix2116 (.Y (nx2115), .A0 (PRI_IN_3[11]), .A1 (nx2120)) ; xor2 ix2158 (.Y (nx2157), .A0 (PRI_IN_1[12]), .A1 (nx2178)) ; xor2 ix2167 (.Y (nx2166), .A0 (PRI_IN_1[12]), .A1 (nx2177)) ; xor2 ix2176 (.Y (nx2175), .A0 (PRI_IN_3[12]), .A1 (nx2179)) ; xor2 ix2222 (.Y (nx2221), .A0 (PRI_IN_3[13]), .A1 (nx2227)) ; xor2 ix2260 (.Y (nx2259), .A0 (PRI_IN_1[14]), .A1 (nx2280)) ; xor2 ix2270 (.Y (nx2269), .A0 (PRI_IN_1[14]), .A1 (nx2279)) ; xor2 ix2278 (.Y (nx2277), .A0 (PRI_IN_3[14]), .A1 (nx2281)) ; xor2 ix2330 (.Y (nx2329), .A0 (PRI_IN_3[15]), .A1 (nx2333)) ; mux21 ix2495 (.Y (PRI_OUT_1[0]), .A0 (\$dummy [134]), .A1 (nx3069), .S0 ( C_MUX2_5_SEL)) ; inv02 ix3068 (.Y (nx3069), .A (reg_6_q_c_0_)) ; xor2 ix845 (.Y (nx844), .A0 (reg_9_q_c_1_), .A1 (nx2531)) ; xor2 ix2516 (.Y (nx2515), .A0 (nx1599), .A1 (nx1565_XX0_XREP3)) ; mux21 ix2522 (.Y (PRI_OUT_1[1]), .A0 (\$dummy [135]), .A1 (nx3071), .S0 ( C_MUX2_5_SEL)) ; inv02 ix3070 (.Y (nx3071), .A (reg_6_q_c_1_)) ; xor2 ix1003 (.Y (nx1002), .A0 (nx1642), .A1 (PRI_OUT_0[2])) ; mux21 ix2556 (.Y (PRI_OUT_1[2]), .A0 (\$dummy [136]), .A1 (nx3073), .S0 ( C_MUX2_5_SEL)) ; inv02 ix3072 (.Y (nx3073), .A (reg_6_q_c_2_)) ; xor2 ix1161 (.Y (nx1160), .A0 (reg_9_q_c_3_), .A1 (nx2601)) ; mux21 ix2594 (.Y (PRI_OUT_1[3]), .A0 (\$dummy [137]), .A1 (nx3075), .S0 ( C_MUX2_5_SEL)) ; inv02 ix3074 (.Y (nx3075), .A (reg_6_q_c_3_)) ; xor2 ix1319 (.Y (nx1318), .A0 (nx1749), .A1 (PRI_OUT_0[4])) ; mux21 ix2630 (.Y (PRI_OUT_1[4]), .A0 (\$dummy [138]), .A1 (nx3077), .S0 ( C_MUX2_5_SEL)) ; inv02 ix3076 (.Y (nx3077), .A (reg_6_q_c_4_)) ; xor2 ix1477 (.Y (nx1476), .A0 (reg_9_q_c_5_), .A1 (nx2675)) ; mux21 ix2666 (.Y (PRI_OUT_1[5]), .A0 (\$dummy [139]), .A1 (nx3079), .S0 ( C_MUX2_5_SEL)) ; inv02 ix3078 (.Y (nx3079), .A (reg_6_q_c_5_)) ; xor2 ix1635 (.Y (nx1634), .A0 (nx1857), .A1 (PRI_OUT_0[6])) ; mux21 ix2702 (.Y (PRI_OUT_1[6]), .A0 (\$dummy [140]), .A1 (nx3081), .S0 ( C_MUX2_5_SEL)) ; inv02 ix3080 (.Y (nx3081), .A (reg_6_q_c_6_)) ; xor2 ix1793 (.Y (nx1792), .A0 (reg_9_q_c_7_), .A1 (nx2748)) ; mux21 ix2740 (.Y (PRI_OUT_1[7]), .A0 (\$dummy [141]), .A1 (nx3083), .S0 ( C_MUX2_5_SEL)) ; inv02 ix3082 (.Y (nx3083), .A (reg_6_q_c_7_)) ; xor2 ix1951 (.Y (nx1950), .A0 (nx1961), .A1 (PRI_OUT_0[8])) ; mux21 ix2776 (.Y (PRI_OUT_1[8]), .A0 (\$dummy [142]), .A1 (nx3085), .S0 ( C_MUX2_5_SEL)) ; inv02 ix3084 (.Y (nx3085), .A (reg_6_q_c_8_)) ; xor2 ix2109 (.Y (nx2108), .A0 (reg_9_q_c_9_), .A1 (nx2822)) ; xor2 ix2810 (.Y (nx2809), .A0 (nx2023), .A1 (nx1983)) ; mux21 ix2816 (.Y (PRI_OUT_1[9]), .A0 (\$dummy [143]), .A1 (nx3087), .S0 ( C_MUX2_5_SEL)) ; inv02 ix3086 (.Y (nx3087), .A (reg_6_q_c_9_)) ; xor2 ix2267 (.Y (nx2266), .A0 (nx2067), .A1 (PRI_OUT_0[10])) ; mux21 ix2851 (.Y (PRI_OUT_1[10]), .A0 (\$dummy [144]), .A1 (nx3089), .S0 ( C_MUX2_5_SEL)) ; inv02 ix3088 (.Y (nx3089), .A (reg_6_q_c_10_)) ; mux21 ix2888 (.Y (PRI_OUT_1[11]), .A0 (\$dummy [145]), .A1 (nx3091), .S0 ( C_MUX2_5_SEL)) ; inv02 ix3090 (.Y (nx3091), .A (reg_6_q_c_11_)) ; xor2 ix2583 (.Y (nx2582), .A0 (nx2177), .A1 (PRI_OUT_0[12])) ; mux21 ix2922 (.Y (PRI_OUT_1[12]), .A0 (\$dummy [146]), .A1 (nx3093), .S0 ( C_MUX2_5_SEL)) ; inv02 ix3092 (.Y (nx3093), .A (reg_6_q_c_12_)) ; mux21 ix2964 (.Y (PRI_OUT_1[13]), .A0 (\$dummy [147]), .A1 (nx3095), .S0 ( C_MUX2_5_SEL)) ; inv02 ix3094 (.Y (nx3095), .A (reg_6_q_c_13_)) ; xor2 ix2899 (.Y (nx2898), .A0 (nx2279), .A1 (PRI_OUT_0[14])) ; mux21 ix2996 (.Y (PRI_OUT_1[14]), .A0 (\$dummy [148]), .A1 (nx3097), .S0 ( C_MUX2_5_SEL)) ; inv02 ix3096 (.Y (nx3097), .A (reg_6_q_c_14_)) ; mux21 ix3032 (.Y (PRI_OUT_1[15]), .A0 (\$dummy [149]), .A1 (nx3099), .S0 ( C_MUX2_5_SEL)) ; inv02 ix3098 (.Y (nx3099), .A (reg_6_q_c_15_)) ; nor02 ix3037 (.Y (nx3038), .A0 (C_MUX2_3_SEL), .A1 (nx3101)) ; inv02 ix3100 (.Y (nx3101), .A (C_MUX2_2_SEL)) ; mux21 ix1535 (.Y (nx1534), .A0 (reg_2_q_c_0_), .A1 (reg_5_q_c_0_), .S0 ( C_MUX2_1_SEL)) ; dff REG_2_reg_q_0_ (.Q (reg_2_q_c_0_), .QB (nx1544), .D (nx640), .CLK (CLK) ) ; dff REG_5_reg_q_0_ (.Q (reg_5_q_c_0_), .QB (\$dummy [150]), .D (nx676), .CLK ( CLK)) ; mux21 ix1566 (.Y (nx1565), .A0 (reg_2_q_c_1_), .A1 (reg_5_q_c_1_), .S0 ( C_MUX2_1_SEL)) ; dff REG_2_reg_q_1_ (.Q (reg_2_q_c_1_), .QB (nx1593), .D (nx778), .CLK (CLK) ) ; dff REG_5_reg_q_1_ (.Q (reg_5_q_c_1_), .QB (nx1599), .D (nx802), .CLK (CLK) ) ; mux21 ix1566_0_XREP3 (.Y (nx1565_XX0_XREP3), .A0 (reg_2_q_c_1_), .A1 ( reg_5_q_c_1_), .S0 (C_MUX2_1_SEL)) ; dff REG_2_reg_q_4_ (.Q (reg_2_q_c_4_), .QB (nx1753), .D (nx1224), .CLK (CLK) ) ; dff REG_5_reg_q_4_ (.Q (reg_5_q_c_4_), .QB (\$dummy [151]), .D (nx1266), .CLK ( CLK)) ; buf04 ix3453 (.Y (nx3263), .A (nx1534)) ; buf04 ix3454 (.Y (nx3264), .A (nx3049)) ; inv02 ix3455 (.Y (nx3265), .A (reg_2_q_c_14_)) ; inv02 ix3456 (.Y (nx3266), .A (C_MUX2_1_SEL)) ; nand03 ix3457 (.Y (nx3267), .A0 (nx3265), .A1 (reg_5_q_c_14_), .A2 (nx3293) ) ; inv02 ix3458 (.Y (nx3269), .A (nx3445)) ; nand02 ix3460 (.Y (nx3270), .A0 (reg_5_q_c_10_), .A1 (nx3269)) ; oai21 ix3461 (.Y (nx3271), .A0 (reg_2_q_c_10_), .A1 (nx3270), .B0 ( reg_2_q_c_11_)) ; inv02 ix3462 (.Y (nx3272), .A (nx2129)) ; oai22 ix3464 (.Y (nx3273), .A0 (nx3272), .A1 (nx3269), .B0 (nx2129), .B1 ( nx3445)) ; inv02 ix3465 (.Y (nx3274), .A (nx2845)) ; and02 ix3466 (.Y (nx3275), .A0 (nx2129), .A1 (nx3445)) ; inv02 ix3467 (.Y (nx3276), .A (reg_5_q_c_10_)) ; nor03 ix3468 (.Y (nx3277), .A0 (reg_2_q_c_10_), .A1 (nx3276), .A2 ( C_MUX2_1_SEL)) ; aoi332 ix3469 (.Y (nx3279), .A0 (nx3271), .A1 (nx3293), .A2 (nx3272), .B0 ( nx2248), .B1 (nx3273), .B2 (nx3274), .C0 (nx3275), .C1 (nx3277)) ; inv02 ix3470 (.Y (nx3281), .A (nx2234)) ; inv02 ix3471 (.Y (nx3282), .A (nx3449)) ; aoi22 ix3472 (.Y (nx3283), .A0 (nx2234), .A1 (nx3449), .B0 (nx3281), .B1 ( nx3282)) ; inv02 ix3474 (.Y (nx3284), .A (reg_2_q_c_12_)) ; and02 ix3475 (.Y (nx3285), .A0 (nx3447), .A1 (nx3282)) ; inv02 ix3476 (.Y (nx3286), .A (reg_2_q_c_13_)) ; aoi21 ix3477 (.Y (nx3287), .A0 (nx3284), .A1 (nx3285), .B0 (nx3286)) ; nand02 ix3478 (.Y (nx3288), .A0 (nx2234), .A1 (nx3447)) ; nand03 ix3479 (.Y (nx3289), .A0 (nx3284), .A1 (nx3449), .A2 (nx3293)) ; oai332 nx2880_rename (.Y (nx2880), .A0 (nx3279), .A1 (nx3283), .A2 (nx2915) , .B0 (nx3287), .B1 (C_MUX2_1_SEL), .B2 (nx2234), .C0 (nx3288), .C1 ( nx3289)) ; inv01 ix3480 (.Y (nx3290), .A (nx2880)) ; oai22 nx3059_rename (.Y (nx3059), .A0 (reg_2_q_c_14_), .A1 (C_MUX2_1_SEL), .B0 ( nx3295), .B1 (reg_5_q_c_14_)) ; inv01 ix3481 (.Y (nx3291), .A (nx3290)) ; nand03 ix3482 (.Y (nx3292), .A0 (nx3284), .A1 (nx3447), .A2 (nx3295)) ; ao22 nx2951_rename (.Y (nx2951), .A0 (nx3292), .A1 (nx3279), .B0 (nx2915), .B1 ( nx3292)) ; oai22 nx2957_rename (.Y (nx2957), .A0 (nx3282), .A1 (nx2234), .B0 (nx3281), .B1 (nx3449)) ; oai22 nx3057_rename (.Y (nx3057), .A0 (C_MUX2_1_SEL), .A1 (reg_2_q_c_12_), .B0 ( nx3295), .B1 (nx3447)) ; inv01 nx2564_rename (.Y (nx2564), .A (nx3279)) ; oai22 nx2873_rename (.Y (nx2873), .A0 (nx3277), .A1 (nx2248), .B0 (nx3274), .B1 (nx3277)) ; oai22 nx2881_rename (.Y (nx2881), .A0 (nx3269), .A1 (nx2129), .B0 (nx3272), .B1 (nx3445)) ; oai22 nx3055_rename (.Y (nx3055), .A0 (C_MUX2_1_SEL), .A1 (reg_2_q_c_10_), .B0 ( nx3295), .B1 (reg_5_q_c_10_)) ; buf04 ix3483 (.Y (nx3293), .A (nx3266)) ; buf04 ix3484 (.Y (nx3295), .A (nx3266)) ; inv02 ix3485 (.Y (nx3296), .A (reg_2_q_c_8_)) ; inv01 ix3486 (.Y (nx3297), .A (C_MUX2_1_SEL)) ; nand03 ix3487 (.Y (nx3298), .A0 (nx3296), .A1 (reg_5_q_c_8_), .A2 (nx3323) ) ; inv01 ix3488 (.Y (nx3299), .A (nx3437)) ; nand02 ix3489 (.Y (nx3300), .A0 (reg_5_q_c_4_), .A1 (nx3299)) ; inv01 ix3490 (.Y (nx3301), .A (nx1809)) ; aoi22 ix3491 (.Y (nx3303), .A0 (nx1809), .A1 (nx3437), .B0 (nx3301), .B1 ( nx3299)) ; and02 ix3492 (.Y (nx3305), .A0 (nx1809), .A1 (nx3437)) ; inv01 ix3493 (.Y (nx3307), .A (reg_5_q_c_4_)) ; nor03 ix3494 (.Y (nx3308), .A0 (reg_2_q_c_4_), .A1 (nx3307), .A2 ( C_MUX2_1_SEL)) ; inv01 ix3495 (.Y (nx3309), .A (nx1916)) ; inv01 ix3496 (.Y (nx3310), .A (nx3443)) ; aoi22 ix3497 (.Y (nx3311), .A0 (nx1916), .A1 (nx3443), .B0 (nx3309), .B1 ( nx3310)) ; inv01 ix3498 (.Y (nx3312), .A (nx3438)) ; and02 ix3499 (.Y (nx3313), .A0 (nx3440), .A1 (nx3310)) ; inv01 ix3500 (.Y (nx3314), .A (reg_2_q_c_7_)) ; nand02 ix3501 (.Y (nx3315), .A0 (nx1916), .A1 (nx3440)) ; nand03 ix3502 (.Y (nx3316), .A0 (nx3312), .A1 (nx3443), .A2 (nx3323)) ; aoi22 ix3503 (.Y (nx3317), .A0 (nx3298), .A1 (NOT_nx1932), .B0 (nx2769), .B1 ( nx3298)) ; inv01 nx2803_rename (.Y (nx2803), .A (nx3317)) ; inv01 ix3504 (.Y (nx3318), .A (nx3317)) ; oai22 nx3053_rename (.Y (nx3053), .A0 (reg_2_q_c_8_), .A1 (C_MUX2_1_SEL), .B0 ( nx3324), .B1 (reg_5_q_c_8_)) ; inv02 ix3505 (.Y (nx3319), .A (NOT_nx1932)) ; nand03 ix3506 (.Y (nx3321), .A0 (nx3312), .A1 (nx3441), .A2 (nx3324)) ; ao22 nx2727_rename (.Y (nx2727), .A0 (nx3321), .A1 (nx3419), .B0 (nx2694), .B1 ( nx3321)) ; oai22 nx2732_rename (.Y (nx2732), .A0 (nx3310), .A1 (nx1916), .B0 (nx3309), .B1 (nx3443)) ; inv02 ix3507 (.Y (nx3322), .A (nx2623)) ; oai22 nx2653_rename (.Y (nx2653), .A0 (nx3622), .A1 (nx3422), .B0 (nx3322), .B1 (nx3622)) ; oai22 nx2660_rename (.Y (nx2660), .A0 (nx3299), .A1 (nx1809), .B0 (nx3301), .B1 (nx3437)) ; oai22 nx3049_rename (.Y (nx3049), .A0 (C_MUX2_1_SEL), .A1 (reg_2_q_c_4_), .B0 ( nx3324), .B1 (reg_5_q_c_4_)) ; buf04 ix3508 (.Y (nx3323), .A (nx3297)) ; buf04 ix3509 (.Y (nx3324), .A (nx3297)) ; inv02 ix3510 (.Y (nx3325), .A (reg_9_q_c_13_)) ; oai22 ix3511 (.Y (nx3326), .A0 (nx706), .A1 (nx3452), .B0 (reg_5_q_c_13_), .B1 ( nx3452)) ; nand02 ix3512 (.Y (nx3327), .A0 (C_MUX2_3_SEL), .A1 (reg_3_q_c_13_)) ; aoi21 ix3513 (.Y (nx3328), .A0 (reg_5_q_c_13_), .A1 (nx706), .B0 ( reg_1_q_c_13_)) ; aoi22 nx2971 (.Y (PRI_OUT_0[13]), .A0 (nx3326), .A1 (nx3327), .B0 (nx3327), .B1 (nx3328)) ; or02 ix3514 (.Y (nx3329), .A0 (nx3325), .A1 (PRI_OUT_0[13])) ; inv02 ix3515 (.Y (nx3331), .A (nx2582)) ; oai22 ix3516 (.Y (nx3333), .A0 (nx3452), .A1 (reg_5_q_c_11_), .B0 (nx706), .B1 ( nx3452)) ; nand02 ix3517 (.Y (nx3334), .A0 (C_MUX2_3_SEL), .A1 (reg_3_q_c_11_)) ; aoi221 ix3518 (.Y (nx3335), .A0 (C_MUX2_3_SEL), .A1 (reg_3_q_c_11_), .B0 ( nx706), .B1 (reg_5_q_c_11_), .C0 (reg_1_q_c_11_)) ; aoi21 ix3519 (.Y (nx3336), .A0 (nx3333), .A1 (nx3334), .B0 (nx3335)) ; inv02 ix3520 (.Y (nx3337), .A (reg_9_q_c_11_)) ; oai22 ix3521 (.Y (nx3338), .A0 (nx3331), .A1 (nx3361), .B0 (nx3337), .B1 ( nx3331)) ; and02 ix3522 (.Y (nx3339), .A0 (C_MUX2_3_SEL), .A1 (reg_3_q_c_12_)) ; oai33 ix3523 (.Y (nx3340), .A0 (nx3361), .A1 (nx3337), .A2 (nx3331), .B0 ( nx3339), .B1 (nx2177), .B2 (nx2578)) ; aoi21 ix3524 (.Y (nx3341), .A0 (nx2292), .A1 (nx3338), .B0 (nx3340)) ; aoi22 ix3525 (.Y (nx3342), .A0 (nx3329), .A1 (nx3341), .B0 (nx3325), .B1 ( PRI_OUT_0[13])) ; inv02 ix3526 (.Y (nx3343), .A (nx2898)) ; inv02 ix3527 (.Y (nx3344), .A (reg_9_q_c_15_)) ; inv02 ix3528 (.Y (nx3345), .A (PRI_OUT_0[15])) ; aoi22 ix3529 (.Y (nx3347), .A0 (PRI_OUT_0[15]), .A1 (nx3344), .B0 ( reg_9_q_c_15_), .B1 (nx3345)) ; nor02 ix3530 (.Y (nx3348), .A0 (nx3343), .A1 (nx3347)) ; ao21 PRI_OUT_0_14__rename_rename (.Y (PRI_OUT_0[14]), .A0 (C_MUX2_3_SEL), .A1 ( reg_3_q_c_14_), .B0 (nx2894)) ; aoi22 ix3531 (.Y (nx3349), .A0 (nx2279), .A1 (nx3347), .B0 (PRI_OUT_0[14]), .B1 (nx3347)) ; inv02 ix3532 (.Y (nx3350), .A (nx2279)) ; aoi21 ix3533 (.Y (nx3351), .A0 (C_MUX2_3_SEL), .A1 (reg_3_q_c_14_), .B0 ( nx2894)) ; nand02 ix3534 (.Y (nx3352), .A0 (nx3350), .A1 (nx3351)) ; oai222 ix3535 (.Y (nx3353), .A0 (nx3349), .A1 (nx2898), .B0 (nx3347), .B1 ( nx3352), .C0 (nx3342), .C1 (nx3349)) ; ao21 nx3058_rename (.Y (nx3058), .A0 (nx3342), .A1 (nx3348), .B0 (nx3353)) ; inv01 nx2968_rename (.Y (nx2968), .A (nx3342)) ; inv01 nx2608_rename (.Y (nx2608), .A (nx3341)) ; nand02 ix3536 (.Y (nx3355), .A0 (reg_9_q_c_13_), .A1 (PRI_OUT_0[13])) ; oai21 nx2740_rename (.Y (nx2740), .A0 (PRI_OUT_0[13]), .A1 (reg_9_q_c_13_), .B0 (nx3355)) ; nor02 ix3537 (.Y (nx3357), .A0 (nx3337), .A1 (nx3361)) ; nand02 ix3538 (.Y (nx3359), .A0 (PRI_OUT_0[11]), .A1 (nx3337)) ; oai21 nx2893_rename (.Y (nx2893), .A0 (nx3357), .A1 (nx2292), .B0 (nx3359) ) ; ao21 PRI_OUT_0_12__rename_rename (.Y (PRI_OUT_0[12]), .A0 (C_MUX2_3_SEL), .A1 ( reg_3_q_c_12_), .B0 (nx2578)) ; nand02 ix3539 (.Y (nx3360), .A0 (reg_9_q_c_11_), .A1 (PRI_OUT_0[11])) ; oai21 nx2424_rename (.Y (nx2424), .A0 (PRI_OUT_0[11]), .A1 (reg_9_q_c_11_), .B0 (nx3360)) ; buf04 ix3540 (.Y (nx3361), .A (nx3336)) ; buf04 nx2895 (.Y (PRI_OUT_0[11]), .A (nx3336)) ; inv02 ix3541 (.Y (nx3362), .A (nx2989)) ; inv02 ix3542 (.Y (nx3363), .A (reg_5_q_c_15_)) ; inv02 ix3543 (.Y (nx3364), .A (nx2303)) ; aoi22 ix3544 (.Y (nx3365), .A0 (nx2303), .A1 (nx3363), .B0 (reg_5_q_c_15_), .B1 (nx3364)) ; nand02 ix3545 (.Y (nx3366), .A0 (nx3362), .A1 (nx3365)) ; inv02 ix3546 (.Y (nx3367), .A (nx3267)) ; nor02 ix3547 (.Y (nx3368), .A0 (nx3367), .A1 (nx3365)) ; aoi222 ix3548 (.Y (nx3369), .A0 (nx2989), .A1 (nx3368), .B0 (nx3365), .B1 ( nx3367), .C0 (nx3290), .C1 (nx3368)) ; oai21 nx3042_rename (.Y (nx3042), .A0 (nx3290), .A1 (nx3366), .B0 (nx3369) ) ; inv01 ix3549 (.Y (nx3370), .A (nx3433)) ; nand02 ix3550 (.Y (nx3371), .A0 (nx3431), .A1 (nx3370)) ; oai21 ix3551 (.Y (nx3373), .A0 (reg_2_q_c_2_), .A1 (nx3371), .B0 ( reg_2_q_c_3_)) ; inv01 ix3552 (.Y (nx3374), .A (nx1705)) ; inv01 ix3553 (.Y (nx3375), .A (C_MUX2_1_SEL)) ; oai22 ix3554 (.Y (nx3376), .A0 (nx3374), .A1 (nx3370), .B0 (nx1705), .B1 ( nx3433)) ; inv01 ix3555 (.Y (nx3377), .A (nx2549)) ; and02 ix3556 (.Y (nx3378), .A0 (nx984), .A1 (nx3377)) ; and02 ix3557 (.Y (nx3379), .A0 (nx1705), .A1 (nx3433)) ; inv01 ix3558 (.Y (nx3380), .A (nx3431)) ; nor03 ix3559 (.Y (nx3381), .A0 (reg_2_q_c_2_), .A1 (nx3380), .A2 ( C_MUX2_1_SEL)) ; aoi322 ix3560 (.Y (nx3383), .A0 (nx3373), .A1 (nx3374), .A2 (nx3375), .B0 ( nx3376), .B1 (nx3378), .C0 (nx3379), .C1 (nx3381)) ; nor02 ix3561 (.Y (nx3385), .A0 (nx3303), .A1 (nx2623)) ; inv01 ix3562 (.Y (nx3386), .A (nx3311)) ; or02 ix3563 (.Y (nx3387), .A0 (C_MUX2_1_SEL), .A1 (nx3438)) ; inv01 ix3564 (.Y (nx3388), .A (nx3441)) ; inv01 ix3565 (.Y (nx3389), .A (nx3439)) ; aoi33 ix3566 (.Y (nx3390), .A0 (nx3387), .A1 (nx3324), .A2 (nx3388), .B0 ( nx3389), .B1 (nx3441), .B2 (nx3375)) ; nand03 ix3567 (.Y (nx3391), .A0 (nx3427), .A1 (nx3386), .A2 (nx3390)) ; or02 ix3568 (.Y (nx3392), .A0 (nx3425), .A1 (nx3391)) ; ao21 ix3569 (.Y (nx3393), .A0 (nx3312), .A1 (nx3313), .B0 (nx3314)) ; inv01 ix3570 (.Y (nx3394), .A (nx1916)) ; oai21 ix3571 (.Y (nx3395), .A0 (reg_2_q_c_4_), .A1 (nx3300), .B0 ( reg_2_q_c_5_)) ; inv01 ix3572 (.Y (nx3396), .A (nx3395)) ; inv02 ix3573 (.Y (nx3397), .A (nx3323)) ; inv01 ix3574 (.Y (nx3399), .A (nx3301)) ; inv01 ix3575 (.Y (nx3400), .A (nx3305)) ; inv01 ix3576 (.Y (nx3401), .A (nx3308)) ; oai32 ix3577 (.Y (nx3402), .A0 (nx3396), .A1 (nx3397), .A2 (nx3399), .B0 ( nx3400), .B1 (nx3401)) ; and02 ix3578 (.Y (nx3403), .A0 (nx3386), .A1 (nx3390)) ; nor02 ix3579 (.Y (nx3404), .A0 (nx3315), .A1 (nx3316)) ; aoi321 ix3580 (.Y (nx3405), .A0 (nx3393), .A1 (nx3375), .A2 (nx3394), .B0 ( nx3402), .B1 (nx3403), .C0 (nx3404)) ; and02 NOT_nx1932_rename (.Y (NOT_nx1932), .A0 (nx3392), .A1 (nx3405)) ; aoi33 ix3581 (.Y (nx3407), .A0 (nx3425), .A1 (nx3401), .A2 (nx3399), .B0 ( nx3425), .B1 (nx3400), .B2 (nx3396)) ; nor02 ix3582 (.Y (nx3409), .A0 (nx3305), .A1 (nx3301)) ; aoi32 ix3583 (.Y (nx3411), .A0 (nx3426), .A1 (nx3401), .A2 (nx3397), .B0 ( nx3425), .B1 (nx3409)) ; nand03 ix3584 (.Y (nx3412), .A0 (nx3426), .A1 (nx3401), .A2 (nx3396)) ; nor02 ix3585 (.Y (nx3413), .A0 (nx3427), .A1 (nx3622)) ; nor03 ix3586 (.Y (nx3414), .A0 (nx3395), .A1 (nx3427), .A2 (nx3305)) ; oai33 ix3587 (.Y (nx3415), .A0 (nx3428), .A1 (nx3622), .A2 (nx3323), .B0 ( nx3428), .B1 (nx3622), .B2 (nx3301)) ; oai33 ix3588 (.Y (nx3416), .A0 (nx3428), .A1 (nx3305), .A2 (nx3323), .B0 ( nx3428), .B1 (nx3305), .B2 (nx3301)) ; or03 ix3589 (.Y (nx3417), .A0 (nx3414), .A1 (nx3415), .A2 (nx3416)) ; aoi321 ix3590 (.Y (nx3418), .A0 (nx3426), .A1 (nx3400), .A2 (nx3397), .B0 ( nx3396), .B1 (nx3413), .C0 (nx3417)) ; and04 nx1616_rename (.Y (nx1616), .A0 (nx3407), .A1 (nx3411), .A2 (nx3412), .A3 (nx3418)) ; inv02 ix3591 (.Y (nx3419), .A (nx1616)) ; nor02 ix3592 (.Y (nx3420), .A0 (C_MUX2_1_SEL), .A1 (nx3439)) ; inv02 ix3593 (.Y (nx3421), .A (nx3324)) ; oai33 nx2694_rename (.Y (nx2694), .A0 (nx3420), .A1 (nx3421), .A2 (nx3441), .B0 (nx3439), .B1 (nx3388), .B2 (C_MUX2_1_SEL)) ; inv02 nx1300_rename (.Y (nx1300), .A (nx3426)) ; inv02 ix3594 (.Y (nx3422), .A (nx3426)) ; oai22 nx3051_rename (.Y (nx3051), .A0 (C_MUX2_1_SEL), .A1 (nx3439), .B0 ( nx3441), .B1 (nx3324)) ; inv02 ix3595 (.Y (nx3423), .A (reg_2_q_c_2_)) ; aoi32 nx2581_rename (.Y (nx2581), .A0 (nx3423), .A1 (nx3431), .A2 (nx3375), .B0 (nx984), .B1 (nx3377)) ; oai22 nx2588_rename (.Y (nx2588), .A0 (nx3370), .A1 (nx1705), .B0 (nx3374), .B1 (nx3433)) ; oai22 nx3047_rename (.Y (nx3047), .A0 (reg_2_q_c_2_), .A1 (C_MUX2_1_SEL), .B0 ( nx3375), .B1 (nx3431)) ; buf04 ix3596 (.Y (nx3425), .A (nx3383)) ; buf04 ix3597 (.Y (nx3426), .A (nx3383)) ; buf04 ix3598 (.Y (nx3427), .A (nx3385)) ; buf04 ix3599 (.Y (nx3428), .A (nx3385)) ; and02 ix3600 (.Y (nx3429), .A0 (nx2601), .A1 (reg_9_q_c_3_)) ; oai22 nx1028_rename (.Y (nx1028), .A0 (nx2529), .A1 (nx2565), .B0 ( PRI_OUT_0[2]), .B1 (nx1642)) ; oai22 nx2599_rename (.Y (nx2599), .A0 (nx3429), .A1 (nx1028), .B0 (nx3429), .B1 (nx1160)) ; buf16 ix3601 (.Y (nx3430), .A (reg_5_q_c_2_)) ; buf16 ix3602 (.Y (nx3431), .A (reg_5_q_c_2_)) ; buf16 ix3603 (.Y (nx3432), .A (nx1665)) ; buf16 ix3604 (.Y (nx3433), .A (nx1665)) ; buf16 ix3605 (.Y (nx3435), .A (nx1771)) ; buf16 ix3606 (.Y (nx3437), .A (nx1771)) ; buf16 ix3607 (.Y (nx3438), .A (reg_2_q_c_6_)) ; buf16 ix3608 (.Y (nx3439), .A (reg_2_q_c_6_)) ; buf16 ix3609 (.Y (nx3440), .A (reg_5_q_c_6_)) ; buf16 ix3610 (.Y (nx3441), .A (reg_5_q_c_6_)) ; buf16 ix3611 (.Y (nx3442), .A (nx1874)) ; buf16 ix3612 (.Y (nx3443), .A (nx1874)) ; buf16 ix3613 (.Y (nx3444), .A (nx2089)) ; buf16 ix3614 (.Y (nx3445), .A (nx2089)) ; buf16 ix3615 (.Y (nx3446), .A (reg_5_q_c_12_)) ; buf16 ix3616 (.Y (nx3447), .A (reg_5_q_c_12_)) ; buf16 ix3617 (.Y (nx3448), .A (nx2197)) ; buf16 ix3618 (.Y (nx3449), .A (nx2197)) ; buf16 ix3619 (.Y (nx3451), .A (nx3045)) ; buf16 ix3620 (.Y (nx3452), .A (nx3045)) ; inv02 ix3621 (.Y (nx3622), .A (nx3401)) ; endmodule