// // Verilog description for cell CIRCUIT, // 12/14/05 22:09:39 // // LeonardoSpectrum Level 3, 2004a.63 // module CIRCUIT ( PRI_IN_0, PRI_IN_1, PRI_IN_2, PRI_IN_3, PRI_IN_4, PRI_OUT_0, PRI_OUT_1, PRI_OUT_2, PRI_OUT_3, PRI_OUT_4, C_MUX2_1_SEL, C_MUX2_2_SEL, C_MUX2_3_SEL, C_MUX2_4_SEL, C_MUX2_5_SEL, CLK ) ; input [15:0]PRI_IN_0 ; input [15:0]PRI_IN_1 ; input [15:0]PRI_IN_2 ; input [15:0]PRI_IN_3 ; input [15:0]PRI_IN_4 ; output [15:0]PRI_OUT_0 ; output [15:0]PRI_OUT_1 ; output [15:0]PRI_OUT_2 ; output [15:0]PRI_OUT_3 ; output [15:0]PRI_OUT_4 ; input C_MUX2_1_SEL ; input C_MUX2_2_SEL ; input C_MUX2_3_SEL ; input C_MUX2_4_SEL ; input C_MUX2_5_SEL ; input CLK ; wire PRI_OUT_0_dup0_14_, PRI_OUT_0_dup0_13_, PRI_OUT_0_dup0_10_, PRI_OUT_0_dup0_9_, PRI_OUT_0_dup0_8_, PRI_OUT_0_dup0_7_, PRI_OUT_0_dup0_6_, PRI_OUT_0_dup0_4_, PRI_OUT_0_dup0_2_, PRI_OUT_0_dup0_1_, PRI_OUT_0_dup0_0_, PRI_OUT_3_dup0_7_, reg_5_q_c_0_, reg_2_q_c_0_, reg_10_q_c_0_, nx26, nx44, nx80, nx94, nx108, nx130, reg_9_q_c_0_, reg_1_q_c_0_, nx152, nx174, nx184, nx192, reg_5_q_c_1_, reg_2_q_c_1_, reg_10_q_c_1_, nx214, nx222, nx234, nx236, nx248, nx250, nx258, nx260, nx268, nx270, nx278, nx280, nx294, reg_9_q_c_1_, nx304, reg_1_q_c_1_, nx306, nx308, nx318, nx320, nx322, nx330, nx332, reg_5_q_c_2_, reg_2_q_c_2_, nx396, nx408, nx412, nx422, nx424, nx442, nx444, nx446, nx458, nx460, nx470, nx480, nx490, nx504, reg_9_q_c_2_, nx520, nx524, reg_1_q_c_2_, nx534, nx536, nx538, nx548, nx550, nx552, nx560, nx562, nx578, reg_5_q_c_3_, nx588, reg_2_q_c_3_, nx600, reg_10_q_c_3_, nx616, nx626, nx638, nx652, nx654, nx674, nx676, nx698, nx700, nx710, nx718, nx720, nx734, reg_9_q_c_3_, nx754, reg_1_q_c_3_, nx766, nx768, nx778, nx780, nx782, nx790, nx792, reg_5_q_c_4_, reg_2_q_c_4_, nx868, nx872, nx880, nx882, nx884, nx902, nx904, nx906, nx920, nx930, nx940, nx950, nx964, reg_9_q_c_4_, nx980, nx984, reg_1_q_c_4_, nx994, nx996, nx998, nx1008, nx1010, nx1012, nx1020, nx1022, nx1038, reg_5_q_c_5_, nx1048, reg_2_q_c_5_, nx1060, reg_10_q_c_5_, nx1068, nx1076, nx1098, nx1112, nx1114, nx1134, nx1136, nx1150, nx1158, nx1160, nx1168, nx1170, nx1178, nx1180, nx1194, reg_9_q_c_5_, nx1214, reg_1_q_c_5_, nx1226, nx1228, nx1238, nx1240, nx1242, nx1250, nx1252, reg_5_q_c_6_, reg_2_q_c_6_, nx1328, nx1332, nx1340, nx1342, nx1344, nx1362, nx1364, nx1366, nx1380, nx1390, nx1400, nx1410, nx1424, reg_9_q_c_6_, nx1440, nx1444, reg_1_q_c_6_, nx1454, nx1456, nx1458, nx1468, nx1470, nx1472, nx1480, nx1482, nx1498, reg_5_q_c_7_, nx1508, reg_2_q_c_7_, nx1520, reg_10_q_c_7_, nx1536, nx1558, nx1572, nx1574, nx1596, nx1610, nx1618, nx1620, nx1630, nx1638, nx1640, nx1654, reg_9_q_c_7_, nx1674, reg_1_q_c_7_, nx1686, nx1688, nx1698, nx1700, nx1702, nx1710, nx1712, reg_5_q_c_8_, reg_2_q_c_8_, nx1788, nx1800, nx1802, nx1804, nx1826, nx1840, nx1850, nx1860, nx1870, nx1884, reg_9_q_c_8_, nx1900, nx1904, reg_1_q_c_8_, nx1914, nx1916, nx1918, nx1928, nx1930, nx1932, nx1940, nx1942, nx1958, reg_5_q_c_9_, nx1968, reg_2_q_c_9_, nx1980, reg_10_q_c_9_, nx1996, nx2018, nx2032, nx2034, nx2056, nx2070, nx2078, nx2080, nx2090, nx2098, nx2100, nx2114, reg_9_q_c_9_, nx2134, reg_1_q_c_9_, nx2146, nx2148, nx2158, nx2160, nx2162, nx2170, nx2172, reg_5_q_c_10_, reg_2_q_c_10_, nx2248, nx2260, nx2262, nx2264, nx2286, nx2300, nx2310, nx2320, nx2330, nx2344, reg_9_q_c_10_, nx2360, nx2364, reg_1_q_c_10_, nx2374, nx2376, nx2378, nx2388, nx2390, nx2392, nx2400, nx2402, nx2418, reg_5_q_c_11_, nx2428, reg_2_q_c_11_, nx2440, reg_10_q_c_11_, nx2456, nx2478, nx2492, nx2494, nx2516, nx2530, nx2538, nx2540, nx2548, nx2550, nx2558, nx2560, nx2574, reg_9_q_c_11_, nx2594, reg_1_q_c_11_, nx2606, nx2608, nx2618, nx2620, nx2622, nx2630, nx2632, reg_5_q_c_12_, reg_2_q_c_12_, nx2708, nx2720, nx2722, nx2724, nx2746, nx2760, nx2770, nx2780, nx2790, nx2804, reg_9_q_c_12_, nx2820, nx2824, reg_1_q_c_12_, nx2834, nx2836, nx2838, nx2848, nx2850, nx2852, nx2860, nx2862, nx2878, reg_5_q_c_13_, nx2888, reg_2_q_c_13_, nx2900, reg_10_q_c_13_, nx2906, nx2916, nx2938, nx2952, nx2954, nx2976, nx2990, nx2998, nx3000, nx3010, nx3018, nx3020, nx3034, reg_9_q_c_13_, nx3054, reg_1_q_c_13_, nx3066, nx3068, nx3078, nx3080, nx3082, nx3090, nx3092, reg_5_q_c_14_, reg_2_q_c_14_, nx3168, nx3180, nx3182, nx3184, nx3206, nx3218, nx3220, nx3230, nx3240, nx3250, nx3264, reg_9_q_c_14_, nx3284, reg_1_q_c_14_, nx3294, nx3296, nx3298, nx3308, nx3310, nx3320, nx3322, nx3338, reg_5_q_c_15_, nx3348, reg_2_q_c_15_, nx3360, reg_10_q_c_15_, nx3376, nx3398, nx3400, nx3402, nx3412, nx3414, nx3434, nx3436, nx3450, nx3460, nx3480, nx3494, reg_9_q_c_15_, reg_1_q_c_15_, nx3526, nx3528, nx3542, nx3552, nx1453, nx1505, nx1507, nx1513, nx1533, nx1535, nx1541, nx1543, nx1549, nx1551, nx1559, nx1565, nx1573, nx1587, nx1598, nx1621, nx1631, nx1633, nx1637, nx1641, nx1655, nx1658, nx1662, nx1675, nx1683, nx1692, nx1705, nx1739, nx1741, nx1749, nx1759, nx1767, nx1773, nx1781, nx1793, nx1797, nx1803, nx1813, nx1817, nx1819, nx1829, nx1831, nx1843, nx1849, nx1851, nx1853, nx1855, nx1859, nx1863, nx1875, nx1879, nx1891, nx1907, nx1921, nx1949, nx1951, nx1959, nx1969, nx1975, nx1991, nx2005, nx2009, nx2015, nx2029, nx2033, nx2035, nx2041, nx2043, nx2055, nx2061, nx2063, nx2065, nx2067, nx2073, nx2076, nx2081, nx2089, nx2093, nx2105, nx2119, nx2133, nx2167, nx2169, nx2176, nx2183, nx2191, nx2219, nx2223, nx2229, nx2242, nx2245, nx2247, nx2257, nx2259, nx2269, nx2275, nx2277, nx2279, nx2281, nx2287, nx2290, nx2304, nx2307, nx2319, nx2334, nx2347, nx2381, nx2383, nx2389, nx2397, nx2403, nx2429, nx2433, nx2453, nx2457, nx2459, nx2469, nx2471, nx2483, nx2491, nx2493, nx2495, nx2497, nx2501, nx2505, nx2520, nx2523, nx2536, nx2549, nx2561, nx2589, nx2591, nx2597, nx2607, nx2613, nx2637, nx2640, nx2661, nx2665, nx2667, nx2677, nx2679, nx2691, nx2699, nx2701, nx2703, nx2705, nx2711, nx2715, nx2721, nx2725, nx2729, nx2732, nx2747, nx2763, nx2774, nx2799, nx2801, nx2808, nx2815, nx2823, nx2851, nx2855, nx2871, nx2875, nx2877, nx2887, nx2889, nx2901, nx2909, nx2911, nx2913, nx2915, nx2921, nx2925, nx2941, nx2945, nx2959, nx2965, nx2975, nx2987, nx3009, nx3015, nx3017, nx3024, nx3038, nx3047, nx3053, nx3055, nx3061, nx3131, nx3133, nx3143, nx3145, PRI_OUT_3_dup0_3_, nx690, PRI_OUT_3_dup0_0_, nx66, nx3335, nx3336, nx3337, nx3339, nx3340, nx3341, nx3342, nx2737, nx3343, nx3344, nx3345, nx3346, nx3347, nx3349, nx3350, nx3351, nx3352, nx2988, nx2829, nx3353, nx3354, nx3355, nx2758, nx3356, nx3357, nx3358, nx2528, nx3359, nx3361, nx3362, nx3363, nx3364, nx58, nx3365, nx3366, nx3367, nx3368, nx1555, nx1479, nx18, nx1473, nx3369, nx3370, nx3371, nx2931, nx3372, nx3373, nx3374, nx3375, nx3377, nx3378, nx3379, nx3380, nx3381, nx3382, nx3031, nx3383, nx3384, nx3470, nx2935, nx2908, nx3385, nx3387, nx3388, nx3389, nx3390, nx3391, nx3392, nx2407, nx3393, nx3394, nx3395, nx3396, nx3397, nx3399, nx2466, nx2617, nx3401, nx3403, nx3404, nx2298, nx2529, nx3405, nx3406, nx3407, nx2068, nx3408, nx3409, nx3410, nx1838, nx3411, nx3413, nx1645, nx3415, nx3416, nx3417, nx3418, nx3419, nx3420, nx3421, nx3422, nx3423, nx3424, nx608, nx3425, nx1867, nx1871, nx1649, nx3426, nx3427, nx3428, nx3429, nx3430, nx3431, nx3432, nx1885, nx3433, nx3435, nx3437, nx3438, nx3439, nx3440, nx2097, nx3441, nx3442, nx3443, nx1148, nx1981, nx3444, nx3445, nx3446, nx918, nx3447, nx3448, nx3449, nx688, nx3451, nx3452, nx3453, nx3454, nx3455, nx3456, nx3457, nx1601, nx3458, nx3459, nx162, nx3461, nx3462, nx3463, nx3464, nx3465, nx3466, nx3467, nx3468, nx3469, nx3471, nx3472, nx2313, nx1608, nx2197, nx3473, nx3474, nx3475, nx1378, nx3476, nx3477, nx3478, nx3479, nx3481, nx2448, nx3482, nx2511, nx3483, nx3484, nx2515, nx2299, nx3485, nx3486, nx3487, nx3488, nx1066, nx3489, nx3490, nx3491, nx3492, nx3493, nx3495, nx3496, nx3497, nx3498, nx3499, nx3500, nx3501, nx3502, nx3503, nx3504, nx3505, nx3506, nx3507, nx3508, nx3509, nx3511, nx3512, nx3513, nx3514, nx3204, nx2839, nx3515, nx3516, nx2861, nx2974, nx3517, nx2744, nx2626, nx3518, nx3519, nx2647, nx2514, nx2284, nx3520, nx3521, nx3522, nx3523, nx3524, nx3525, nx3527, nx3529, nx1822, nx3530, nx3531, nx3532, nx3533, nx3534, nx3535, nx3536, nx3537, nx3538, nx3539, nx3540, nx3541, nx2282, nx3543, nx3544, nx3545, nx3546, nx3547, nx3202, nx2742, nx3548, nx3549, nx3550, nx2415, nx3551, nx3553, nx3554, nx2439, nx2054, nx3555, nx1824, nx2205, nx3556, nx3557, nx3558, nx3559, nx1594, nx3560, nx3561, nx3562, nx3563, nx3564, nx3565, nx3566, nx3510, nx3567, nx3568, nx3569, nx3570, nx1528, nx3571, nx3572, nx3573, nx3574, nx3575, nx3576, nx3577, nx3312, nx3578, nx3579, nx3386, nx3580, nx3581, nx3582, nx3583, nx14, nx3584, nx3585, nx3586, nx420, nx3587, nx1581, nx1578, nx3588, nx3589, nx3590, nx3591, nx3592, nx220, nx1485, nx3593, nx3594, nx3595, nx3596, nx3597, nx3069, nx2951, nx3598, nx3599, nx3600, nx3601, nx3602, nx3603, nx3604, nx3605, nx1735, nx3606, nx3607, nx3608, nx3609, nx358, nx3610, nx3611, nx1525, nx3612, nx3613, nx122, nx1457, nx3614, nx3615, nx3616, nx3617, nx3618, nx1776, nx1316, nx3619, nx3620, nx3621, nx3622, nx3623, nx3624, nx3625, nx3626, nx3627, nx3628, nx3629, nx3630, nx3631, nx3632, nx3633, nx3634, nx3635, nx3636, nx3637, nx3638, nx3639, nx3640, nx3641, nx3642, nx3643, nx3644, nx3645, nx1988, nx3646, nx3647, nx3648, nx3649, nx2295, nx1526, nx2084, nx3650, nx3651, nx3652, nx3653, nx3654, nx3655, nx3656, nx3657, nx3658, nx3659, nx3660, nx3661, nx3662, nx3663, nx3664, nx3665, nx3666, nx3667, nx3668, nx3669, nx3670, nx3671, nx3672, nx3673, nx3674, nx3675, nx3676, nx3677, nx3678, nx3679, nx3680; wire [151:0] \$dummy ; dff REG_8_reg_q_0_ (.Q (PRI_OUT_4[0]), .QB (\$dummy [0]), .D (nx192), .CLK ( CLK)) ; ao21 ix193 (.Y (nx192), .A0 (nx1453), .A1 (reg_9_q_c_0_), .B0 (nx1513)) ; oai21 ix131 (.Y (nx130), .A0 (PRI_IN_4[0]), .A1 (nx1457), .B0 (nx122)) ; dff REG_5_reg_q_0_ (.Q (reg_5_q_c_0_), .QB (nx1453), .D (nx130), .CLK (CLK) ) ; dff REG_10_reg_q_0_ (.Q (reg_10_q_c_0_), .QB (\$dummy [1]), .D (nx94), .CLK ( CLK)) ; xor2 ix95 (.Y (nx94), .A0 (PRI_IN_1[0]), .A1 (PRI_OUT_0[0])) ; dff REG_3_reg_q_0_ (.Q (PRI_OUT_0_dup0_0_), .QB (\$dummy [2]), .D (nx80), .CLK ( CLK)) ; dff REG_2_reg_q_0_ (.Q (reg_2_q_c_0_), .QB (\$dummy [3]), .D (nx108), .CLK ( CLK)) ; dff REG_6_reg_q_0_ (.Q (PRI_OUT_2[0]), .QB (\$dummy [4]), .D (nx26), .CLK ( CLK)) ; xnor2 ix27 (.Y (nx26), .A0 (PRI_OUT_4[0]), .A1 (nx1485)) ; dff REG_7_reg_q_0_ (.Q (PRI_OUT_1[0]), .QB (\$dummy [5]), .D (nx44), .CLK ( CLK)) ; xnor2 ix45 (.Y (nx44), .A0 (PRI_OUT_0[0]), .A1 (nx1473)) ; dff REG_9_reg_q_0_ (.Q (reg_9_q_c_0_), .QB (\$dummy [6]), .D (nx174), .CLK ( CLK)) ; xor2 ix175 (.Y (nx174), .A0 (PRI_IN_3[0]), .A1 (nx162)) ; dff REG_1_reg_q_0_ (.Q (reg_1_q_c_0_), .QB (\$dummy [7]), .D (nx152), .CLK ( CLK)) ; ao21 ix153 (.Y (nx152), .A0 (nx1505), .A1 (PRI_OUT_2[0]), .B0 (nx1507)) ; inv02 ix1506 (.Y (nx1505), .A (PRI_IN_0[0])) ; nor02 ix1508 (.Y (nx1507), .A0 (PRI_OUT_2[0]), .A1 (nx1505)) ; nor02 ix1514 (.Y (nx1513), .A0 (reg_9_q_c_0_), .A1 (nx1453)) ; dff REG_8_reg_q_1_ (.Q (PRI_OUT_4[1]), .QB (\$dummy [8]), .D (nx332), .CLK ( CLK)) ; xnor2 ix333 (.Y (nx332), .A0 (nx1513), .A1 (nx330)) ; dff REG_5_reg_q_1_ (.Q (reg_5_q_c_1_), .QB (nx1598), .D (nx294), .CLK (CLK) ) ; xnor2 ix295 (.Y (nx294), .A0 (nx122), .A1 (nx1525)) ; xor2 ix281 (.Y (nx280), .A0 (nx1533), .A1 (nx1535)) ; nand02 ix1534 (.Y (nx1533), .A0 (PRI_IN_2[0]), .A1 (reg_10_q_c_0_)) ; xnor2 ix1536 (.Y (nx1535), .A0 (PRI_IN_2[1]), .A1 (reg_10_q_c_1_)) ; dff REG_10_reg_q_1_ (.Q (reg_10_q_c_1_), .QB (\$dummy [9]), .D (nx270), .CLK ( CLK)) ; xor2 ix271 (.Y (nx270), .A0 (nx1541), .A1 (nx1543)) ; nand02 ix1542 (.Y (nx1541), .A0 (PRI_IN_1[0]), .A1 (PRI_OUT_0[0])) ; xnor2 ix1544 (.Y (nx1543), .A0 (PRI_IN_1[1]), .A1 (PRI_OUT_0[1])) ; dff REG_3_reg_q_1_ (.Q (PRI_OUT_0_dup0_1_), .QB (\$dummy [10]), .D (nx260), .CLK (CLK)) ; xor2 ix261 (.Y (nx260), .A0 (nx1549), .A1 (nx1551)) ; nand02 ix1550 (.Y (nx1549), .A0 (PRI_OUT_3[0]), .A1 (reg_10_q_c_0_)) ; xnor2 ix1552 (.Y (nx1551), .A0 (PRI_OUT_3[1]), .A1 (reg_10_q_c_1_)) ; dff REG_4_reg_q_1_ (.Q (PRI_OUT_3[1]), .QB (\$dummy [11]), .D (nx250), .CLK ( CLK)) ; xnor2 ix251 (.Y (nx250), .A0 (nx1555), .A1 (nx248)) ; inv02 ix1560 (.Y (nx1559), .A (C_MUX2_5_SEL)) ; xnor2 ix249 (.Y (nx248), .A0 (nx1565), .A1 (nx1573)) ; mux21 ix1566 (.Y (nx1565), .A0 (PRI_OUT_3[1]), .A1 (nx214), .S0 ( C_MUX2_5_SEL)) ; dff REG_2_reg_q_1_ (.Q (reg_2_q_c_1_), .QB (\$dummy [12]), .D (nx280), .CLK ( CLK)) ; mux21 ix1574 (.Y (nx1573), .A0 (PRI_OUT_2[1]), .A1 (PRI_OUT_1[1]), .S0 ( C_MUX2_4_SEL)) ; dff REG_6_reg_q_1_ (.Q (PRI_OUT_2[1]), .QB (\$dummy [13]), .D (nx222), .CLK ( CLK)) ; xnor2 ix223 (.Y (nx222), .A0 (nx1578), .A1 (nx220)) ; dff REG_7_reg_q_1_ (.Q (PRI_OUT_1[1]), .QB (\$dummy [14]), .D (nx236), .CLK ( CLK)) ; xnor2 ix237 (.Y (nx236), .A0 (nx1587), .A1 (nx234)) ; nand02 ix1588 (.Y (nx1587), .A0 (PRI_OUT_0[0]), .A1 (nx18)) ; xnor2 ix235 (.Y (nx234), .A0 (PRI_OUT_0[1]), .A1 (nx1565)) ; dff REG_9_reg_q_1_ (.Q (reg_9_q_c_1_), .QB (\$dummy [15]), .D (nx322), .CLK ( CLK)) ; xnor2 ix323 (.Y (nx322), .A0 (nx1601), .A1 (nx320)) ; xnor2 ix321 (.Y (nx320), .A0 (PRI_IN_3[1]), .A1 (nx318)) ; ao21 ix319 (.Y (nx318), .A0 (C_MUX2_3_SEL), .A1 (reg_1_q_c_1_), .B0 (nx304) ) ; dff REG_1_reg_q_1_ (.Q (reg_1_q_c_1_), .QB (\$dummy [16]), .D (nx308), .CLK ( CLK)) ; xnor2 ix309 (.Y (nx308), .A0 (nx1507), .A1 (nx306)) ; xnor2 ix307 (.Y (nx306), .A0 (PRI_IN_0[1]), .A1 (PRI_OUT_2[1])) ; nor02 ix305 (.Y (nx304), .A0 (C_MUX2_3_SEL), .A1 (nx1581)) ; dff REG_8_reg_q_2_ (.Q (PRI_OUT_4[2]), .QB (\$dummy [17]), .D (nx562), .CLK ( CLK)) ; xnor2 ix563 (.Y (nx562), .A0 (nx1621), .A1 (nx560)) ; aoi22 ix1622 (.Y (nx1621), .A0 (nx1598), .A1 (reg_9_q_c_1_), .B0 (nx184), .B1 ( nx330)) ; xnor2 ix561 (.Y (nx560), .A0 (reg_5_q_c_2_), .A1 (reg_9_q_c_2_)) ; dff REG_5_reg_q_2_ (.Q (reg_5_q_c_2_), .QB (\$dummy [18]), .D (nx504), .CLK ( CLK)) ; xnor2 ix505 (.Y (nx504), .A0 (nx358), .A1 (nx1631)) ; xnor2 ix1632 (.Y (nx1631), .A0 (PRI_IN_4[2]), .A1 (nx1633)) ; mux21 ix1634 (.Y (nx1633), .A0 (reg_5_q_c_2_), .A1 (reg_2_q_c_2_), .S0 ( C_MUX2_2_SEL)) ; xor2 ix491 (.Y (nx490), .A0 (nx1637), .A1 (nx1641)) ; aoi32 ix1638 (.Y (nx1637), .A0 (PRI_IN_2[0]), .A1 (reg_10_q_c_0_), .A2 ( nx278), .B0 (reg_10_q_c_1_), .B1 (PRI_IN_2[1])) ; dff REG_10_reg_q_2_ (.Q (\$dummy [19]), .QB (nx1705), .D (nx480), .CLK (CLK) ) ; xor2 ix481 (.Y (nx480), .A0 (nx1645), .A1 (nx1649)) ; dff REG_3_reg_q_2_ (.Q (PRI_OUT_0_dup0_2_), .QB (\$dummy [20]), .D (nx470), .CLK (CLK)) ; xor2 ix471 (.Y (nx470), .A0 (nx1655), .A1 (nx1658)) ; aoi32 ix1656 (.Y (nx1655), .A0 (PRI_OUT_3[0]), .A1 (reg_10_q_c_0_), .A2 ( nx258), .B0 (reg_10_q_c_1_), .B1 (PRI_OUT_3[1])) ; dff REG_4_reg_q_2_ (.Q (PRI_OUT_3[2]), .QB (\$dummy [21]), .D (nx460), .CLK ( CLK)) ; xnor2 ix461 (.Y (nx460), .A0 (nx1662), .A1 (nx458)) ; mux21 ix1664 (.Y (nx1662), .A0 (nx1573), .A1 (nx58), .S0 (nx248)) ; xnor2 ix459 (.Y (nx458), .A0 (nx1675), .A1 (nx1683)) ; mux21 ix1676 (.Y (nx1675), .A0 (PRI_OUT_3[2]), .A1 (nx408), .S0 ( C_MUX2_5_SEL)) ; dff REG_2_reg_q_2_ (.Q (reg_2_q_c_2_), .QB (\$dummy [22]), .D (nx490), .CLK ( CLK)) ; mux21 ix1684 (.Y (nx1683), .A0 (PRI_OUT_2[2]), .A1 (PRI_OUT_1[2]), .S0 ( C_MUX2_4_SEL)) ; dff REG_6_reg_q_2_ (.Q (PRI_OUT_2[2]), .QB (\$dummy [23]), .D (nx424), .CLK ( CLK)) ; xor2 ix425 (.Y (nx424), .A0 (nx420), .A1 (nx422)) ; xnor2 ix423 (.Y (nx422), .A0 (PRI_OUT_4[2]), .A1 (nx1692)) ; mux21 ix1693 (.Y (nx1692), .A0 (reg_2_q_c_2_), .A1 (PRI_OUT_0[2]), .S0 ( C_MUX2_1_SEL)) ; dff REG_7_reg_q_2_ (.Q (PRI_OUT_1[2]), .QB (\$dummy [24]), .D (nx446), .CLK ( CLK)) ; xor2 ix447 (.Y (nx446), .A0 (nx442), .A1 (nx444)) ; mux21 ix443 (.Y (nx442), .A0 (nx1565), .A1 (nx1587), .S0 (nx234)) ; xnor2 ix445 (.Y (nx444), .A0 (PRI_OUT_0[2]), .A1 (nx1675)) ; dff REG_9_reg_q_2_ (.Q (reg_9_q_c_2_), .QB (\$dummy [25]), .D (nx552), .CLK ( CLK)) ; xor2 ix553 (.Y (nx552), .A0 (nx520), .A1 (nx550)) ; mux21 ix521 (.Y (nx520), .A0 (PRI_IN_3[1]), .A1 (nx1601), .S0 (nx320)) ; xnor2 ix551 (.Y (nx550), .A0 (PRI_IN_3[2]), .A1 (nx548)) ; ao21 ix549 (.Y (nx548), .A0 (C_MUX2_3_SEL), .A1 (reg_1_q_c_2_), .B0 (nx524) ) ; dff REG_1_reg_q_2_ (.Q (reg_1_q_c_2_), .QB (\$dummy [26]), .D (nx538), .CLK ( CLK)) ; xor2 ix539 (.Y (nx538), .A0 (nx534), .A1 (nx536)) ; mux21 ix535 (.Y (nx534), .A0 (PRI_IN_0[1]), .A1 (nx1507), .S0 (nx306)) ; xnor2 ix537 (.Y (nx536), .A0 (PRI_IN_0[2]), .A1 (PRI_OUT_2[2])) ; nor02 ix525 (.Y (nx524), .A0 (C_MUX2_3_SEL), .A1 (nx1692)) ; dff REG_8_reg_q_3_ (.Q (PRI_OUT_4[3]), .QB (\$dummy [27]), .D (nx792), .CLK ( CLK)) ; xor2 ix793 (.Y (nx792), .A0 (nx578), .A1 (nx790)) ; mux21 ix579 (.Y (nx578), .A0 (reg_5_q_c_2_), .A1 (nx1621), .S0 (nx560)) ; dff REG_5_reg_q_3_ (.Q (reg_5_q_c_3_), .QB (nx1813), .D (nx734), .CLK (CLK) ) ; xnor2 ix735 (.Y (nx734), .A0 (nx588), .A1 (nx1739)) ; mux21 ix589 (.Y (nx588), .A0 (nx1735), .A1 (PRI_IN_4[2]), .S0 (nx1631)) ; xnor2 ix1740 (.Y (nx1739), .A0 (PRI_IN_4[3]), .A1 (nx1741)) ; mux21 ix1742 (.Y (nx1741), .A0 (reg_5_q_c_3_), .A1 (reg_2_q_c_3_), .S0 ( C_MUX2_2_SEL)) ; xnor2 ix721 (.Y (nx720), .A0 (nx600), .A1 (nx1749)) ; mux21 ix601 (.Y (nx600), .A0 (nx1637), .A1 (nx1705), .S0 (nx1641)) ; xnor2 ix1750 (.Y (nx1749), .A0 (PRI_IN_2[3]), .A1 (reg_10_q_c_3_)) ; dff REG_10_reg_q_3_ (.Q (reg_10_q_c_3_), .QB (\$dummy [28]), .D (nx710), .CLK ( CLK)) ; xnor2 ix711 (.Y (nx710), .A0 (nx608), .A1 (nx1759)) ; xnor2 ix1760 (.Y (nx1759), .A0 (PRI_IN_1[3]), .A1 (PRI_OUT_0[3])) ; dff REG_3_reg_q_3_ (.Q (PRI_OUT_0[3]), .QB (\$dummy [29]), .D (nx700), .CLK ( CLK)) ; xnor2 ix701 (.Y (nx700), .A0 (nx616), .A1 (nx1767)) ; mux21 ix617 (.Y (nx616), .A0 (nx1655), .A1 (nx1705), .S0 (nx1658)) ; xnor2 ix1768 (.Y (nx1767), .A0 (PRI_OUT_3[3]), .A1 (reg_10_q_c_3_)) ; mux21 ix1774 (.Y (nx1773), .A0 (nx1683), .A1 (nx396), .S0 (nx458)) ; mux21 ix1782 (.Y (nx1781), .A0 (PRI_OUT_3_dup0_3_), .A1 (nx638), .S0 ( C_MUX2_5_SEL)) ; dff REG_2_reg_q_3_ (.Q (reg_2_q_c_3_), .QB (\$dummy [30]), .D (nx720), .CLK ( CLK)) ; dff REG_6_reg_q_3_ (.Q (PRI_OUT_2[3]), .QB (\$dummy [31]), .D (nx654), .CLK ( CLK)) ; xnor2 ix655 (.Y (nx654), .A0 (nx1793), .A1 (nx652)) ; aoi22 ix1794 (.Y (nx1793), .A0 (nx408), .A1 (PRI_OUT_4[2]), .B0 (nx3587), .B1 ( nx422)) ; xnor2 ix653 (.Y (nx652), .A0 (PRI_OUT_4[3]), .A1 (nx1797)) ; mux21 ix1798 (.Y (nx1797), .A0 (reg_2_q_c_3_), .A1 (PRI_OUT_0[3]), .S0 ( C_MUX2_1_SEL)) ; dff REG_7_reg_q_3_ (.Q (PRI_OUT_1[3]), .QB (\$dummy [32]), .D (nx676), .CLK ( CLK)) ; xnor2 ix677 (.Y (nx676), .A0 (nx1803), .A1 (nx674)) ; aoi22 ix1804 (.Y (nx1803), .A0 (nx412), .A1 (PRI_OUT_0[2]), .B0 (nx442), .B1 ( nx444)) ; xnor2 ix675 (.Y (nx674), .A0 (PRI_OUT_0[3]), .A1 (nx1781)) ; dff REG_9_reg_q_3_ (.Q (reg_9_q_c_3_), .QB (\$dummy [33]), .D (nx782), .CLK ( CLK)) ; xnor2 ix783 (.Y (nx782), .A0 (nx1817), .A1 (nx780)) ; mux21 ix1818 (.Y (nx1817), .A0 (nx1819), .A1 (nx520), .S0 (nx550)) ; inv02 ix1820 (.Y (nx1819), .A (PRI_IN_3[2])) ; xnor2 ix781 (.Y (nx780), .A0 (PRI_IN_3[3]), .A1 (nx778)) ; ao21 ix779 (.Y (nx778), .A0 (C_MUX2_3_SEL), .A1 (reg_1_q_c_3_), .B0 (nx754) ) ; dff REG_1_reg_q_3_ (.Q (reg_1_q_c_3_), .QB (\$dummy [34]), .D (nx768), .CLK ( CLK)) ; xnor2 ix769 (.Y (nx768), .A0 (nx1829), .A1 (nx766)) ; aoi22 ix1830 (.Y (nx1829), .A0 (nx1831), .A1 (PRI_OUT_2[2]), .B0 (nx534), .B1 ( nx536)) ; inv02 ix1832 (.Y (nx1831), .A (PRI_IN_0[2])) ; xnor2 ix767 (.Y (nx766), .A0 (PRI_IN_0[3]), .A1 (PRI_OUT_2[3])) ; nor02 ix755 (.Y (nx754), .A0 (C_MUX2_3_SEL), .A1 (nx1797)) ; dff REG_8_reg_q_4_ (.Q (PRI_OUT_4[4]), .QB (\$dummy [35]), .D (nx1022), .CLK ( CLK)) ; xnor2 ix1023 (.Y (nx1022), .A0 (nx1843), .A1 (nx1020)) ; aoi22 ix1844 (.Y (nx1843), .A0 (nx1813), .A1 (reg_9_q_c_3_), .B0 (nx578), .B1 ( nx790)) ; xnor2 ix1021 (.Y (nx1020), .A0 (reg_5_q_c_4_), .A1 (reg_9_q_c_4_)) ; dff REG_5_reg_q_4_ (.Q (reg_5_q_c_4_), .QB (\$dummy [36]), .D (nx964), .CLK ( CLK)) ; xor2 ix965 (.Y (nx964), .A0 (nx1849), .A1 (nx1853)) ; mux21 ix1850 (.Y (nx1849), .A0 (nx588), .A1 (nx1851), .S0 (nx1739)) ; inv02 ix1852 (.Y (nx1851), .A (PRI_IN_4[3])) ; xnor2 ix1854 (.Y (nx1853), .A0 (PRI_IN_4[4]), .A1 (nx1855)) ; mux21 ix1856 (.Y (nx1855), .A0 (reg_5_q_c_4_), .A1 (reg_2_q_c_4_), .S0 ( C_MUX2_2_SEL)) ; xor2 ix951 (.Y (nx950), .A0 (nx1859), .A1 (nx1863)) ; aoi22 ix1860 (.Y (nx1859), .A0 (reg_10_q_c_3_), .A1 (PRI_IN_2[3]), .B0 ( nx600), .B1 (nx718)) ; dff REG_10_reg_q_4_ (.Q (\$dummy [37]), .QB (nx1921), .D (nx940), .CLK (CLK) ) ; xor2 ix941 (.Y (nx940), .A0 (nx1867), .A1 (nx1871)) ; dff REG_3_reg_q_4_ (.Q (PRI_OUT_0_dup0_4_), .QB (\$dummy [38]), .D (nx930), .CLK (CLK)) ; xor2 ix931 (.Y (nx930), .A0 (nx1875), .A1 (nx1879)) ; aoi22 ix1876 (.Y (nx1875), .A0 (reg_10_q_c_3_), .A1 (PRI_OUT_3[3]), .B0 ( nx616), .B1 (nx698)) ; dff REG_4_reg_q_4_ (.Q (PRI_OUT_3[4]), .QB (\$dummy [39]), .D (nx920), .CLK ( CLK)) ; xnor2 ix921 (.Y (nx920), .A0 (nx1885), .A1 (nx918)) ; mux21 ix1892 (.Y (nx1891), .A0 (PRI_OUT_3[4]), .A1 (nx868), .S0 ( C_MUX2_5_SEL)) ; dff REG_2_reg_q_4_ (.Q (reg_2_q_c_4_), .QB (\$dummy [40]), .D (nx950), .CLK ( CLK)) ; dff REG_6_reg_q_4_ (.Q (PRI_OUT_2[4]), .QB (\$dummy [41]), .D (nx884), .CLK ( CLK)) ; xor2 ix885 (.Y (nx884), .A0 (nx880), .A1 (nx882)) ; mux21 ix881 (.Y (nx880), .A0 (nx1797), .A1 (nx1793), .S0 (nx652)) ; xnor2 ix883 (.Y (nx882), .A0 (PRI_OUT_4[4]), .A1 (nx1907)) ; mux21 ix1908 (.Y (nx1907), .A0 (reg_2_q_c_4_), .A1 (PRI_OUT_0[4]), .S0 ( C_MUX2_1_SEL)) ; dff REG_7_reg_q_4_ (.Q (PRI_OUT_1[4]), .QB (\$dummy [42]), .D (nx906), .CLK ( CLK)) ; xor2 ix907 (.Y (nx906), .A0 (nx902), .A1 (nx904)) ; mux21 ix903 (.Y (nx902), .A0 (nx1781), .A1 (nx1803), .S0 (nx674)) ; xnor2 ix905 (.Y (nx904), .A0 (PRI_OUT_0[4]), .A1 (nx1891)) ; dff REG_9_reg_q_4_ (.Q (reg_9_q_c_4_), .QB (\$dummy [43]), .D (nx1012), .CLK ( CLK)) ; xor2 ix1013 (.Y (nx1012), .A0 (nx980), .A1 (nx1010)) ; mux21 ix981 (.Y (nx980), .A0 (PRI_IN_3[3]), .A1 (nx1817), .S0 (nx780)) ; xnor2 ix1011 (.Y (nx1010), .A0 (PRI_IN_3[4]), .A1 (nx1008)) ; ao21 ix1009 (.Y (nx1008), .A0 (C_MUX2_3_SEL), .A1 (reg_1_q_c_4_), .B0 (nx984 )) ; dff REG_1_reg_q_4_ (.Q (reg_1_q_c_4_), .QB (\$dummy [44]), .D (nx998), .CLK ( CLK)) ; xor2 ix999 (.Y (nx998), .A0 (nx994), .A1 (nx996)) ; mux21 ix995 (.Y (nx994), .A0 (PRI_IN_0[3]), .A1 (nx1829), .S0 (nx766)) ; xnor2 ix997 (.Y (nx996), .A0 (PRI_IN_0[4]), .A1 (PRI_OUT_2[4])) ; nor02 ix985 (.Y (nx984), .A0 (C_MUX2_3_SEL), .A1 (nx1907)) ; dff REG_8_reg_q_5_ (.Q (PRI_OUT_4[5]), .QB (\$dummy [45]), .D (nx1252), .CLK ( CLK)) ; xor2 ix1253 (.Y (nx1252), .A0 (nx1038), .A1 (nx1250)) ; mux21 ix1039 (.Y (nx1038), .A0 (reg_5_q_c_4_), .A1 (nx1843), .S0 (nx1020)) ; dff REG_5_reg_q_5_ (.Q (reg_5_q_c_5_), .QB (nx2029), .D (nx1194), .CLK (CLK) ) ; xnor2 ix1195 (.Y (nx1194), .A0 (nx1048), .A1 (nx1949)) ; mux21 ix1049 (.Y (nx1048), .A0 (nx1849), .A1 (PRI_IN_4[4]), .S0 (nx1853)) ; xnor2 ix1950 (.Y (nx1949), .A0 (PRI_IN_4[5]), .A1 (nx1951)) ; mux21 ix1952 (.Y (nx1951), .A0 (reg_5_q_c_5_), .A1 (reg_2_q_c_5_), .S0 ( C_MUX2_2_SEL)) ; xnor2 ix1181 (.Y (nx1180), .A0 (nx1060), .A1 (nx1959)) ; mux21 ix1061 (.Y (nx1060), .A0 (nx1859), .A1 (nx1921), .S0 (nx1863)) ; xnor2 ix1960 (.Y (nx1959), .A0 (PRI_IN_2[5]), .A1 (reg_10_q_c_5_)) ; dff REG_10_reg_q_5_ (.Q (reg_10_q_c_5_), .QB (\$dummy [46]), .D (nx1170), .CLK ( CLK)) ; xnor2 ix1171 (.Y (nx1170), .A0 (nx1068), .A1 (nx1969)) ; ao21 ix1069 (.Y (nx1068), .A0 (PRI_OUT_0[4]), .A1 (PRI_IN_1[4]), .B0 (nx1066 )) ; xnor2 ix1970 (.Y (nx1969), .A0 (PRI_IN_1[5]), .A1 (PRI_OUT_0[5])) ; dff REG_3_reg_q_5_ (.Q (PRI_OUT_0[5]), .QB (\$dummy [47]), .D (nx1160), .CLK ( CLK)) ; xnor2 ix1161 (.Y (nx1160), .A0 (nx1076), .A1 (nx1975)) ; mux21 ix1077 (.Y (nx1076), .A0 (nx1875), .A1 (nx1921), .S0 (nx1879)) ; xnor2 ix1976 (.Y (nx1975), .A0 (PRI_OUT_3[5]), .A1 (reg_10_q_c_5_)) ; dff REG_4_reg_q_5_ (.Q (PRI_OUT_3[5]), .QB (\$dummy [48]), .D (nx1150), .CLK ( CLK)) ; xnor2 ix1151 (.Y (nx1150), .A0 (nx1981), .A1 (nx1148)) ; mux21 ix1992 (.Y (nx1991), .A0 (PRI_OUT_3[5]), .A1 (nx1098), .S0 ( C_MUX2_5_SEL)) ; dff REG_2_reg_q_5_ (.Q (reg_2_q_c_5_), .QB (\$dummy [49]), .D (nx1180), .CLK ( CLK)) ; dff REG_6_reg_q_5_ (.Q (PRI_OUT_2[5]), .QB (\$dummy [50]), .D (nx1114), .CLK ( CLK)) ; xnor2 ix1115 (.Y (nx1114), .A0 (nx2005), .A1 (nx1112)) ; aoi22 ix2006 (.Y (nx2005), .A0 (nx868), .A1 (PRI_OUT_4[4]), .B0 (nx880), .B1 ( nx882)) ; xnor2 ix1113 (.Y (nx1112), .A0 (PRI_OUT_4[5]), .A1 (nx2009)) ; mux21 ix2010 (.Y (nx2009), .A0 (reg_2_q_c_5_), .A1 (PRI_OUT_0[5]), .S0 ( C_MUX2_1_SEL)) ; dff REG_7_reg_q_5_ (.Q (PRI_OUT_1[5]), .QB (\$dummy [51]), .D (nx1136), .CLK ( CLK)) ; xnor2 ix1137 (.Y (nx1136), .A0 (nx2015), .A1 (nx1134)) ; aoi22 ix2016 (.Y (nx2015), .A0 (nx872), .A1 (nx3666), .B0 (nx902), .B1 ( nx904)) ; xnor2 ix1135 (.Y (nx1134), .A0 (PRI_OUT_0[5]), .A1 (nx1991)) ; dff REG_9_reg_q_5_ (.Q (reg_9_q_c_5_), .QB (\$dummy [52]), .D (nx1242), .CLK ( CLK)) ; xnor2 ix1243 (.Y (nx1242), .A0 (nx2033), .A1 (nx1240)) ; mux21 ix2034 (.Y (nx2033), .A0 (nx2035), .A1 (nx980), .S0 (nx1010)) ; inv02 ix2036 (.Y (nx2035), .A (PRI_IN_3[4])) ; xnor2 ix1241 (.Y (nx1240), .A0 (PRI_IN_3[5]), .A1 (nx1238)) ; ao21 ix1239 (.Y (nx1238), .A0 (C_MUX2_3_SEL), .A1 (reg_1_q_c_5_), .B0 ( nx1214)) ; dff REG_1_reg_q_5_ (.Q (reg_1_q_c_5_), .QB (\$dummy [53]), .D (nx1228), .CLK ( CLK)) ; xnor2 ix1229 (.Y (nx1228), .A0 (nx2041), .A1 (nx1226)) ; aoi22 ix2042 (.Y (nx2041), .A0 (nx2043), .A1 (PRI_OUT_2[4]), .B0 (nx994), .B1 ( nx996)) ; inv02 ix2044 (.Y (nx2043), .A (PRI_IN_0[4])) ; xnor2 ix1227 (.Y (nx1226), .A0 (PRI_IN_0[5]), .A1 (PRI_OUT_2[5])) ; nor02 ix1215 (.Y (nx1214), .A0 (C_MUX2_3_SEL), .A1 (nx2009)) ; dff REG_8_reg_q_6_ (.Q (PRI_OUT_4[6]), .QB (\$dummy [54]), .D (nx1482), .CLK ( CLK)) ; xnor2 ix1483 (.Y (nx1482), .A0 (nx2055), .A1 (nx1480)) ; aoi22 ix2056 (.Y (nx2055), .A0 (nx2029), .A1 (reg_9_q_c_5_), .B0 (nx1038), .B1 ( nx1250)) ; xnor2 ix1481 (.Y (nx1480), .A0 (reg_5_q_c_6_), .A1 (reg_9_q_c_6_)) ; dff REG_5_reg_q_6_ (.Q (reg_5_q_c_6_), .QB (\$dummy [55]), .D (nx1424), .CLK ( CLK)) ; xor2 ix1425 (.Y (nx1424), .A0 (nx2061), .A1 (nx2065)) ; mux21 ix2062 (.Y (nx2061), .A0 (nx1048), .A1 (nx2063), .S0 (nx1949)) ; inv02 ix2064 (.Y (nx2063), .A (PRI_IN_4[5])) ; xnor2 ix2066 (.Y (nx2065), .A0 (PRI_IN_4[6]), .A1 (nx2067)) ; mux21 ix2068 (.Y (nx2067), .A0 (reg_5_q_c_6_), .A1 (reg_2_q_c_6_), .S0 ( C_MUX2_2_SEL)) ; xor2 ix1411 (.Y (nx1410), .A0 (nx2073), .A1 (nx2076)) ; aoi22 ix2074 (.Y (nx2073), .A0 (reg_10_q_c_5_), .A1 (PRI_IN_2[5]), .B0 ( nx1060), .B1 (nx1178)) ; dff REG_10_reg_q_6_ (.Q (\$dummy [56]), .QB (nx2133), .D (nx1400), .CLK (CLK )) ; xor2 ix1401 (.Y (nx1400), .A0 (nx2081), .A1 (nx2084)) ; aoi22 ix2082 (.Y (nx2081), .A0 (PRI_OUT_0[5]), .A1 (PRI_IN_1[5]), .B0 ( nx1068), .B1 (nx1168)) ; dff REG_3_reg_q_6_ (.Q (PRI_OUT_0_dup0_6_), .QB (\$dummy [57]), .D (nx1390) , .CLK (CLK)) ; xor2 ix1391 (.Y (nx1390), .A0 (nx2089), .A1 (nx2093)) ; aoi22 ix2090 (.Y (nx2089), .A0 (reg_10_q_c_5_), .A1 (PRI_OUT_3[5]), .B0 ( nx1076), .B1 (nx1158)) ; dff REG_4_reg_q_6_ (.Q (PRI_OUT_3[6]), .QB (\$dummy [58]), .D (nx1380), .CLK ( CLK)) ; xnor2 ix1381 (.Y (nx1380), .A0 (nx2097), .A1 (nx1378)) ; mux21 ix2106 (.Y (nx2105), .A0 (PRI_OUT_3[6]), .A1 (nx1328), .S0 ( C_MUX2_5_SEL)) ; dff REG_2_reg_q_6_ (.Q (reg_2_q_c_6_), .QB (\$dummy [59]), .D (nx1410), .CLK ( CLK)) ; dff REG_6_reg_q_6_ (.Q (PRI_OUT_2[6]), .QB (\$dummy [60]), .D (nx1344), .CLK ( CLK)) ; xor2 ix1345 (.Y (nx1344), .A0 (nx1340), .A1 (nx1342)) ; mux21 ix1341 (.Y (nx1340), .A0 (nx2009), .A1 (nx2005), .S0 (nx1112)) ; xnor2 ix1343 (.Y (nx1342), .A0 (PRI_OUT_4[6]), .A1 (nx2119)) ; mux21 ix2120 (.Y (nx2119), .A0 (reg_2_q_c_6_), .A1 (PRI_OUT_0[6]), .S0 ( C_MUX2_1_SEL)) ; dff REG_7_reg_q_6_ (.Q (PRI_OUT_1[6]), .QB (\$dummy [61]), .D (nx1366), .CLK ( CLK)) ; xor2 ix1367 (.Y (nx1366), .A0 (nx1362), .A1 (nx1364)) ; mux21 ix1363 (.Y (nx1362), .A0 (nx1991), .A1 (nx2015), .S0 (nx1134)) ; xnor2 ix1365 (.Y (nx1364), .A0 (PRI_OUT_0[6]), .A1 (nx2105)) ; dff REG_9_reg_q_6_ (.Q (reg_9_q_c_6_), .QB (\$dummy [62]), .D (nx1472), .CLK ( CLK)) ; xor2 ix1473 (.Y (nx1472), .A0 (nx1440), .A1 (nx1470)) ; mux21 ix1441 (.Y (nx1440), .A0 (PRI_IN_3[5]), .A1 (nx2033), .S0 (nx1240)) ; xnor2 ix1471 (.Y (nx1470), .A0 (PRI_IN_3[6]), .A1 (nx1468)) ; ao21 ix1469 (.Y (nx1468), .A0 (C_MUX2_3_SEL), .A1 (reg_1_q_c_6_), .B0 ( nx1444)) ; dff REG_1_reg_q_6_ (.Q (reg_1_q_c_6_), .QB (\$dummy [63]), .D (nx1458), .CLK ( CLK)) ; xor2 ix1459 (.Y (nx1458), .A0 (nx1454), .A1 (nx1456)) ; mux21 ix1455 (.Y (nx1454), .A0 (PRI_IN_0[5]), .A1 (nx2041), .S0 (nx1226)) ; xnor2 ix1457 (.Y (nx1456), .A0 (PRI_IN_0[6]), .A1 (PRI_OUT_2[6])) ; nor02 ix1445 (.Y (nx1444), .A0 (C_MUX2_3_SEL), .A1 (nx2119)) ; dff REG_8_reg_q_7_ (.Q (PRI_OUT_4[7]), .QB (\$dummy [64]), .D (nx1712), .CLK ( CLK)) ; xor2 ix1713 (.Y (nx1712), .A0 (nx1498), .A1 (nx1710)) ; mux21 ix1499 (.Y (nx1498), .A0 (reg_5_q_c_6_), .A1 (nx2055), .S0 (nx1480)) ; dff REG_5_reg_q_7_ (.Q (reg_5_q_c_7_), .QB (nx2242), .D (nx1654), .CLK (CLK) ) ; xnor2 ix1655 (.Y (nx1654), .A0 (nx1508), .A1 (nx2167)) ; mux21 ix1509 (.Y (nx1508), .A0 (nx2061), .A1 (PRI_IN_4[6]), .S0 (nx2065)) ; xnor2 ix2168 (.Y (nx2167), .A0 (PRI_IN_4[7]), .A1 (nx2169)) ; mux21 ix2170 (.Y (nx2169), .A0 (reg_5_q_c_7_), .A1 (reg_2_q_c_7_), .S0 ( C_MUX2_2_SEL)) ; xnor2 ix1641 (.Y (nx1640), .A0 (nx1520), .A1 (nx2176)) ; mux21 ix1521 (.Y (nx1520), .A0 (nx2073), .A1 (nx2133), .S0 (nx2076)) ; xnor2 ix2177 (.Y (nx2176), .A0 (PRI_IN_2[7]), .A1 (reg_10_q_c_7_)) ; dff REG_10_reg_q_7_ (.Q (reg_10_q_c_7_), .QB (\$dummy [65]), .D (nx1630), .CLK ( CLK)) ; xnor2 ix1631 (.Y (nx1630), .A0 (nx1528), .A1 (nx2183)) ; xnor2 ix2184 (.Y (nx2183), .A0 (PRI_IN_1[7]), .A1 (PRI_OUT_0[7])) ; dff REG_3_reg_q_7_ (.Q (PRI_OUT_0_dup0_7_), .QB (\$dummy [66]), .D (nx1620) , .CLK (CLK)) ; xnor2 ix1621 (.Y (nx1620), .A0 (nx1536), .A1 (nx2191)) ; mux21 ix1537 (.Y (nx1536), .A0 (nx2089), .A1 (nx2133), .S0 (nx2093)) ; xnor2 ix2192 (.Y (nx2191), .A0 (PRI_OUT_3[7]), .A1 (reg_10_q_c_7_)) ; dff REG_4_reg_q_7_ (.Q (PRI_OUT_3_dup0_7_), .QB (\$dummy [67]), .D (nx1610) , .CLK (CLK)) ; xnor2 ix1611 (.Y (nx1610), .A0 (nx2197), .A1 (nx1608)) ; dff REG_2_reg_q_7_ (.Q (reg_2_q_c_7_), .QB (\$dummy [68]), .D (nx1640), .CLK ( CLK)) ; dff REG_6_reg_q_7_ (.Q (PRI_OUT_2[7]), .QB (\$dummy [69]), .D (nx1574), .CLK ( CLK)) ; xnor2 ix1575 (.Y (nx1574), .A0 (nx2219), .A1 (nx1572)) ; aoi22 ix2220 (.Y (nx2219), .A0 (nx1328), .A1 (PRI_OUT_4[6]), .B0 (nx1340), .B1 ( nx1342)) ; xnor2 ix1573 (.Y (nx1572), .A0 (PRI_OUT_4[7]), .A1 (nx2223)) ; mux21 ix2224 (.Y (nx2223), .A0 (reg_2_q_c_7_), .A1 (PRI_OUT_0[7]), .S0 ( C_MUX2_1_SEL)) ; dff REG_7_reg_q_7_ (.Q (PRI_OUT_1[7]), .QB (\$dummy [70]), .D (nx1596), .CLK ( CLK)) ; xnor2 ix1597 (.Y (nx1596), .A0 (nx2229), .A1 (nx1594)) ; aoi22 ix2230 (.Y (nx2229), .A0 (nx1332), .A1 (PRI_OUT_0[6]), .B0 (nx1362), .B1 ( nx1364)) ; dff REG_9_reg_q_7_ (.Q (reg_9_q_c_7_), .QB (\$dummy [71]), .D (nx1702), .CLK ( CLK)) ; xnor2 ix1703 (.Y (nx1702), .A0 (nx2245), .A1 (nx1700)) ; mux21 ix2246 (.Y (nx2245), .A0 (nx2247), .A1 (nx1440), .S0 (nx1470)) ; inv02 ix2248 (.Y (nx2247), .A (PRI_IN_3[6])) ; xnor2 ix1701 (.Y (nx1700), .A0 (PRI_IN_3[7]), .A1 (nx1698)) ; ao21 ix1699 (.Y (nx1698), .A0 (C_MUX2_3_SEL), .A1 (reg_1_q_c_7_), .B0 ( nx1674)) ; dff REG_1_reg_q_7_ (.Q (reg_1_q_c_7_), .QB (\$dummy [72]), .D (nx1688), .CLK ( CLK)) ; xnor2 ix1689 (.Y (nx1688), .A0 (nx2257), .A1 (nx1686)) ; aoi22 ix2258 (.Y (nx2257), .A0 (nx2259), .A1 (PRI_OUT_2[6]), .B0 (nx1454), .B1 ( nx1456)) ; inv02 ix2260 (.Y (nx2259), .A (PRI_IN_0[6])) ; xnor2 ix1687 (.Y (nx1686), .A0 (PRI_IN_0[7]), .A1 (PRI_OUT_2[7])) ; nor02 ix1675 (.Y (nx1674), .A0 (C_MUX2_3_SEL), .A1 (nx2223)) ; dff REG_8_reg_q_8_ (.Q (PRI_OUT_4[8]), .QB (\$dummy [73]), .D (nx1942), .CLK ( CLK)) ; xnor2 ix1943 (.Y (nx1942), .A0 (nx2269), .A1 (nx1940)) ; aoi22 ix2270 (.Y (nx2269), .A0 (nx2242), .A1 (reg_9_q_c_7_), .B0 (nx1498), .B1 ( nx1710)) ; xnor2 ix1941 (.Y (nx1940), .A0 (reg_5_q_c_8_), .A1 (reg_9_q_c_8_)) ; dff REG_5_reg_q_8_ (.Q (reg_5_q_c_8_), .QB (\$dummy [74]), .D (nx1884), .CLK ( CLK)) ; xor2 ix1885 (.Y (nx1884), .A0 (nx2275), .A1 (nx2279)) ; mux21 ix2276 (.Y (nx2275), .A0 (nx1508), .A1 (nx2277), .S0 (nx2167)) ; inv02 ix2278 (.Y (nx2277), .A (PRI_IN_4[7])) ; xnor2 ix2280 (.Y (nx2279), .A0 (PRI_IN_4[8]), .A1 (nx2281)) ; mux21 ix2282 (.Y (nx2281), .A0 (reg_5_q_c_8_), .A1 (reg_2_q_c_8_), .S0 ( C_MUX2_2_SEL)) ; xor2 ix1871 (.Y (nx1870), .A0 (nx2287), .A1 (nx2290)) ; aoi22 ix2288 (.Y (nx2287), .A0 (reg_10_q_c_7_), .A1 (PRI_IN_2[7]), .B0 ( nx1520), .B1 (nx1638)) ; dff REG_10_reg_q_8_ (.Q (\$dummy [75]), .QB (nx2347), .D (nx1860), .CLK (CLK )) ; xor2 ix1861 (.Y (nx1860), .A0 (nx2295), .A1 (nx2299)) ; dff REG_3_reg_q_8_ (.Q (PRI_OUT_0_dup0_8_), .QB (\$dummy [76]), .D (nx1850) , .CLK (CLK)) ; xor2 ix1851 (.Y (nx1850), .A0 (nx2304), .A1 (nx2307)) ; aoi22 ix2305 (.Y (nx2304), .A0 (reg_10_q_c_7_), .A1 (PRI_OUT_3[7]), .B0 ( nx1536), .B1 (nx1618)) ; dff REG_4_reg_q_8_ (.Q (PRI_OUT_3[8]), .QB (\$dummy [77]), .D (nx1840), .CLK ( CLK)) ; xnor2 ix1841 (.Y (nx1840), .A0 (nx2313), .A1 (nx1838)) ; mux21 ix2320 (.Y (nx2319), .A0 (PRI_OUT_3[8]), .A1 (nx1788), .S0 ( C_MUX2_5_SEL)) ; dff REG_2_reg_q_8_ (.Q (reg_2_q_c_8_), .QB (\$dummy [78]), .D (nx1870), .CLK ( CLK)) ; dff REG_6_reg_q_8_ (.Q (PRI_OUT_2[8]), .QB (\$dummy [79]), .D (nx1804), .CLK ( CLK)) ; xor2 ix1805 (.Y (nx1804), .A0 (nx1800), .A1 (nx1802)) ; mux21 ix1801 (.Y (nx1800), .A0 (nx2223), .A1 (nx2219), .S0 (nx1572)) ; xnor2 ix1803 (.Y (nx1802), .A0 (PRI_OUT_4[8]), .A1 (nx2334)) ; mux21 ix2335 (.Y (nx2334), .A0 (reg_2_q_c_8_), .A1 (PRI_OUT_0[8]), .S0 ( C_MUX2_1_SEL)) ; dff REG_7_reg_q_8_ (.Q (PRI_OUT_1[8]), .QB (\$dummy [80]), .D (nx1826), .CLK ( CLK)) ; xor2 ix1827 (.Y (nx1826), .A0 (nx3555), .A1 (nx1824)) ; dff REG_9_reg_q_8_ (.Q (reg_9_q_c_8_), .QB (\$dummy [81]), .D (nx1932), .CLK ( CLK)) ; xor2 ix1933 (.Y (nx1932), .A0 (nx1900), .A1 (nx1930)) ; mux21 ix1901 (.Y (nx1900), .A0 (PRI_IN_3[7]), .A1 (nx2245), .S0 (nx1700)) ; xnor2 ix1931 (.Y (nx1930), .A0 (PRI_IN_3[8]), .A1 (nx1928)) ; ao21 ix1929 (.Y (nx1928), .A0 (C_MUX2_3_SEL), .A1 (reg_1_q_c_8_), .B0 ( nx1904)) ; dff REG_1_reg_q_8_ (.Q (reg_1_q_c_8_), .QB (\$dummy [82]), .D (nx1918), .CLK ( CLK)) ; xor2 ix1919 (.Y (nx1918), .A0 (nx1914), .A1 (nx1916)) ; mux21 ix1915 (.Y (nx1914), .A0 (PRI_IN_0[7]), .A1 (nx2257), .S0 (nx1686)) ; xnor2 ix1917 (.Y (nx1916), .A0 (PRI_IN_0[8]), .A1 (PRI_OUT_2[8])) ; nor02 ix1905 (.Y (nx1904), .A0 (C_MUX2_3_SEL), .A1 (nx2334)) ; dff REG_8_reg_q_9_ (.Q (PRI_OUT_4[9]), .QB (\$dummy [83]), .D (nx2172), .CLK ( CLK)) ; xor2 ix2173 (.Y (nx2172), .A0 (nx1958), .A1 (nx2170)) ; mux21 ix1959 (.Y (nx1958), .A0 (reg_5_q_c_8_), .A1 (nx2269), .S0 (nx1940)) ; dff REG_5_reg_q_9_ (.Q (reg_5_q_c_9_), .QB (nx2453), .D (nx2114), .CLK (CLK) ) ; xnor2 ix2115 (.Y (nx2114), .A0 (nx1968), .A1 (nx2381)) ; mux21 ix1969 (.Y (nx1968), .A0 (nx2275), .A1 (PRI_IN_4[8]), .S0 (nx2279)) ; xnor2 ix2382 (.Y (nx2381), .A0 (PRI_IN_4[9]), .A1 (nx2383)) ; mux21 ix2384 (.Y (nx2383), .A0 (reg_5_q_c_9_), .A1 (reg_2_q_c_9_), .S0 ( C_MUX2_2_SEL)) ; xnor2 ix2101 (.Y (nx2100), .A0 (nx1980), .A1 (nx2389)) ; mux21 ix1981 (.Y (nx1980), .A0 (nx2287), .A1 (nx2347), .S0 (nx2290)) ; xnor2 ix2390 (.Y (nx2389), .A0 (PRI_IN_2[9]), .A1 (reg_10_q_c_9_)) ; dff REG_10_reg_q_9_ (.Q (reg_10_q_c_9_), .QB (\$dummy [84]), .D (nx2090), .CLK ( CLK)) ; xnor2 ix2091 (.Y (nx2090), .A0 (nx1988), .A1 (nx2397)) ; xnor2 ix2398 (.Y (nx2397), .A0 (PRI_IN_1[9]), .A1 (PRI_OUT_0[9])) ; dff REG_3_reg_q_9_ (.Q (PRI_OUT_0_dup0_9_), .QB (\$dummy [85]), .D (nx2080) , .CLK (CLK)) ; xnor2 ix2081 (.Y (nx2080), .A0 (nx1996), .A1 (nx2403)) ; mux21 ix1997 (.Y (nx1996), .A0 (nx2304), .A1 (nx2347), .S0 (nx2307)) ; xnor2 ix2404 (.Y (nx2403), .A0 (PRI_OUT_3[9]), .A1 (reg_10_q_c_9_)) ; dff REG_4_reg_q_9_ (.Q (PRI_OUT_3[9]), .QB (\$dummy [86]), .D (nx2070), .CLK ( CLK)) ; xnor2 ix2071 (.Y (nx2070), .A0 (nx2407), .A1 (nx2068)) ; dff REG_2_reg_q_9_ (.Q (reg_2_q_c_9_), .QB (\$dummy [87]), .D (nx2100), .CLK ( CLK)) ; dff REG_6_reg_q_9_ (.Q (PRI_OUT_2[9]), .QB (\$dummy [88]), .D (nx2034), .CLK ( CLK)) ; xnor2 ix2035 (.Y (nx2034), .A0 (nx2429), .A1 (nx2032)) ; aoi22 ix2430 (.Y (nx2429), .A0 (nx1788), .A1 (PRI_OUT_4[8]), .B0 (nx1800), .B1 ( nx1802)) ; xnor2 ix2033 (.Y (nx2032), .A0 (PRI_OUT_4[9]), .A1 (nx2433)) ; mux21 ix2434 (.Y (nx2433), .A0 (reg_2_q_c_9_), .A1 (PRI_OUT_0[9]), .S0 ( C_MUX2_1_SEL)) ; dff REG_7_reg_q_9_ (.Q (PRI_OUT_1[9]), .QB (\$dummy [89]), .D (nx2056), .CLK ( CLK)) ; xnor2 ix2057 (.Y (nx2056), .A0 (nx2439), .A1 (nx2054)) ; dff REG_9_reg_q_9_ (.Q (reg_9_q_c_9_), .QB (\$dummy [90]), .D (nx2162), .CLK ( CLK)) ; xnor2 ix2163 (.Y (nx2162), .A0 (nx2457), .A1 (nx2160)) ; mux21 ix2458 (.Y (nx2457), .A0 (nx2459), .A1 (nx1900), .S0 (nx1930)) ; inv02 ix2460 (.Y (nx2459), .A (PRI_IN_3[8])) ; xnor2 ix2161 (.Y (nx2160), .A0 (PRI_IN_3[9]), .A1 (nx2158)) ; ao21 ix2159 (.Y (nx2158), .A0 (C_MUX2_3_SEL), .A1 (reg_1_q_c_9_), .B0 ( nx2134)) ; dff REG_1_reg_q_9_ (.Q (reg_1_q_c_9_), .QB (\$dummy [91]), .D (nx2148), .CLK ( CLK)) ; xnor2 ix2149 (.Y (nx2148), .A0 (nx2469), .A1 (nx2146)) ; aoi22 ix2470 (.Y (nx2469), .A0 (nx2471), .A1 (PRI_OUT_2[8]), .B0 (nx1914), .B1 ( nx1916)) ; inv02 ix2472 (.Y (nx2471), .A (PRI_IN_0[8])) ; xnor2 ix2147 (.Y (nx2146), .A0 (PRI_IN_0[9]), .A1 (PRI_OUT_2[9])) ; nor02 ix2135 (.Y (nx2134), .A0 (C_MUX2_3_SEL), .A1 (nx2433)) ; dff REG_8_reg_q_10_ (.Q (PRI_OUT_4[10]), .QB (\$dummy [92]), .D (nx2402), .CLK ( CLK)) ; xnor2 ix2403 (.Y (nx2402), .A0 (nx2483), .A1 (nx2400)) ; aoi22 ix2484 (.Y (nx2483), .A0 (nx2453), .A1 (reg_9_q_c_9_), .B0 (nx1958), .B1 ( nx2170)) ; xnor2 ix2401 (.Y (nx2400), .A0 (reg_5_q_c_10_), .A1 (reg_9_q_c_10_)) ; dff REG_5_reg_q_10_ (.Q (reg_5_q_c_10_), .QB (\$dummy [93]), .D (nx2344), .CLK ( CLK)) ; xor2 ix2345 (.Y (nx2344), .A0 (nx2491), .A1 (nx2495)) ; mux21 ix2492 (.Y (nx2491), .A0 (nx1968), .A1 (nx2493), .S0 (nx2381)) ; inv02 ix2494 (.Y (nx2493), .A (PRI_IN_4[9])) ; xnor2 ix2496 (.Y (nx2495), .A0 (PRI_IN_4[10]), .A1 (nx2497)) ; mux21 ix2498 (.Y (nx2497), .A0 (reg_5_q_c_10_), .A1 (reg_2_q_c_10_), .S0 ( C_MUX2_2_SEL)) ; xor2 ix2331 (.Y (nx2330), .A0 (nx2501), .A1 (nx2505)) ; aoi22 ix2502 (.Y (nx2501), .A0 (reg_10_q_c_9_), .A1 (PRI_IN_2[9]), .B0 ( nx1980), .B1 (nx2098)) ; dff REG_10_reg_q_10_ (.Q (\$dummy [94]), .QB (nx2561), .D (nx2320), .CLK ( CLK)) ; xor2 ix2321 (.Y (nx2320), .A0 (nx2511), .A1 (nx2515)) ; dff REG_3_reg_q_10_ (.Q (PRI_OUT_0_dup0_10_), .QB (\$dummy [95]), .D (nx2310 ), .CLK (CLK)) ; xor2 ix2311 (.Y (nx2310), .A0 (nx2520), .A1 (nx2523)) ; aoi22 ix2521 (.Y (nx2520), .A0 (reg_10_q_c_9_), .A1 (PRI_OUT_3[9]), .B0 ( nx1996), .B1 (nx2078)) ; dff REG_4_reg_q_10_ (.Q (PRI_OUT_3[10]), .QB (\$dummy [96]), .D (nx2300), .CLK ( CLK)) ; xnor2 ix2301 (.Y (nx2300), .A0 (nx2529), .A1 (nx2298)) ; mux21 ix2537 (.Y (nx2536), .A0 (PRI_OUT_3[10]), .A1 (nx2248), .S0 ( C_MUX2_5_SEL)) ; dff REG_2_reg_q_10_ (.Q (reg_2_q_c_10_), .QB (\$dummy [97]), .D (nx2330), .CLK ( CLK)) ; dff REG_6_reg_q_10_ (.Q (PRI_OUT_2[10]), .QB (\$dummy [98]), .D (nx2264), .CLK ( CLK)) ; xor2 ix2265 (.Y (nx2264), .A0 (nx2260), .A1 (nx2262)) ; mux21 ix2261 (.Y (nx2260), .A0 (nx2433), .A1 (nx2429), .S0 (nx2032)) ; xnor2 ix2263 (.Y (nx2262), .A0 (PRI_OUT_4[10]), .A1 (nx2549)) ; mux21 ix2550 (.Y (nx2549), .A0 (reg_2_q_c_10_), .A1 (PRI_OUT_0[10]), .S0 ( C_MUX2_1_SEL)) ; dff REG_7_reg_q_10_ (.Q (PRI_OUT_1[10]), .QB (\$dummy [99]), .D (nx2286), .CLK ( CLK)) ; xor2 ix2287 (.Y (nx2286), .A0 (nx3549), .A1 (nx2284)) ; dff REG_9_reg_q_10_ (.Q (reg_9_q_c_10_), .QB (\$dummy [100]), .D (nx2392), .CLK ( CLK)) ; xor2 ix2393 (.Y (nx2392), .A0 (nx2360), .A1 (nx2390)) ; mux21 ix2361 (.Y (nx2360), .A0 (PRI_IN_3[9]), .A1 (nx2457), .S0 (nx2160)) ; xnor2 ix2391 (.Y (nx2390), .A0 (PRI_IN_3[10]), .A1 (nx2388)) ; ao21 ix2389 (.Y (nx2388), .A0 (C_MUX2_3_SEL), .A1 (reg_1_q_c_10_), .B0 ( nx2364)) ; dff REG_1_reg_q_10_ (.Q (reg_1_q_c_10_), .QB (\$dummy [101]), .D (nx2378), .CLK ( CLK)) ; xor2 ix2379 (.Y (nx2378), .A0 (nx2374), .A1 (nx2376)) ; mux21 ix2375 (.Y (nx2374), .A0 (PRI_IN_0[9]), .A1 (nx2469), .S0 (nx2146)) ; xnor2 ix2377 (.Y (nx2376), .A0 (PRI_IN_0[10]), .A1 (PRI_OUT_2[10])) ; nor02 ix2365 (.Y (nx2364), .A0 (C_MUX2_3_SEL), .A1 (nx2549)) ; dff REG_8_reg_q_11_ (.Q (PRI_OUT_4[11]), .QB (\$dummy [102]), .D (nx2632), .CLK ( CLK)) ; xor2 ix2633 (.Y (nx2632), .A0 (nx2418), .A1 (nx2630)) ; mux21 ix2419 (.Y (nx2418), .A0 (reg_5_q_c_10_), .A1 (nx2483), .S0 (nx2400) ) ; dff REG_5_reg_q_11_ (.Q (reg_5_q_c_11_), .QB (nx2661), .D (nx2574), .CLK ( CLK)) ; xnor2 ix2575 (.Y (nx2574), .A0 (nx2428), .A1 (nx2589)) ; mux21 ix2429 (.Y (nx2428), .A0 (nx2491), .A1 (PRI_IN_4[10]), .S0 (nx2495)) ; xnor2 ix2590 (.Y (nx2589), .A0 (PRI_IN_4[11]), .A1 (nx2591)) ; mux21 ix2592 (.Y (nx2591), .A0 (reg_5_q_c_11_), .A1 (reg_2_q_c_11_), .S0 ( C_MUX2_2_SEL)) ; xnor2 ix2561 (.Y (nx2560), .A0 (nx2440), .A1 (nx2597)) ; mux21 ix2441 (.Y (nx2440), .A0 (nx2501), .A1 (nx2561), .S0 (nx2505)) ; xnor2 ix2598 (.Y (nx2597), .A0 (PRI_IN_2[11]), .A1 (reg_10_q_c_11_)) ; dff REG_10_reg_q_11_ (.Q (reg_10_q_c_11_), .QB (\$dummy [103]), .D (nx2550) , .CLK (CLK)) ; xnor2 ix2551 (.Y (nx2550), .A0 (nx2448), .A1 (nx2607)) ; xnor2 ix2608 (.Y (nx2607), .A0 (PRI_IN_1[11]), .A1 (PRI_OUT_0[11])) ; dff REG_3_reg_q_11_ (.Q (PRI_OUT_0[11]), .QB (\$dummy [104]), .D (nx2540), .CLK ( CLK)) ; xnor2 ix2541 (.Y (nx2540), .A0 (nx2456), .A1 (nx2613)) ; mux21 ix2457 (.Y (nx2456), .A0 (nx2520), .A1 (nx2561), .S0 (nx2523)) ; xnor2 ix2614 (.Y (nx2613), .A0 (PRI_OUT_3[11]), .A1 (reg_10_q_c_11_)) ; dff REG_4_reg_q_11_ (.Q (PRI_OUT_3[11]), .QB (\$dummy [105]), .D (nx2530), .CLK ( CLK)) ; xnor2 ix2531 (.Y (nx2530), .A0 (nx2617), .A1 (nx2528)) ; dff REG_2_reg_q_11_ (.Q (reg_2_q_c_11_), .QB (\$dummy [106]), .D (nx2560), .CLK ( CLK)) ; dff REG_6_reg_q_11_ (.Q (PRI_OUT_2[11]), .QB (\$dummy [107]), .D (nx2494), .CLK ( CLK)) ; xnor2 ix2495 (.Y (nx2494), .A0 (nx2637), .A1 (nx2492)) ; aoi22 ix2638 (.Y (nx2637), .A0 (nx2248), .A1 (PRI_OUT_4[10]), .B0 (nx2260), .B1 (nx2262)) ; xnor2 ix2493 (.Y (nx2492), .A0 (PRI_OUT_4[11]), .A1 (nx2640)) ; mux21 ix2642 (.Y (nx2640), .A0 (reg_2_q_c_11_), .A1 (PRI_OUT_0[11]), .S0 ( C_MUX2_1_SEL)) ; dff REG_7_reg_q_11_ (.Q (PRI_OUT_1[11]), .QB (\$dummy [108]), .D (nx2516), .CLK ( CLK)) ; xnor2 ix2517 (.Y (nx2516), .A0 (nx2647), .A1 (nx2514)) ; dff REG_9_reg_q_11_ (.Q (reg_9_q_c_11_), .QB (\$dummy [109]), .D (nx2622), .CLK ( CLK)) ; xnor2 ix2623 (.Y (nx2622), .A0 (nx2665), .A1 (nx2620)) ; mux21 ix2666 (.Y (nx2665), .A0 (nx2667), .A1 (nx2360), .S0 (nx2390)) ; inv02 ix2668 (.Y (nx2667), .A (PRI_IN_3[10])) ; xnor2 ix2621 (.Y (nx2620), .A0 (PRI_IN_3[11]), .A1 (nx2618)) ; ao21 ix2619 (.Y (nx2618), .A0 (C_MUX2_3_SEL), .A1 (reg_1_q_c_11_), .B0 ( nx2594)) ; dff REG_1_reg_q_11_ (.Q (reg_1_q_c_11_), .QB (\$dummy [110]), .D (nx2608), .CLK ( CLK)) ; xnor2 ix2609 (.Y (nx2608), .A0 (nx2677), .A1 (nx2606)) ; aoi22 ix2678 (.Y (nx2677), .A0 (nx2679), .A1 (PRI_OUT_2[10]), .B0 (nx2374), .B1 (nx2376)) ; inv02 ix2680 (.Y (nx2679), .A (PRI_IN_0[10])) ; xnor2 ix2607 (.Y (nx2606), .A0 (PRI_IN_0[11]), .A1 (PRI_OUT_2[11])) ; nor02 ix2595 (.Y (nx2594), .A0 (C_MUX2_3_SEL), .A1 (nx2640)) ; dff REG_8_reg_q_12_ (.Q (PRI_OUT_4[12]), .QB (\$dummy [111]), .D (nx2862), .CLK ( CLK)) ; xnor2 ix2863 (.Y (nx2862), .A0 (nx2691), .A1 (nx2860)) ; aoi22 ix2692 (.Y (nx2691), .A0 (nx2661), .A1 (reg_9_q_c_11_), .B0 (nx2418), .B1 (nx2630)) ; xnor2 ix2861 (.Y (nx2860), .A0 (reg_5_q_c_12_), .A1 (reg_9_q_c_12_)) ; dff REG_5_reg_q_12_ (.Q (reg_5_q_c_12_), .QB (\$dummy [112]), .D (nx2804), .CLK ( CLK)) ; xor2 ix2805 (.Y (nx2804), .A0 (nx2699), .A1 (nx2703)) ; mux21 ix2700 (.Y (nx2699), .A0 (nx2428), .A1 (nx2701), .S0 (nx2589)) ; inv02 ix2702 (.Y (nx2701), .A (PRI_IN_4[11])) ; xnor2 ix2704 (.Y (nx2703), .A0 (PRI_IN_4[12]), .A1 (nx2705)) ; mux21 ix2706 (.Y (nx2705), .A0 (reg_5_q_c_12_), .A1 (reg_2_q_c_12_), .S0 ( C_MUX2_2_SEL)) ; xor2 ix2791 (.Y (nx2790), .A0 (nx2711), .A1 (nx2715)) ; aoi22 ix2712 (.Y (nx2711), .A0 (reg_10_q_c_11_), .A1 (PRI_IN_2[11]), .B0 ( nx2440), .B1 (nx2558)) ; dff REG_10_reg_q_12_ (.Q (\$dummy [113]), .QB (nx2774), .D (nx2780), .CLK ( CLK)) ; xor2 ix2781 (.Y (nx2780), .A0 (nx2721), .A1 (nx2725)) ; aoi22 ix2722 (.Y (nx2721), .A0 (PRI_OUT_0[11]), .A1 (PRI_IN_1[11]), .B0 ( nx3634), .B1 (nx2548)) ; xnor2 ix2726 (.Y (nx2725), .A0 (PRI_IN_1[12]), .A1 (PRI_OUT_0[12])) ; dff REG_3_reg_q_12_ (.Q (PRI_OUT_0[12]), .QB (\$dummy [114]), .D (nx2770), .CLK ( CLK)) ; xor2 ix2771 (.Y (nx2770), .A0 (nx2729), .A1 (nx2732)) ; aoi22 ix2730 (.Y (nx2729), .A0 (reg_10_q_c_11_), .A1 (PRI_OUT_3[11]), .B0 ( nx2456), .B1 (nx2538)) ; dff REG_4_reg_q_12_ (.Q (PRI_OUT_3[12]), .QB (\$dummy [115]), .D (nx2760), .CLK ( CLK)) ; xnor2 ix2761 (.Y (nx2760), .A0 (nx2737), .A1 (nx2758)) ; mux21 ix2748 (.Y (nx2747), .A0 (PRI_OUT_3[12]), .A1 (nx2708), .S0 ( C_MUX2_5_SEL)) ; dff REG_2_reg_q_12_ (.Q (reg_2_q_c_12_), .QB (\$dummy [116]), .D (nx2790), .CLK ( CLK)) ; dff REG_6_reg_q_12_ (.Q (PRI_OUT_2[12]), .QB (\$dummy [117]), .D (nx2724), .CLK ( CLK)) ; xor2 ix2725 (.Y (nx2724), .A0 (nx2720), .A1 (nx2722)) ; mux21 ix2721 (.Y (nx2720), .A0 (nx2640), .A1 (nx2637), .S0 (nx2492)) ; xnor2 ix2723 (.Y (nx2722), .A0 (PRI_OUT_4[12]), .A1 (nx2763)) ; mux21 ix2764 (.Y (nx2763), .A0 (reg_2_q_c_12_), .A1 (PRI_OUT_0[12]), .S0 ( C_MUX2_1_SEL)) ; dff REG_7_reg_q_12_ (.Q (PRI_OUT_1[12]), .QB (\$dummy [118]), .D (nx2746), .CLK ( CLK)) ; xor2 ix2747 (.Y (nx2746), .A0 (nx2742), .A1 (nx2744)) ; dff REG_9_reg_q_12_ (.Q (reg_9_q_c_12_), .QB (\$dummy [119]), .D (nx2852), .CLK ( CLK)) ; xor2 ix2853 (.Y (nx2852), .A0 (nx2820), .A1 (nx2850)) ; mux21 ix2821 (.Y (nx2820), .A0 (PRI_IN_3[11]), .A1 (nx2665), .S0 (nx2620)) ; xnor2 ix2851 (.Y (nx2850), .A0 (PRI_IN_3[12]), .A1 (nx2848)) ; ao21 ix2849 (.Y (nx2848), .A0 (C_MUX2_3_SEL), .A1 (reg_1_q_c_12_), .B0 ( nx2824)) ; dff REG_1_reg_q_12_ (.Q (reg_1_q_c_12_), .QB (\$dummy [120]), .D (nx2838), .CLK ( CLK)) ; xor2 ix2839 (.Y (nx2838), .A0 (nx2834), .A1 (nx2836)) ; mux21 ix2835 (.Y (nx2834), .A0 (PRI_IN_0[11]), .A1 (nx2677), .S0 (nx2606)) ; xnor2 ix2837 (.Y (nx2836), .A0 (PRI_IN_0[12]), .A1 (PRI_OUT_2[12])) ; nor02 ix2825 (.Y (nx2824), .A0 (C_MUX2_3_SEL), .A1 (nx2763)) ; dff REG_8_reg_q_13_ (.Q (PRI_OUT_4[13]), .QB (\$dummy [121]), .D (nx3092), .CLK ( CLK)) ; xor2 ix3093 (.Y (nx3092), .A0 (nx2878), .A1 (nx3090)) ; mux21 ix2879 (.Y (nx2878), .A0 (reg_5_q_c_12_), .A1 (nx2691), .S0 (nx2860) ) ; dff REG_5_reg_q_13_ (.Q (reg_5_q_c_13_), .QB (nx2871), .D (nx3034), .CLK ( CLK)) ; xnor2 ix3035 (.Y (nx3034), .A0 (nx2888), .A1 (nx2799)) ; mux21 ix2889 (.Y (nx2888), .A0 (nx2699), .A1 (PRI_IN_4[12]), .S0 (nx2703)) ; xnor2 ix2800 (.Y (nx2799), .A0 (PRI_IN_4[13]), .A1 (nx2801)) ; mux21 ix2802 (.Y (nx2801), .A0 (reg_5_q_c_13_), .A1 (reg_2_q_c_13_), .S0 ( C_MUX2_2_SEL)) ; xnor2 ix3021 (.Y (nx3020), .A0 (nx2900), .A1 (nx2808)) ; mux21 ix2901 (.Y (nx2900), .A0 (nx2711), .A1 (nx2774), .S0 (nx2715)) ; xnor2 ix2809 (.Y (nx2808), .A0 (PRI_IN_2[13]), .A1 (reg_10_q_c_13_)) ; dff REG_10_reg_q_13_ (.Q (reg_10_q_c_13_), .QB (\$dummy [122]), .D (nx3010) , .CLK (CLK)) ; xnor2 ix3011 (.Y (nx3010), .A0 (nx2908), .A1 (nx2815)) ; nor02 ix2907 (.Y (nx2906), .A0 (nx2721), .A1 (nx2725)) ; xnor2 ix2816 (.Y (nx2815), .A0 (PRI_IN_1[13]), .A1 (PRI_OUT_0[13])) ; dff REG_3_reg_q_13_ (.Q (PRI_OUT_0_dup0_13_), .QB (\$dummy [123]), .D ( nx3000), .CLK (CLK)) ; xnor2 ix3001 (.Y (nx3000), .A0 (nx2916), .A1 (nx2823)) ; mux21 ix2917 (.Y (nx2916), .A0 (nx2729), .A1 (nx2774), .S0 (nx2732)) ; xnor2 ix2824 (.Y (nx2823), .A0 (PRI_OUT_3[13]), .A1 (reg_10_q_c_13_)) ; dff REG_4_reg_q_13_ (.Q (PRI_OUT_3[13]), .QB (\$dummy [124]), .D (nx2990), .CLK ( CLK)) ; xnor2 ix2991 (.Y (nx2990), .A0 (nx2829), .A1 (nx2988)) ; dff REG_2_reg_q_13_ (.Q (reg_2_q_c_13_), .QB (\$dummy [125]), .D (nx3020), .CLK ( CLK)) ; dff REG_6_reg_q_13_ (.Q (PRI_OUT_2[13]), .QB (\$dummy [126]), .D (nx2954), .CLK ( CLK)) ; xnor2 ix2955 (.Y (nx2954), .A0 (nx2851), .A1 (nx2952)) ; aoi22 ix2852 (.Y (nx2851), .A0 (nx2708), .A1 (PRI_OUT_4[12]), .B0 (nx2720), .B1 (nx2722)) ; xnor2 ix2953 (.Y (nx2952), .A0 (PRI_OUT_4[13]), .A1 (nx2855)) ; mux21 ix2856 (.Y (nx2855), .A0 (reg_2_q_c_13_), .A1 (PRI_OUT_0[13]), .S0 ( C_MUX2_1_SEL)) ; dff REG_7_reg_q_13_ (.Q (PRI_OUT_1[13]), .QB (\$dummy [127]), .D (nx2976), .CLK ( CLK)) ; xnor2 ix2977 (.Y (nx2976), .A0 (nx2861), .A1 (nx2974)) ; dff REG_9_reg_q_13_ (.Q (reg_9_q_c_13_), .QB (\$dummy [128]), .D (nx3082), .CLK ( CLK)) ; xnor2 ix3083 (.Y (nx3082), .A0 (nx2875), .A1 (nx3080)) ; mux21 ix2876 (.Y (nx2875), .A0 (nx2877), .A1 (nx2820), .S0 (nx2850)) ; inv02 ix2878 (.Y (nx2877), .A (PRI_IN_3[12])) ; xnor2 ix3081 (.Y (nx3080), .A0 (PRI_IN_3[13]), .A1 (nx3078)) ; ao21 ix3079 (.Y (nx3078), .A0 (C_MUX2_3_SEL), .A1 (reg_1_q_c_13_), .B0 ( nx3054)) ; dff REG_1_reg_q_13_ (.Q (reg_1_q_c_13_), .QB (\$dummy [129]), .D (nx3068), .CLK ( CLK)) ; xnor2 ix3069 (.Y (nx3068), .A0 (nx2887), .A1 (nx3066)) ; aoi22 ix2888 (.Y (nx2887), .A0 (nx2889), .A1 (PRI_OUT_2[12]), .B0 (nx2834), .B1 (nx2836)) ; inv02 ix2890 (.Y (nx2889), .A (PRI_IN_0[12])) ; xnor2 ix3067 (.Y (nx3066), .A0 (PRI_IN_0[13]), .A1 (PRI_OUT_2[13])) ; nor02 ix3055 (.Y (nx3054), .A0 (C_MUX2_3_SEL), .A1 (nx2855)) ; dff REG_8_reg_q_14_ (.Q (PRI_OUT_4[14]), .QB (\$dummy [130]), .D (nx3322), .CLK ( CLK)) ; xnor2 ix3323 (.Y (nx3322), .A0 (nx2901), .A1 (nx3320)) ; aoi22 ix2902 (.Y (nx2901), .A0 (nx2871), .A1 (reg_9_q_c_13_), .B0 (nx2878), .B1 (nx3090)) ; xnor2 ix3321 (.Y (nx3320), .A0 (reg_5_q_c_14_), .A1 (reg_9_q_c_14_)) ; dff REG_5_reg_q_14_ (.Q (reg_5_q_c_14_), .QB (\$dummy [131]), .D (nx3264), .CLK ( CLK)) ; xor2 ix3265 (.Y (nx3264), .A0 (nx2909), .A1 (nx2913)) ; mux21 ix2910 (.Y (nx2909), .A0 (nx2888), .A1 (nx2911), .S0 (nx2799)) ; inv02 ix2912 (.Y (nx2911), .A (PRI_IN_4[13])) ; xnor2 ix2914 (.Y (nx2913), .A0 (PRI_IN_4[14]), .A1 (nx2915)) ; mux21 ix2916 (.Y (nx2915), .A0 (reg_5_q_c_14_), .A1 (reg_2_q_c_14_), .S0 ( C_MUX2_2_SEL)) ; xor2 ix3251 (.Y (nx3250), .A0 (nx2921), .A1 (nx2925)) ; aoi22 ix2922 (.Y (nx2921), .A0 (reg_10_q_c_13_), .A1 (PRI_IN_2[13]), .B0 ( nx2900), .B1 (nx3018)) ; dff REG_10_reg_q_14_ (.Q (\$dummy [132]), .QB (nx2987), .D (nx3240), .CLK ( CLK)) ; xor2 ix3241 (.Y (nx3240), .A0 (nx2931), .A1 (nx2935)) ; dff REG_3_reg_q_14_ (.Q (PRI_OUT_0_dup0_14_), .QB (\$dummy [133]), .D ( nx3230), .CLK (CLK)) ; xor2 ix3231 (.Y (nx3230), .A0 (nx2941), .A1 (nx2945)) ; aoi22 ix2942 (.Y (nx2941), .A0 (reg_10_q_c_13_), .A1 (PRI_OUT_3[13]), .B0 ( nx2916), .B1 (nx2998)) ; dff REG_4_reg_q_14_ (.Q (PRI_OUT_3[14]), .QB (\$dummy [134]), .D (nx3220), .CLK ( CLK)) ; xnor2 ix3221 (.Y (nx3220), .A0 (nx2951), .A1 (nx3218)) ; xnor2 ix3219 (.Y (nx3218), .A0 (nx2959), .A1 (nx2965)) ; mux21 ix2960 (.Y (nx2959), .A0 (PRI_OUT_3[14]), .A1 (nx3168), .S0 ( C_MUX2_5_SEL)) ; dff REG_2_reg_q_14_ (.Q (reg_2_q_c_14_), .QB (\$dummy [135]), .D (nx3250), .CLK ( CLK)) ; mux21 ix2966 (.Y (nx2965), .A0 (PRI_OUT_2[14]), .A1 (PRI_OUT_1[14]), .S0 ( C_MUX2_4_SEL)) ; dff REG_6_reg_q_14_ (.Q (PRI_OUT_2[14]), .QB (\$dummy [136]), .D (nx3184), .CLK ( CLK)) ; xor2 ix3185 (.Y (nx3184), .A0 (nx3180), .A1 (nx3182)) ; mux21 ix3181 (.Y (nx3180), .A0 (nx2855), .A1 (nx2851), .S0 (nx2952)) ; xnor2 ix3183 (.Y (nx3182), .A0 (PRI_OUT_4[14]), .A1 (nx2975)) ; mux21 ix2976 (.Y (nx2975), .A0 (reg_2_q_c_14_), .A1 (PRI_OUT_0[14]), .S0 ( C_MUX2_1_SEL)) ; dff REG_7_reg_q_14_ (.Q (PRI_OUT_1[14]), .QB (\$dummy [137]), .D (nx3206), .CLK ( CLK)) ; xor2 ix3207 (.Y (nx3206), .A0 (nx3202), .A1 (nx3204)) ; dff REG_9_reg_q_14_ (.Q (reg_9_q_c_14_), .QB (\$dummy [138]), .D (nx3312), .CLK ( CLK)) ; xnor2 ix3311 (.Y (nx3310), .A0 (PRI_IN_3[14]), .A1 (nx3308)) ; ao21 ix3309 (.Y (nx3308), .A0 (C_MUX2_3_SEL), .A1 (reg_1_q_c_14_), .B0 ( nx3284)) ; dff REG_1_reg_q_14_ (.Q (reg_1_q_c_14_), .QB (\$dummy [139]), .D (nx3298), .CLK ( CLK)) ; xor2 ix3299 (.Y (nx3298), .A0 (nx3294), .A1 (nx3296)) ; mux21 ix3295 (.Y (nx3294), .A0 (PRI_IN_0[13]), .A1 (nx2887), .S0 (nx3066)) ; xnor2 ix3297 (.Y (nx3296), .A0 (PRI_IN_0[14]), .A1 (PRI_OUT_2[14])) ; nor02 ix3285 (.Y (nx3284), .A0 (C_MUX2_3_SEL), .A1 (nx2975)) ; dff REG_8_reg_q_15_ (.Q (PRI_OUT_4[15]), .QB (\$dummy [140]), .D (nx3552), .CLK ( CLK)) ; xnor2 ix3553 (.Y (nx3552), .A0 (nx3338), .A1 (nx3009)) ; mux21 ix3339 (.Y (nx3338), .A0 (reg_5_q_c_14_), .A1 (nx2901), .S0 (nx3320) ) ; xor2 ix3010 (.Y (nx3009), .A0 (reg_5_q_c_15_), .A1 (reg_9_q_c_15_)) ; dff REG_5_reg_q_15_ (.Q (reg_5_q_c_15_), .QB (\$dummy [141]), .D (nx3494), .CLK ( CLK)) ; xnor2 ix3495 (.Y (nx3494), .A0 (nx3348), .A1 (nx3015)) ; mux21 ix3349 (.Y (nx3348), .A0 (nx2909), .A1 (PRI_IN_4[14]), .S0 (nx2913)) ; xnor2 ix3016 (.Y (nx3015), .A0 (PRI_IN_4[15]), .A1 (nx3017)) ; mux21 ix3018 (.Y (nx3017), .A0 (reg_5_q_c_15_), .A1 (reg_2_q_c_15_), .S0 ( C_MUX2_2_SEL)) ; dff REG_2_reg_q_15_ (.Q (reg_2_q_c_15_), .QB (\$dummy [142]), .D (nx3480), .CLK ( CLK)) ; xnor2 ix3481 (.Y (nx3480), .A0 (nx3360), .A1 (nx3024)) ; mux21 ix3361 (.Y (nx3360), .A0 (nx2921), .A1 (nx2987), .S0 (nx2925)) ; xnor2 ix3025 (.Y (nx3024), .A0 (PRI_IN_2[15]), .A1 (reg_10_q_c_15_)) ; dff REG_10_reg_q_15_ (.Q (reg_10_q_c_15_), .QB (\$dummy [143]), .D (nx3470) , .CLK (CLK)) ; dff REG_3_reg_q_15_ (.Q (PRI_OUT_0[15]), .QB (\$dummy [144]), .D (nx3460), .CLK ( CLK)) ; xnor2 ix3461 (.Y (nx3460), .A0 (nx3376), .A1 (nx3038)) ; mux21 ix3377 (.Y (nx3376), .A0 (nx2941), .A1 (nx2987), .S0 (nx2945)) ; xnor2 ix3039 (.Y (nx3038), .A0 (PRI_OUT_3[15]), .A1 (reg_10_q_c_15_)) ; dff REG_4_reg_q_15_ (.Q (PRI_OUT_3[15]), .QB (\$dummy [145]), .D (nx3450), .CLK ( CLK)) ; xnor2 ix3451 (.Y (nx3450), .A0 (nx3386), .A1 (nx3047)) ; xnor2 ix3048 (.Y (nx3047), .A0 (nx3402), .A1 (nx3055)) ; ao21 ix3403 (.Y (nx3402), .A0 (nx1559), .A1 (PRI_OUT_3[15]), .B0 (nx3400)) ; nor02 ix3401 (.Y (nx3400), .A0 (nx1559), .A1 (nx3053)) ; mux21 ix3054 (.Y (nx3053), .A0 (reg_2_q_c_15_), .A1 (PRI_OUT_0[15]), .S0 ( C_MUX2_1_SEL)) ; mux21 ix3056 (.Y (nx3055), .A0 (PRI_OUT_2[15]), .A1 (PRI_OUT_1[15]), .S0 ( C_MUX2_4_SEL)) ; dff REG_6_reg_q_15_ (.Q (PRI_OUT_2[15]), .QB (\$dummy [146]), .D (nx3414), .CLK ( CLK)) ; xnor2 ix3415 (.Y (nx3414), .A0 (nx3061), .A1 (nx3412)) ; aoi22 ix3062 (.Y (nx3061), .A0 (nx3168), .A1 (PRI_OUT_4[14]), .B0 (nx3180), .B1 (nx3182)) ; xnor2 ix3413 (.Y (nx3412), .A0 (PRI_OUT_4[15]), .A1 (nx3053)) ; dff REG_7_reg_q_15_ (.Q (PRI_OUT_1[15]), .QB (\$dummy [147]), .D (nx3436), .CLK ( CLK)) ; xnor2 ix3437 (.Y (nx3436), .A0 (nx3069), .A1 (nx3434)) ; xor2 ix3435 (.Y (nx3434), .A0 (PRI_OUT_0[15]), .A1 (nx3402)) ; dff REG_9_reg_q_15_ (.Q (reg_9_q_c_15_), .QB (\$dummy [148]), .D (nx3542), .CLK ( CLK)) ; xnor2 ix3543 (.Y (nx3542), .A0 (nx3510), .A1 (nx3131)) ; xnor2 ix3132 (.Y (nx3131), .A0 (PRI_IN_3[15]), .A1 (nx3133)) ; mux21 ix3134 (.Y (nx3133), .A0 (nx3398), .A1 (reg_1_q_c_15_), .S0 ( C_MUX2_3_SEL)) ; dff REG_1_reg_q_15_ (.Q (reg_1_q_c_15_), .QB (\$dummy [149]), .D (nx3528), .CLK ( CLK)) ; xnor2 ix3529 (.Y (nx3528), .A0 (nx3143), .A1 (nx3526)) ; aoi22 ix3144 (.Y (nx3143), .A0 (nx3145), .A1 (PRI_OUT_2[14]), .B0 (nx3294), .B1 (nx3296)) ; inv02 ix3146 (.Y (nx3145), .A (PRI_IN_0[14])) ; xnor2 ix3527 (.Y (nx3526), .A0 (PRI_IN_0[15]), .A1 (PRI_OUT_2[15])) ; inv02 ix3399 (.Y (nx3398), .A (nx3053)) ; inv02 ix3169 (.Y (nx3168), .A (nx2975)) ; inv02 ix3019 (.Y (nx3018), .A (nx2808)) ; inv02 ix2999 (.Y (nx2998), .A (nx2823)) ; inv02 ix2939 (.Y (nx2938), .A (nx2855)) ; inv02 ix2709 (.Y (nx2708), .A (nx2763)) ; inv02 ix2559 (.Y (nx2558), .A (nx2597)) ; inv02 ix2549 (.Y (nx2548), .A (nx2607)) ; inv02 ix2539 (.Y (nx2538), .A (nx2613)) ; inv02 ix2479 (.Y (nx2478), .A (nx2640)) ; inv02 ix2249 (.Y (nx2248), .A (nx2549)) ; inv02 ix2099 (.Y (nx2098), .A (nx2389)) ; inv02 ix2079 (.Y (nx2078), .A (nx2403)) ; inv02 ix2019 (.Y (nx2018), .A (nx2433)) ; inv02 ix1789 (.Y (nx1788), .A (nx2334)) ; inv02 ix1639 (.Y (nx1638), .A (nx2176)) ; inv02 ix1619 (.Y (nx1618), .A (nx2191)) ; inv02 ix1559 (.Y (nx1558), .A (nx2223)) ; inv02 ix1333 (.Y (nx1332), .A (nx2105)) ; inv02 ix1329 (.Y (nx1328), .A (nx2119)) ; inv02 ix1179 (.Y (nx1178), .A (nx1959)) ; inv02 ix1169 (.Y (nx1168), .A (nx1969)) ; inv02 ix1159 (.Y (nx1158), .A (nx1975)) ; inv02 ix1099 (.Y (nx1098), .A (nx2009)) ; inv02 ix873 (.Y (nx872), .A (nx1891)) ; inv02 ix869 (.Y (nx868), .A (nx1907)) ; inv02 ix719 (.Y (nx718), .A (nx1749)) ; inv02 ix699 (.Y (nx698), .A (nx1767)) ; inv02 ix639 (.Y (nx638), .A (nx1797)) ; inv02 ix627 (.Y (nx626), .A (nx1773)) ; inv02 ix413 (.Y (nx412), .A (nx1675)) ; inv02 ix409 (.Y (nx408), .A (nx1692)) ; inv02 ix397 (.Y (nx396), .A (nx1662)) ; inv02 ix279 (.Y (nx278), .A (nx1535)) ; inv02 ix269 (.Y (nx268), .A (nx1543)) ; inv02 ix259 (.Y (nx258), .A (nx1551)) ; inv02 ix215 (.Y (nx214), .A (nx1581)) ; inv02 ix185 (.Y (nx184), .A (nx1513)) ; xor2 ix109 (.Y (nx108), .A0 (PRI_IN_2[0]), .A1 (reg_10_q_c_0_)) ; xor2 ix81 (.Y (nx80), .A0 (PRI_OUT_3[0]), .A1 (reg_10_q_c_0_)) ; xor2 ix331 (.Y (nx330), .A0 (nx1598), .A1 (reg_9_q_c_1_)) ; xor2 ix1642 (.Y (nx1641), .A0 (PRI_IN_2[2]), .A1 (nx1705)) ; xor2 ix1659 (.Y (nx1658), .A0 (PRI_OUT_3[2]), .A1 (nx1705)) ; xor2 ix791 (.Y (nx790), .A0 (nx1813), .A1 (reg_9_q_c_3_)) ; xor2 ix1864 (.Y (nx1863), .A0 (PRI_IN_2[4]), .A1 (nx1921)) ; xor2 ix1880 (.Y (nx1879), .A0 (PRI_OUT_3[4]), .A1 (nx1921)) ; xor2 ix1251 (.Y (nx1250), .A0 (nx2029), .A1 (reg_9_q_c_5_)) ; xor2 ix2077 (.Y (nx2076), .A0 (PRI_IN_2[6]), .A1 (nx2133)) ; xor2 ix2094 (.Y (nx2093), .A0 (PRI_OUT_3[6]), .A1 (nx2133)) ; xor2 ix1711 (.Y (nx1710), .A0 (nx2242), .A1 (reg_9_q_c_7_)) ; xor2 ix2291 (.Y (nx2290), .A0 (PRI_IN_2[8]), .A1 (nx2347)) ; xor2 ix2308 (.Y (nx2307), .A0 (PRI_OUT_3[8]), .A1 (nx2347)) ; xor2 ix2171 (.Y (nx2170), .A0 (nx2453), .A1 (reg_9_q_c_9_)) ; xor2 ix2506 (.Y (nx2505), .A0 (PRI_IN_2[10]), .A1 (nx2561)) ; xor2 ix2524 (.Y (nx2523), .A0 (PRI_OUT_3[10]), .A1 (nx2561)) ; xor2 ix2631 (.Y (nx2630), .A0 (nx2661), .A1 (reg_9_q_c_11_)) ; xor2 ix2716 (.Y (nx2715), .A0 (PRI_IN_2[12]), .A1 (nx2774)) ; xor2 ix2733 (.Y (nx2732), .A0 (PRI_OUT_3[12]), .A1 (nx2774)) ; xor2 ix3091 (.Y (nx3090), .A0 (nx2871), .A1 (reg_9_q_c_13_)) ; xor2 ix2926 (.Y (nx2925), .A0 (PRI_IN_2[14]), .A1 (nx2987)) ; xor2 ix2946 (.Y (nx2945), .A0 (PRI_OUT_3[14]), .A1 (nx2987)) ; dff REG_4_reg_q_3_ (.Q (PRI_OUT_3_dup0_3_), .QB (\$dummy [150]), .D (nx690) , .CLK (CLK)) ; xnor2 ix691 (.Y (nx690), .A0 (nx1773), .A1 (nx688)) ; dff REG_4_reg_q_0_ (.Q (PRI_OUT_3_dup0_0_), .QB (\$dummy [151]), .D (nx66), .CLK (CLK)) ; xor2 ix67 (.Y (nx66), .A0 (nx1473), .A1 (nx1479)) ; buf04 ix3681 (.Y (PRI_OUT_3[3]), .A (PRI_OUT_3_dup0_3_)) ; buf04 ix3682 (.Y (PRI_OUT_3[0]), .A (PRI_OUT_3_dup0_0_)) ; inv02 ix3683 (.Y (nx3335), .A (nx2839)) ; inv02 ix3684 (.Y (nx3336), .A (C_MUX2_4_SEL)) ; aoi22 ix3685 (.Y (nx3337), .A0 (PRI_OUT_2[13]), .A1 (nx3359), .B0 ( PRI_OUT_1[13]), .B1 (C_MUX2_4_SEL)) ; nor02 ix3686 (.Y (nx3339), .A0 (nx3335), .A1 (nx3337)) ; aoi221 ix3687 (.Y (nx3340), .A0 (C_MUX2_4_SEL), .A1 (PRI_OUT_1[11]), .B0 ( PRI_OUT_2[11]), .B1 (nx3359), .C0 (nx2626)) ; inv02 ix3688 (.Y (nx3341), .A (nx2626)) ; aoi22 ix3689 (.Y (nx3342), .A0 (PRI_OUT_2[11]), .A1 (nx3359), .B0 ( C_MUX2_4_SEL), .B1 (PRI_OUT_1[11])) ; oai22 nx2737_rename (.Y (nx2737), .A0 (nx3340), .A1 (nx2466), .B0 (nx3341), .B1 (nx3342)) ; inv02 ix3690 (.Y (nx3343), .A (nx3677)) ; aoi22 ix3691 (.Y (nx3344), .A0 (PRI_OUT_2[12]), .A1 (nx3361), .B0 ( C_MUX2_4_SEL), .B1 (PRI_OUT_1[12])) ; nand02 ix3692 (.Y (nx3345), .A0 (nx3343), .A1 (nx3344)) ; nor02 ix3693 (.Y (nx3346), .A0 (nx3343), .A1 (nx3344)) ; aoi21 ix3694 (.Y (nx3347), .A0 (nx2737), .A1 (nx3345), .B0 (nx3346)) ; aoi21 ix3695 (.Y (nx3349), .A0 (nx3335), .A1 (nx3337), .B0 (nx3347)) ; inv02 ix3696 (.Y (nx3350), .A (PRI_OUT_2[13])) ; inv02 ix3697 (.Y (nx3351), .A (PRI_OUT_1[13])) ; aoi22 ix3698 (.Y (nx3352), .A0 (nx3361), .A1 (nx3350), .B0 (C_MUX2_4_SEL), .B1 ( nx3351)) ; oai22 nx2988_rename (.Y (nx2988), .A0 (nx3335), .A1 (nx3352), .B0 (nx3337), .B1 (nx2839)) ; inv01 nx2829_rename (.Y (nx2829), .A (nx3347)) ; inv02 ix3699 (.Y (nx3353), .A (PRI_OUT_2[12])) ; inv02 ix3700 (.Y (nx3354), .A (PRI_OUT_1[12])) ; aoi22 ix3701 (.Y (nx3355), .A0 (nx3361), .A1 (nx3353), .B0 (C_MUX2_4_SEL), .B1 ( nx3354)) ; oai22 nx2758_rename (.Y (nx2758), .A0 (nx3343), .A1 (nx3355), .B0 (nx3344), .B1 (nx3677)) ; inv02 ix3702 (.Y (nx3356), .A (PRI_OUT_2[11])) ; inv02 ix3703 (.Y (nx3357), .A (PRI_OUT_1[11])) ; aoi22 ix3704 (.Y (nx3358), .A0 (nx3361), .A1 (nx3356), .B0 (C_MUX2_4_SEL), .B1 ( nx3357)) ; oai22 nx2528_rename (.Y (nx2528), .A0 (nx3341), .A1 (nx3358), .B0 (nx3342), .B1 (nx2626)) ; buf04 ix3705 (.Y (nx3359), .A (nx3336)) ; buf04 ix3706 (.Y (nx3361), .A (nx3336)) ; inv01 ix3707 (.Y (nx3362), .A (C_MUX2_5_SEL)) ; inv01 ix3708 (.Y (nx3363), .A (PRI_OUT_1[0])) ; aoi22 ix3709 (.Y (nx3364), .A0 (PRI_OUT_3_dup0_0_), .A1 (nx3362), .B0 ( C_MUX2_4_SEL), .B1 (nx3363)) ; oai221 nx58_rename (.Y (nx58), .A0 (nx3362), .A1 (nx1485), .B0 (C_MUX2_4_SEL ), .B1 (PRI_OUT_2[0]), .C0 (nx3364)) ; inv01 ix3710 (.Y (nx3365), .A (PRI_OUT_3_dup0_0_)) ; aoi22 ix3711 (.Y (nx3366), .A0 (nx3365), .A1 (nx3362), .B0 (C_MUX2_5_SEL), .B1 ( nx1485)) ; inv02 ix3712 (.Y (nx3367), .A (C_MUX2_4_SEL)) ; aoi22 ix3713 (.Y (nx3368), .A0 (PRI_OUT_2[0]), .A1 (nx3367), .B0 ( PRI_OUT_1[0]), .B1 (C_MUX2_4_SEL)) ; nor02 nx1555_rename (.Y (nx1555), .A0 (nx3366), .A1 (nx3368)) ; oai22 nx1479_rename (.Y (nx1479), .A0 (C_MUX2_4_SEL), .A1 (PRI_OUT_2[0]), .B0 ( nx3367), .B1 (PRI_OUT_1[0])) ; oai22 nx18_rename (.Y (nx18), .A0 (nx3365), .A1 (C_MUX2_5_SEL), .B0 (nx3362) , .B1 (nx1485)) ; oai22 nx1473_rename (.Y (nx1473), .A0 (PRI_OUT_3_dup0_0_), .A1 (C_MUX2_5_SEL ), .B0 (nx3362), .B1 (nx14)) ; and02 ix3714 (.Y (nx3369), .A0 (PRI_OUT_0[13]), .A1 (PRI_IN_1[13])) ; and02 ix3715 (.Y (nx3370), .A0 (PRI_OUT_0[12]), .A1 (PRI_IN_1[12])) ; inv02 ix3716 (.Y (nx3371), .A (nx2815)) ; oai32 nx2931_rename (.Y (nx2931), .A0 (nx2906), .A1 (nx3369), .A2 (nx3370), .B0 (nx3371), .B1 (nx3369)) ; inv02 ix3717 (.Y (nx3372), .A (PRI_IN_1[15])) ; inv02 ix3718 (.Y (nx3373), .A (PRI_OUT_0[15])) ; aoi22 ix3719 (.Y (nx3374), .A0 (PRI_IN_1[15]), .A1 (PRI_OUT_0[15]), .B0 ( nx3372), .B1 (nx3373)) ; nor02 ix3720 (.Y (nx3375), .A0 (PRI_OUT_0[14]), .A1 (PRI_IN_1[14])) ; nand02 ix3721 (.Y (nx3377), .A0 (PRI_OUT_0[13]), .A1 (PRI_IN_1[13])) ; aoi221 ix3722 (.Y (nx3378), .A0 (nx3679), .A1 (PRI_IN_1[13]), .B0 ( PRI_OUT_0[12]), .B1 (PRI_IN_1[12]), .C0 (nx2906)) ; aoi21 ix3723 (.Y (nx3379), .A0 (nx2815), .A1 (nx3377), .B0 (nx3378)) ; inv02 ix3724 (.Y (nx3380), .A (PRI_OUT_0[14])) ; inv02 ix3725 (.Y (nx3381), .A (PRI_IN_1[14])) ; oai21 ix3726 (.Y (nx3382), .A0 (nx3380), .A1 (nx3381), .B0 (nx3374)) ; oai22 nx3031_rename (.Y (nx3031), .A0 (nx3372), .A1 (nx3373), .B0 ( PRI_IN_1[15]), .B1 (PRI_OUT_0[15])) ; and02 ix3727 (.Y (nx3383), .A0 (nx3680), .A1 (PRI_IN_1[14])) ; aoi22 ix3728 (.Y (nx3384), .A0 (nx3374), .A1 (nx3375), .B0 (nx3031), .B1 ( nx3383)) ; oai321 nx3470_rename (.Y (nx3470), .A0 (nx2931), .A1 (nx3374), .A2 (nx3375) , .B0 (nx3379), .B1 (nx3382), .C0 (nx3384)) ; oai22 nx2935_rename (.Y (nx2935), .A0 (nx3380), .A1 (nx3381), .B0 (nx3680), .B1 (PRI_IN_1[14])) ; or02 nx2908_rename (.Y (nx2908), .A0 (nx3370), .A1 (nx2906)) ; inv02 ix3729 (.Y (nx3385), .A (nx3675)) ; inv02 ix3730 (.Y (nx3387), .A (C_MUX2_4_SEL)) ; aoi22 ix3731 (.Y (nx3388), .A0 (PRI_OUT_2[10]), .A1 (nx3411), .B0 ( PRI_OUT_1[10]), .B1 (C_MUX2_4_SEL)) ; nor02 ix3732 (.Y (nx3389), .A0 (nx3385), .A1 (nx3388)) ; aoi221 ix3733 (.Y (nx3390), .A0 (C_MUX2_4_SEL), .A1 (PRI_OUT_1[8]), .B0 ( PRI_OUT_2[8]), .B1 (nx3411), .C0 (nx3671)) ; inv01 ix3734 (.Y (nx3391), .A (nx3671)) ; aoi22 ix3735 (.Y (nx3392), .A0 (PRI_OUT_2[8]), .A1 (nx3411), .B0 ( C_MUX2_4_SEL), .B1 (PRI_OUT_1[8])) ; oai22 nx2407_rename (.Y (nx2407), .A0 (nx3390), .A1 (nx1776), .B0 (nx3391), .B1 (nx3392)) ; inv01 ix3736 (.Y (nx3393), .A (nx2415)) ; aoi22 ix3737 (.Y (nx3394), .A0 (PRI_OUT_2[9]), .A1 (nx3413), .B0 ( C_MUX2_4_SEL), .B1 (PRI_OUT_1[9])) ; nand02 ix3738 (.Y (nx3395), .A0 (nx3393), .A1 (nx3394)) ; nor02 ix3739 (.Y (nx3396), .A0 (nx3393), .A1 (nx3394)) ; aoi21 ix3740 (.Y (nx3397), .A0 (nx2407), .A1 (nx3395), .B0 (nx3396)) ; aoi21 ix3741 (.Y (nx3399), .A0 (nx3385), .A1 (nx3388), .B0 (nx3397)) ; nor02 nx2466_rename (.Y (nx2466), .A0 (nx3389), .A1 (nx3399)) ; inv01 nx2617_rename (.Y (nx2617), .A (nx2466)) ; inv02 ix3742 (.Y (nx3401), .A (PRI_OUT_2[10])) ; inv02 ix3743 (.Y (nx3403), .A (PRI_OUT_1[10])) ; aoi22 ix3744 (.Y (nx3404), .A0 (nx3413), .A1 (nx3401), .B0 (C_MUX2_4_SEL), .B1 ( nx3403)) ; oai22 nx2298_rename (.Y (nx2298), .A0 (nx3385), .A1 (nx3404), .B0 (nx3388), .B1 (nx3675)) ; inv02 nx2529_rename (.Y (nx2529), .A (nx3397)) ; inv02 ix3745 (.Y (nx3405), .A (PRI_OUT_2[9])) ; inv02 ix3746 (.Y (nx3406), .A (PRI_OUT_1[9])) ; aoi22 ix3747 (.Y (nx3407), .A0 (nx3413), .A1 (nx3405), .B0 (C_MUX2_4_SEL), .B1 ( nx3406)) ; oai22 nx2068_rename (.Y (nx2068), .A0 (nx3393), .A1 (nx3407), .B0 (nx3394), .B1 (nx2415)) ; inv02 ix3748 (.Y (nx3408), .A (PRI_OUT_2[8])) ; inv02 ix3749 (.Y (nx3409), .A (PRI_OUT_1[8])) ; aoi22 ix3750 (.Y (nx3410), .A0 (nx3413), .A1 (nx3408), .B0 (C_MUX2_4_SEL), .B1 ( nx3409)) ; oai22 nx1838_rename (.Y (nx1838), .A0 (nx3391), .A1 (nx3410), .B0 (nx3392), .B1 (nx3671)) ; buf04 ix3751 (.Y (nx3411), .A (nx3387)) ; buf04 ix3752 (.Y (nx3413), .A (nx3387)) ; aoi32 nx1645_rename (.Y (nx1645), .A0 (nx268), .A1 (PRI_IN_1[0]), .A2 ( PRI_OUT_0[0]), .B0 (PRI_OUT_0[1]), .B1 (PRI_IN_1[1])) ; nor02 ix3753 (.Y (nx3415), .A0 (nx3665), .A1 (PRI_IN_1[2])) ; nor02 ix3754 (.Y (nx3416), .A0 (nx1645), .A1 (nx3415)) ; inv02 ix3755 (.Y (nx3417), .A (PRI_IN_1[4])) ; inv01 ix3756 (.Y (nx3418), .A (nx3666)) ; aoi22 ix3757 (.Y (nx3419), .A0 (nx3666), .A1 (nx3417), .B0 (PRI_IN_1[4]), .B1 ( nx3418)) ; inv02 ix3758 (.Y (nx3420), .A (PRI_OUT_0[3])) ; inv02 ix3759 (.Y (nx3421), .A (PRI_IN_1[3])) ; and02 ix3760 (.Y (nx3422), .A0 (PRI_OUT_0[3]), .A1 (PRI_IN_1[3])) ; inv02 ix3761 (.Y (nx3423), .A (nx3665)) ; inv02 ix3762 (.Y (nx3424), .A (PRI_IN_1[2])) ; oai22 nx608_rename (.Y (nx608), .A0 (nx3423), .A1 (nx3424), .B0 (nx1645), .B1 ( nx3415)) ; inv02 ix3763 (.Y (nx3425), .A (nx1759)) ; oai22 nx1867_rename (.Y (nx1867), .A0 (nx3422), .A1 (nx608), .B0 (nx3425), .B1 ( nx3422)) ; oai22 nx1871_rename (.Y (nx1871), .A0 (nx3417), .A1 (nx3418), .B0 ( PRI_IN_1[4]), .B1 (nx3666)) ; oai22 nx1649_rename (.Y (nx1649), .A0 (nx3423), .A1 (nx3424), .B0 (nx3665), .B1 (PRI_IN_1[2])) ; inv01 ix3764 (.Y (nx3426), .A (nx1991)) ; inv01 ix3765 (.Y (nx3427), .A (C_MUX2_4_SEL)) ; aoi22 ix3766 (.Y (nx3428), .A0 (PRI_OUT_2[5]), .A1 (nx3451), .B0 ( PRI_OUT_1[5]), .B1 (C_MUX2_4_SEL)) ; nor02 ix3767 (.Y (nx3429), .A0 (nx3426), .A1 (nx3428)) ; aoi221 ix3768 (.Y (nx3430), .A0 (C_MUX2_4_SEL), .A1 (PRI_OUT_1[3]), .B0 ( PRI_OUT_2[3]), .B1 (nx3451), .C0 (nx1781)) ; inv01 ix3769 (.Y (nx3431), .A (nx1781)) ; aoi22 ix3770 (.Y (nx3432), .A0 (PRI_OUT_2[3]), .A1 (nx3451), .B0 ( C_MUX2_4_SEL), .B1 (PRI_OUT_1[3])) ; oai22 nx1885_rename (.Y (nx1885), .A0 (nx3430), .A1 (nx626), .B0 (nx3431), .B1 ( nx3432)) ; inv01 ix3771 (.Y (nx3433), .A (nx1891)) ; aoi22 ix3772 (.Y (nx3435), .A0 (PRI_OUT_2[4]), .A1 (nx3452), .B0 ( C_MUX2_4_SEL), .B1 (PRI_OUT_1[4])) ; nand02 ix3773 (.Y (nx3437), .A0 (nx3433), .A1 (nx3435)) ; nor02 ix3774 (.Y (nx3438), .A0 (nx3433), .A1 (nx3435)) ; aoi21 ix3775 (.Y (nx3439), .A0 (nx1885), .A1 (nx3437), .B0 (nx3438)) ; aoi21 ix3776 (.Y (nx3440), .A0 (nx3426), .A1 (nx3428), .B0 (nx3439)) ; inv02 nx2097_rename (.Y (nx2097), .A (nx1316)) ; inv02 ix3777 (.Y (nx3441), .A (PRI_OUT_2[5])) ; inv02 ix3778 (.Y (nx3442), .A (PRI_OUT_1[5])) ; aoi22 ix3779 (.Y (nx3443), .A0 (nx3452), .A1 (nx3441), .B0 (C_MUX2_4_SEL), .B1 ( nx3442)) ; oai22 nx1148_rename (.Y (nx1148), .A0 (nx3426), .A1 (nx3443), .B0 (nx3428), .B1 (nx1991)) ; inv02 nx1981_rename (.Y (nx1981), .A (nx3439)) ; inv02 ix3780 (.Y (nx3444), .A (PRI_OUT_2[4])) ; inv02 ix3781 (.Y (nx3445), .A (PRI_OUT_1[4])) ; aoi22 ix3782 (.Y (nx3446), .A0 (nx3452), .A1 (nx3444), .B0 (C_MUX2_4_SEL), .B1 ( nx3445)) ; oai22 nx918_rename (.Y (nx918), .A0 (nx3433), .A1 (nx3446), .B0 (nx3435), .B1 ( nx1891)) ; inv02 ix3783 (.Y (nx3447), .A (PRI_OUT_2[3])) ; inv02 ix3784 (.Y (nx3448), .A (PRI_OUT_1[3])) ; aoi22 ix3785 (.Y (nx3449), .A0 (nx3452), .A1 (nx3447), .B0 (C_MUX2_4_SEL), .B1 ( nx3448)) ; oai22 nx688_rename (.Y (nx688), .A0 (nx3431), .A1 (nx3449), .B0 (nx3432), .B1 ( nx1781)) ; buf04 ix3786 (.Y (nx3451), .A (nx3427)) ; buf04 ix3787 (.Y (nx3452), .A (nx3427)) ; inv01 ix3788 (.Y (nx3453), .A (nx3659)) ; inv01 ix3789 (.Y (nx3454), .A (nx3661)) ; aoi22 ix3790 (.Y (nx3455), .A0 (nx3594), .A1 (nx3453), .B0 (C_MUX2_1_SEL), .B1 ( nx3454)) ; inv02 ix3791 (.Y (nx3456), .A (PRI_IN_3[0])) ; inv02 ix3792 (.Y (nx3457), .A (C_MUX2_3_SEL)) ; oai33 nx1601_rename (.Y (nx1601), .A0 (nx3455), .A1 (nx3456), .A2 ( C_MUX2_3_SEL), .B0 (reg_1_q_c_0_), .B1 (nx3457), .B2 (nx3456)) ; inv02 ix3793 (.Y (nx3458), .A (reg_1_q_c_0_)) ; aoi22 ix3794 (.Y (nx3459), .A0 (nx3659), .A1 (nx3594), .B0 (nx3661), .B1 ( C_MUX2_1_SEL)) ; oai22 nx162_rename (.Y (nx162), .A0 (nx3457), .A1 (nx3458), .B0 (nx3459), .B1 ( C_MUX2_3_SEL)) ; inv01 ix3795 (.Y (nx3461), .A (C_MUX2_4_SEL)) ; inv01 ix3796 (.Y (nx3462), .A (PRI_OUT_2[7])) ; inv01 ix3797 (.Y (nx3463), .A (PRI_OUT_1[7])) ; aoi22 ix3798 (.Y (nx3464), .A0 (nx3461), .A1 (nx3462), .B0 (C_MUX2_4_SEL), .B1 ( nx3463)) ; and02 ix3799 (.Y (nx3465), .A0 (nx2205), .A1 (nx3464)) ; inv01 ix3800 (.Y (nx3466), .A (nx2105)) ; aoi22 ix3801 (.Y (nx3467), .A0 (PRI_OUT_2[6]), .A1 (nx3461), .B0 ( C_MUX2_4_SEL), .B1 (PRI_OUT_1[6])) ; nor02 ix3802 (.Y (nx3468), .A0 (nx3654), .A1 (nx3563)) ; aoi221 ix3803 (.Y (nx3469), .A0 (PRI_OUT_1[7]), .A1 (C_MUX2_4_SEL), .B0 ( PRI_OUT_2[7]), .B1 (nx3461), .C0 (nx2205)) ; inv02 ix3804 (.Y (nx3471), .A (nx2205)) ; aoi22 ix3805 (.Y (nx3472), .A0 (PRI_OUT_2[7]), .A1 (nx3461), .B0 ( PRI_OUT_1[7]), .B1 (C_MUX2_4_SEL)) ; oai22 nx2313_rename (.Y (nx2313), .A0 (nx3468), .A1 (nx3469), .B0 (nx3471), .B1 (nx3472)) ; oai22 nx1608_rename (.Y (nx1608), .A0 (nx3471), .A1 (nx3464), .B0 (nx3472), .B1 (nx2205)) ; inv01 nx2197_rename (.Y (nx2197), .A (nx3468)) ; inv02 ix3806 (.Y (nx3473), .A (PRI_OUT_2[6])) ; inv02 ix3807 (.Y (nx3474), .A (PRI_OUT_1[6])) ; aoi22 ix3808 (.Y (nx3475), .A0 (nx3461), .A1 (nx3473), .B0 (C_MUX2_4_SEL), .B1 ( nx3474)) ; oai22 nx1378_rename (.Y (nx1378), .A0 (nx3466), .A1 (nx3475), .B0 (nx3467), .B1 (nx2105)) ; or02 ix3809 (.Y (nx3476), .A0 (PRI_OUT_0[10]), .A1 (PRI_IN_1[10])) ; inv02 ix3810 (.Y (nx3477), .A (PRI_OUT_0[8])) ; inv02 ix3811 (.Y (nx3478), .A (PRI_IN_1[8])) ; nor02 ix3812 (.Y (nx3479), .A0 (PRI_OUT_0[8]), .A1 (PRI_IN_1[8])) ; inv02 ix3813 (.Y (nx3481), .A (nx2397)) ; inv02 nx2448_rename (.Y (nx2448), .A (nx3645)) ; and02 ix3814 (.Y (nx3482), .A0 (PRI_OUT_0[9]), .A1 (PRI_IN_1[9])) ; oai22 nx2511_rename (.Y (nx2511), .A0 (nx3482), .A1 (nx3646), .B0 (nx3481), .B1 (nx3482)) ; inv02 ix3815 (.Y (nx3483), .A (PRI_OUT_0[10])) ; inv02 ix3816 (.Y (nx3484), .A (PRI_IN_1[10])) ; oai22 nx2515_rename (.Y (nx2515), .A0 (nx3483), .A1 (nx3484), .B0 ( PRI_OUT_0[10]), .B1 (PRI_IN_1[10])) ; oai22 nx2299_rename (.Y (nx2299), .A0 (nx3477), .A1 (nx3478), .B0 (nx3670), .B1 (PRI_IN_1[8])) ; nor02 ix3817 (.Y (nx3485), .A0 (nx3419), .A1 (nx1759)) ; inv02 ix3818 (.Y (nx3486), .A (nx3665)) ; inv02 ix3819 (.Y (nx3487), .A (PRI_IN_1[2])) ; oai43 ix3820 (.Y (nx3488), .A0 (nx3486), .A1 (nx3487), .A2 (nx3419), .A3 ( nx1759), .B0 (nx3420), .B1 (nx3419), .B2 (nx3421)) ; ao21 nx1066_rename (.Y (nx1066), .A0 (nx3416), .A1 (nx3485), .B0 (nx3488)) ; inv02 ix3821 (.Y (nx3489), .A (nx3680)) ; or02 ix3822 (.Y (nx3490), .A0 (nx3489), .A1 (nx2959)) ; inv02 ix3823 (.Y (nx3491), .A (nx3679)) ; inv02 ix3824 (.Y (nx3492), .A (C_MUX2_5_SEL)) ; and02 ix3825 (.Y (nx3493), .A0 (PRI_OUT_3[13]), .A1 (nx3520)) ; nor02 ix3826 (.Y (nx3495), .A0 (C_MUX2_5_SEL), .A1 (PRI_OUT_3[13])) ; inv02 ix3827 (.Y (nx3496), .A (nx2938)) ; oai33 ix3828 (.Y (nx3497), .A0 (nx2938), .A1 (nx3520), .A2 (nx3491), .B0 ( nx3496), .B1 (nx3520), .B2 (nx3679)) ; aoi221 ix3829 (.Y (nx3498), .A0 (nx3491), .A1 (nx3493), .B0 (nx3679), .B1 ( nx3495), .C0 (nx3497)) ; inv02 ix3830 (.Y (nx3499), .A (PRI_OUT_0[12])) ; inv02 ix3831 (.Y (nx3500), .A (nx3674)) ; inv02 ix3832 (.Y (nx3501), .A (PRI_OUT_0[11])) ; and02 ix3833 (.Y (nx3502), .A0 (PRI_OUT_3[11]), .A1 (nx3520)) ; nor02 ix3834 (.Y (nx3503), .A0 (C_MUX2_5_SEL), .A1 (PRI_OUT_3[11])) ; inv02 ix3835 (.Y (nx3504), .A (nx2478)) ; oai33 ix3836 (.Y (nx3505), .A0 (nx2478), .A1 (nx3521), .A2 (nx3501), .B0 ( nx3504), .B1 (nx3520), .B2 (PRI_OUT_0[11])) ; aoi221 ix3837 (.Y (nx3506), .A0 (nx3501), .A1 (nx3502), .B0 (PRI_OUT_0[11]) , .B1 (nx3503), .C0 (nx3505)) ; aoi21 ix3838 (.Y (nx3507), .A0 (nx3675), .A1 (nx3500), .B0 (nx3506)) ; ao22 ix3839 (.Y (nx3508), .A0 (PRI_OUT_3[11]), .A1 (nx3521), .B0 ( C_MUX2_5_SEL), .B1 (nx2478)) ; nor03 ix3840 (.Y (nx3509), .A0 (nx3506), .A1 (nx3500), .A2 (nx3676)) ; ao21 ix3841 (.Y (nx3511), .A0 (nx3677), .A1 (nx3499), .B0 (nx3498)) ; ao22 ix3842 (.Y (nx3512), .A0 (PRI_OUT_3[13]), .A1 (nx3521), .B0 (nx2938), .B1 ( C_MUX2_5_SEL)) ; nand02 ix3843 (.Y (nx3513), .A0 (nx3512), .A1 (nx3498)) ; inv02 ix3844 (.Y (nx3514), .A (nx2959)) ; oai22 nx3204_rename (.Y (nx3204), .A0 (nx3489), .A1 (nx3514), .B0 (nx3680), .B1 (nx2959)) ; oai22 nx2839_rename (.Y (nx2839), .A0 (C_MUX2_5_SEL), .A1 (PRI_OUT_3[13]), .B0 ( nx3521), .B1 (nx2938)) ; or02 ix3845 (.Y (nx3515), .A0 (nx3499), .A1 (nx3677)) ; and02 ix3846 (.Y (nx3516), .A0 (nx3678), .A1 (nx3499)) ; ao21 nx2861_rename (.Y (nx2861), .A0 (nx3515), .A1 (nx3548), .B0 (nx3516)) ; inv02 nx2974_rename (.Y (nx2974), .A (nx3498)) ; inv02 ix3847 (.Y (nx3517), .A (nx3678)) ; oai22 nx2744_rename (.Y (nx2744), .A0 (nx3499), .A1 (nx3517), .B0 ( PRI_OUT_0[12]), .B1 (nx3678)) ; oai22 nx2626_rename (.Y (nx2626), .A0 (C_MUX2_5_SEL), .A1 (PRI_OUT_3[11]), .B0 ( nx3521), .B1 (nx2478)) ; nor02 ix3848 (.Y (nx3518), .A0 (nx3500), .A1 (nx3676)) ; inv02 ix3849 (.Y (nx3519), .A (nx3676)) ; oai22 nx2647_rename (.Y (nx2647), .A0 (nx3518), .A1 (nx3550), .B0 (nx3519), .B1 (nx3674)) ; inv02 nx2514_rename (.Y (nx2514), .A (nx3506)) ; oai22 nx2284_rename (.Y (nx2284), .A0 (nx3500), .A1 (nx3519), .B0 (nx3674), .B1 (nx3676)) ; buf04 ix3850 (.Y (nx3520), .A (nx3492)) ; buf04 ix3851 (.Y (nx3521), .A (nx3492)) ; inv02 ix3852 (.Y (nx3522), .A (nx3310)) ; inv02 ix3853 (.Y (nx3523), .A (PRI_IN_3[14])) ; inv01 ix3854 (.Y (nx3524), .A (C_MUX2_5_SEL)) ; aoi221 ix3855 (.Y (nx3525), .A0 (C_MUX2_5_SEL), .A1 (nx1558), .B0 ( PRI_OUT_3[7]), .B1 (nx3560), .C0 (PRI_OUT_0[7])) ; inv02 ix3856 (.Y (nx3527), .A (nx3668)) ; aoi22 ix3857 (.Y (nx3529), .A0 (nx3669), .A1 (nx3560), .B0 (C_MUX2_5_SEL), .B1 ( nx1558)) ; oai22 nx1822_rename (.Y (nx1822), .A0 (nx3525), .A1 (nx2229), .B0 (nx3527), .B1 (nx3529)) ; inv01 ix3858 (.Y (nx3530), .A (nx1822)) ; inv02 ix3859 (.Y (nx3531), .A (nx3670)) ; inv02 ix3860 (.Y (nx3532), .A (PRI_OUT_0[9])) ; and02 ix3861 (.Y (nx3533), .A0 (PRI_OUT_3[9]), .A1 (nx3560)) ; nor02 ix3862 (.Y (nx3534), .A0 (C_MUX2_5_SEL), .A1 (PRI_OUT_3[9])) ; inv01 ix3863 (.Y (nx3535), .A (nx2018)) ; oai33 ix3864 (.Y (nx3536), .A0 (nx2018), .A1 (nx3560), .A2 (nx3532), .B0 ( nx3535), .B1 (nx3560), .B2 (nx3673)) ; aoi221 ix3865 (.Y (nx3537), .A0 (nx3532), .A1 (nx3533), .B0 (nx3673), .B1 ( nx3534), .C0 (nx3536)) ; ao21 ix3866 (.Y (nx3538), .A0 (nx3671), .A1 (nx3531), .B0 (nx3537)) ; ao22 ix3867 (.Y (nx3539), .A0 (PRI_OUT_3[9]), .A1 (nx3561), .B0 (nx2018), .B1 ( C_MUX2_5_SEL)) ; nor03 ix3868 (.Y (nx3540), .A0 (nx3537), .A1 (nx3531), .A2 (nx3672)) ; aoi21 ix3869 (.Y (nx3541), .A0 (nx3539), .A1 (nx3537), .B0 (nx3540)) ; oai21 nx2282_rename (.Y (nx2282), .A0 (nx3530), .A1 (nx3538), .B0 (nx3541) ) ; inv01 ix3870 (.Y (nx3543), .A (nx2282)) ; inv02 ix3871 (.Y (nx3544), .A (nx3507)) ; aoi21 ix3872 (.Y (nx3545), .A0 (nx3508), .A1 (nx3506), .B0 (nx3509)) ; or03 ix3873 (.Y (nx3546), .A0 (nx3498), .A1 (nx3499), .A2 (nx3678)) ; and02 ix3874 (.Y (nx3547), .A0 (nx3513), .A1 (nx3546)) ; oai321 nx3202_rename (.Y (nx3202), .A0 (nx3543), .A1 (nx3544), .A2 (nx3511) , .B0 (nx3545), .B1 (nx3511), .C0 (nx3547)) ; aoi22 nx2742_rename (.Y (nx2742), .A0 (nx3545), .A1 (nx3543), .B0 (nx3545), .B1 (nx3544)) ; inv01 ix3875 (.Y (nx3548), .A (nx2742)) ; inv01 ix3876 (.Y (nx3549), .A (nx3543)) ; inv01 ix3877 (.Y (nx3550), .A (nx3543)) ; oai22 nx2415_rename (.Y (nx2415), .A0 (C_MUX2_5_SEL), .A1 (PRI_OUT_3[9]), .B0 ( nx3561), .B1 (nx2018)) ; nor02 ix3878 (.Y (nx3551), .A0 (nx3531), .A1 (nx3672)) ; inv02 ix3879 (.Y (nx3553), .A (nx3530)) ; inv02 ix3880 (.Y (nx3554), .A (nx3672)) ; oai22 nx2439_rename (.Y (nx2439), .A0 (nx3551), .A1 (nx3553), .B0 (nx3554), .B1 (nx3670)) ; inv02 nx2054_rename (.Y (nx2054), .A (nx3537)) ; inv02 ix3881 (.Y (nx3555), .A (nx3530)) ; oai22 nx1824_rename (.Y (nx1824), .A0 (nx3531), .A1 (nx3554), .B0 (nx3670), .B1 (nx3672)) ; oai22 nx2205_rename (.Y (nx2205), .A0 (C_MUX2_5_SEL), .A1 (nx3669), .B0 ( nx3561), .B1 (nx1558)) ; inv02 ix3882 (.Y (nx3556), .A (nx1558)) ; aoi33 ix3883 (.Y (nx3557), .A0 (nx3556), .A1 (C_MUX2_5_SEL), .A2 (nx3668), .B0 ( nx1558), .B1 (C_MUX2_5_SEL), .B2 (nx3527)) ; inv02 ix3884 (.Y (nx3558), .A (nx3669)) ; aoi33 ix3885 (.Y (nx3559), .A0 (nx3668), .A1 (nx3561), .A2 (nx3558), .B0 ( nx3527), .B1 (nx3669), .B2 (nx3561)) ; nand02 nx1594_rename (.Y (nx1594), .A0 (nx3557), .A1 (nx3559)) ; buf04 ix3886 (.Y (nx3560), .A (nx3524)) ; buf04 ix3887 (.Y (nx3561), .A (nx3524)) ; and02 ix3888 (.Y (nx3562), .A0 (nx3466), .A1 (nx3467)) ; nor02 ix3889 (.Y (nx3563), .A0 (nx3562), .A1 (nx1316)) ; nand02 ix3890 (.Y (nx3564), .A0 (nx3310), .A1 (nx3080)) ; nor02 ix3891 (.Y (nx3565), .A0 (nx3080), .A1 (PRI_IN_3[13])) ; aoi22 ix3892 (.Y (nx3566), .A0 (nx3522), .A1 (nx3523), .B0 (nx3310), .B1 ( nx3565)) ; oai21 nx3510_rename (.Y (nx3510), .A0 (nx2875), .A1 (nx3564), .B0 (nx3566) ) ; inv02 ix3893 (.Y (nx3567), .A (nx3080)) ; and02 ix3894 (.Y (nx3568), .A0 (nx3668), .A1 (PRI_IN_1[7])) ; and02 ix3895 (.Y (nx3569), .A0 (PRI_OUT_0[6]), .A1 (PRI_IN_1[6])) ; inv02 ix3896 (.Y (nx3570), .A (nx2183)) ; or02 nx1528_rename (.Y (nx1528), .A0 (nx3569), .A1 (nx1526)) ; or02 ix3897 (.Y (nx3571), .A0 (nx3310), .A1 (nx3567)) ; inv02 ix3898 (.Y (nx3572), .A (nx3080)) ; inv02 ix3899 (.Y (nx3573), .A (PRI_IN_3[13])) ; inv02 ix3900 (.Y (nx3574), .A (nx3310)) ; aoi21 ix3901 (.Y (nx3575), .A0 (nx3572), .A1 (nx3573), .B0 (nx3574)) ; nor02 ix3902 (.Y (nx3576), .A0 (nx3080), .A1 (PRI_IN_3[13])) ; aoi222 ix3903 (.Y (nx3577), .A0 (nx3567), .A1 (nx3575), .B0 (nx3574), .B1 ( nx3576), .C0 (nx2875), .C1 (nx3575)) ; oai21 nx3312_rename (.Y (nx3312), .A0 (nx2875), .A1 (nx3571), .B0 (nx3577) ) ; inv02 ix3904 (.Y (nx3578), .A (nx3218)) ; inv02 ix3905 (.Y (nx3579), .A (nx2965)) ; oai32 nx3386_rename (.Y (nx3386), .A0 (nx3349), .A1 (nx3578), .A2 (nx3339), .B0 (nx3579), .B1 (nx3218)) ; ao21 ix3906 (.Y (nx3580), .A0 (PRI_OUT_4[0]), .A1 (nx3661), .B0 ( PRI_OUT_4[1])) ; inv01 ix3907 (.Y (nx3581), .A (nx3659)) ; inv01 ix3908 (.Y (nx3582), .A (C_MUX2_1_SEL)) ; inv01 ix3909 (.Y (nx3583), .A (nx3661)) ; oai22 nx14_rename (.Y (nx14), .A0 (nx3581), .A1 (C_MUX2_1_SEL), .B0 (nx3593) , .B1 (nx3583)) ; ao21 ix3910 (.Y (nx3584), .A0 (PRI_OUT_4[0]), .A1 (nx3659), .B0 ( PRI_OUT_4[1])) ; and02 ix3911 (.Y (nx3585), .A0 (nx3662), .A1 (nx3593)) ; aoi332 ix3912 (.Y (nx3586), .A0 (nx3580), .A1 (nx3664), .A2 (C_MUX2_1_SEL), .B0 (nx14), .B1 (PRI_OUT_4[0]), .B2 (PRI_OUT_4[1]), .C0 (nx3584), .C1 ( nx3585)) ; inv02 nx420_rename (.Y (nx420), .A (nx3586)) ; inv01 ix3913 (.Y (nx3587), .A (nx3586)) ; oai22 nx1581_rename (.Y (nx1581), .A0 (C_MUX2_1_SEL), .A1 (nx3662), .B0 ( nx3593), .B1 (nx3664)) ; oai221 nx1578_rename (.Y (nx1578), .A0 (C_MUX2_1_SEL), .A1 (nx3659), .B0 ( nx3594), .B1 (nx3661), .C0 (PRI_OUT_4[0])) ; inv02 ix3914 (.Y (nx3588), .A (PRI_OUT_4[1])) ; inv02 ix3915 (.Y (nx3589), .A (nx3662)) ; inv02 ix3916 (.Y (nx3590), .A (nx3664)) ; aoi22 ix3917 (.Y (nx3591), .A0 (nx3594), .A1 (nx3589), .B0 (C_MUX2_1_SEL), .B1 ( nx3590)) ; aoi22 ix3918 (.Y (nx3592), .A0 (nx3662), .A1 (nx3594), .B0 (nx3664), .B1 ( C_MUX2_1_SEL)) ; oai22 nx220_rename (.Y (nx220), .A0 (nx3588), .A1 (nx3591), .B0 (nx3592), .B1 ( PRI_OUT_4[1])) ; oai22 nx1485_rename (.Y (nx1485), .A0 (C_MUX2_1_SEL), .A1 (nx3660), .B0 ( nx3594), .B1 (nx3661)) ; buf04 ix3919 (.Y (nx3593), .A (nx3582)) ; buf04 ix3920 (.Y (nx3594), .A (nx3582)) ; inv02 ix3921 (.Y (nx3595), .A (nx3490)) ; inv02 ix3922 (.Y (nx3596), .A (nx2959)) ; inv02 ix3923 (.Y (nx3597), .A (nx3489)) ; oai22 nx3069_rename (.Y (nx3069), .A0 (nx3595), .A1 (nx3202), .B0 (nx3596), .B1 (nx3597)) ; or02 nx2951_rename (.Y (nx2951), .A0 (nx3349), .A1 (nx3339)) ; inv01 ix3924 (.Y (nx3598), .A (nx3663)) ; aoi22 ix3925 (.Y (nx3599), .A0 (PRI_IN_4[1]), .A1 (C_MUX2_2_SEL), .B0 ( C_MUX2_2_SEL), .B1 (nx3598)) ; inv02 ix3926 (.Y (nx3600), .A (PRI_IN_4[0])) ; inv02 ix3927 (.Y (nx3601), .A (C_MUX2_2_SEL)) ; inv01 ix3928 (.Y (nx3602), .A (reg_5_q_c_1_)) ; aoi22 ix3929 (.Y (nx3603), .A0 (PRI_IN_4[1]), .A1 (nx3614), .B0 (nx3602), .B1 ( nx3614)) ; or02 ix3930 (.Y (nx3604), .A0 (nx3600), .A1 (reg_5_q_c_0_)) ; aoi33 ix3931 (.Y (nx3605), .A0 (nx3598), .A1 (PRI_IN_4[1]), .A2 ( C_MUX2_2_SEL), .B0 (nx3602), .B1 (PRI_IN_4[1]), .B2 (nx3614)) ; oai321 nx1735_rename (.Y (nx1735), .A0 (nx3599), .A1 (nx3600), .A2 (nx3660) , .B0 (nx3603), .B1 (nx3604), .C0 (nx3605)) ; aoi221 ix3932 (.Y (nx3606), .A0 (reg_5_q_c_0_), .A1 (nx3614), .B0 ( C_MUX2_2_SEL), .B1 (nx3660), .C0 (nx3600)) ; inv02 ix3933 (.Y (nx3607), .A (PRI_IN_4[1])) ; aoi221 ix3934 (.Y (nx3608), .A0 (reg_5_q_c_1_), .A1 (nx3615), .B0 (nx3663), .B1 (C_MUX2_2_SEL), .C0 (nx3607)) ; aoi22 ix3935 (.Y (nx3609), .A0 (reg_5_q_c_1_), .A1 (nx3615), .B0 (nx3663), .B1 ( C_MUX2_2_SEL)) ; oai22 nx358_rename (.Y (nx358), .A0 (nx3606), .A1 (nx3608), .B0 (nx3609), .B1 ( PRI_IN_4[1])) ; aoi22 ix3936 (.Y (nx3610), .A0 (nx3663), .A1 (nx3607), .B0 (PRI_IN_4[1]), .B1 ( nx3598)) ; aoi22 ix3937 (.Y (nx3611), .A0 (reg_5_q_c_1_), .A1 (nx3607), .B0 ( PRI_IN_4[1]), .B1 (nx3602)) ; oai22 nx1525_rename (.Y (nx1525), .A0 (nx3615), .A1 (nx3610), .B0 (nx3611), .B1 (C_MUX2_2_SEL)) ; inv02 ix3938 (.Y (nx3612), .A (reg_5_q_c_0_)) ; inv02 ix3939 (.Y (nx3613), .A (nx3660)) ; oai221 nx122_rename (.Y (nx122), .A0 (nx3612), .A1 (C_MUX2_2_SEL), .B0 ( nx3615), .B1 (nx3613), .C0 (PRI_IN_4[0])) ; oai22 nx1457_rename (.Y (nx1457), .A0 (reg_5_q_c_0_), .A1 (C_MUX2_2_SEL), .B0 ( nx3615), .B1 (nx3660)) ; buf04 ix3940 (.Y (nx3614), .A (nx3601)) ; buf04 ix3941 (.Y (nx3615), .A (nx3601)) ; inv01 ix3942 (.Y (nx3616), .A (nx3429)) ; nand02 ix3943 (.Y (nx3617), .A0 (nx3658), .A1 (nx3616)) ; inv01 ix3944 (.Y (nx3618), .A (nx3657)) ; oai21 nx1776_rename (.Y (nx1776), .A0 (nx3440), .A1 (nx3617), .B0 (nx3618) ) ; nor02 nx1316_rename (.Y (nx1316), .A0 (nx3440), .A1 (nx3429)) ; inv01 ix3945 (.Y (nx3619), .A (nx2081)) ; inv02 ix3946 (.Y (nx3620), .A (PRI_IN_1[6])) ; inv02 ix3947 (.Y (nx3621), .A (nx3667)) ; aoi22 ix3948 (.Y (nx3622), .A0 (nx3667), .A1 (nx3620), .B0 (PRI_IN_1[6]), .B1 ( nx3621)) ; inv02 ix3949 (.Y (nx3623), .A (nx3570)) ; nor03 ix3950 (.Y (nx3624), .A0 (nx3622), .A1 (nx3623), .A2 (nx3479)) ; aoi21 ix3951 (.Y (nx3625), .A0 (nx3569), .A1 (nx3570), .B0 (nx3568)) ; oai22 ix3952 (.Y (nx3626), .A0 (nx3477), .A1 (nx3478), .B0 (nx3625), .B1 ( nx3479)) ; aoi21 ix3953 (.Y (nx3627), .A0 (nx3619), .A1 (nx3624), .B0 (nx3626)) ; inv02 ix3954 (.Y (nx3628), .A (nx3476)) ; inv02 ix3955 (.Y (nx3629), .A (nx3481)) ; inv02 ix3956 (.Y (nx3630), .A (nx3673)) ; inv02 ix3957 (.Y (nx3631), .A (PRI_IN_1[9])) ; inv02 ix3958 (.Y (nx3632), .A (nx3674)) ; inv02 ix3959 (.Y (nx3633), .A (PRI_IN_1[10])) ; oai332 ix3960 (.Y (nx3634), .A0 (nx3650), .A1 (nx3628), .A2 (nx3629), .B0 ( nx3628), .B1 (nx3630), .B2 (nx3631), .C0 (nx3632), .C1 (nx3633)) ; nor02 ix3961 (.Y (nx3635), .A0 (PRI_IN_1[10]), .A1 (nx3673)) ; aoi32 ix3962 (.Y (nx3636), .A0 (nx3650), .A1 (nx3632), .A2 (nx3631), .B0 ( nx3650), .B1 (nx3635)) ; nor02 ix3963 (.Y (nx3637), .A0 (PRI_IN_1[10]), .A1 (PRI_IN_1[9])) ; nor02 ix3964 (.Y (nx3638), .A0 (nx3674), .A1 (nx3673)) ; aoi22 ix3965 (.Y (nx3639), .A0 (nx3629), .A1 (nx3635), .B0 (nx3629), .B1 ( nx3637)) ; nor02 ix3966 (.Y (nx3640), .A0 (nx3674), .A1 (PRI_IN_1[9])) ; aoi22 ix3967 (.Y (nx3641), .A0 (nx3629), .A1 (nx3638), .B0 (nx3629), .B1 ( nx3640)) ; aoi22 ix3968 (.Y (nx3642), .A0 (nx3632), .A1 (nx3628), .B0 (nx3633), .B1 ( nx3628)) ; nand03 ix3969 (.Y (nx3643), .A0 (nx3639), .A1 (nx3641), .A2 (nx3642)) ; aoi221 ix3970 (.Y (nx3644), .A0 (nx3651), .A1 (nx3637), .B0 (nx3651), .B1 ( nx3638), .C0 (nx3643)) ; nand02 ix3971 (.Y (nx3645), .A0 (nx3636), .A1 (nx3644)) ; inv02 nx1988_rename (.Y (nx1988), .A (nx3651)) ; inv02 ix3972 (.Y (nx3646), .A (nx3651)) ; nor02 ix3973 (.Y (nx3647), .A0 (nx3568), .A1 (nx3569)) ; aoi22 ix3974 (.Y (nx3648), .A0 (PRI_IN_1[6]), .A1 (nx3667), .B0 (nx3620), .B1 ( nx3621)) ; oai32 ix3975 (.Y (nx3649), .A0 (nx3648), .A1 (nx3568), .A2 (nx3569), .B0 ( nx3570), .B1 (nx3568)) ; ao21 nx2295_rename (.Y (nx2295), .A0 (nx2081), .A1 (nx3647), .B0 (nx3649)) ; nor02 nx1526_rename (.Y (nx1526), .A0 (nx3622), .A1 (nx2081)) ; oai22 nx2084_rename (.Y (nx2084), .A0 (nx3620), .A1 (nx3621), .B0 ( PRI_IN_1[6]), .B1 (nx3667)) ; buf04 ix3976 (.Y (nx3650), .A (nx3627)) ; buf04 ix3977 (.Y (nx3651), .A (nx3627)) ; inv01 ix3978 (.Y (nx3652), .A (nx3466)) ; inv01 ix3979 (.Y (nx3653), .A (nx3467)) ; nor02 ix3980 (.Y (nx3654), .A0 (nx3466), .A1 (nx3467)) ; and02 ix3981 (.Y (nx3655), .A0 (nx3652), .A1 (nx3653)) ; inv01 ix3982 (.Y (nx3656), .A (nx3562)) ; oai32 ix3983 (.Y (nx3657), .A0 (nx3655), .A1 (nx3656), .A2 (nx3465), .B0 ( nx3464), .B1 (nx2205)) ; aoi21 ix3984 (.Y (nx3658), .A0 (nx3652), .A1 (nx3653), .B0 (nx3465)) ; buf16 ix3985 (.Y (nx3659), .A (reg_2_q_c_0_)) ; buf16 ix3986 (.Y (nx3660), .A (reg_2_q_c_0_)) ; buf16 ix3987 (.Y (PRI_OUT_0[0]), .A (PRI_OUT_0_dup0_0_)) ; buf16 ix3988 (.Y (nx3661), .A (PRI_OUT_0_dup0_0_)) ; buf16 ix3989 (.Y (nx3662), .A (reg_2_q_c_1_)) ; buf16 ix3990 (.Y (nx3663), .A (reg_2_q_c_1_)) ; buf16 ix3991 (.Y (PRI_OUT_0[1]), .A (PRI_OUT_0_dup0_1_)) ; buf16 ix3992 (.Y (nx3664), .A (PRI_OUT_0_dup0_1_)) ; buf16 ix3993 (.Y (PRI_OUT_0[2]), .A (PRI_OUT_0_dup0_2_)) ; buf16 ix3994 (.Y (nx3665), .A (PRI_OUT_0_dup0_2_)) ; buf16 ix3995 (.Y (PRI_OUT_0[4]), .A (PRI_OUT_0_dup0_4_)) ; buf16 ix3996 (.Y (nx3666), .A (PRI_OUT_0_dup0_4_)) ; buf16 ix3997 (.Y (PRI_OUT_0[6]), .A (PRI_OUT_0_dup0_6_)) ; buf16 ix3998 (.Y (nx3667), .A (PRI_OUT_0_dup0_6_)) ; buf16 ix3999 (.Y (PRI_OUT_0[7]), .A (PRI_OUT_0_dup0_7_)) ; buf16 ix4000 (.Y (nx3668), .A (PRI_OUT_0_dup0_7_)) ; buf16 ix4001 (.Y (PRI_OUT_3[7]), .A (PRI_OUT_3_dup0_7_)) ; buf16 ix4002 (.Y (nx3669), .A (PRI_OUT_3_dup0_7_)) ; buf16 ix4003 (.Y (PRI_OUT_0[8]), .A (PRI_OUT_0_dup0_8_)) ; buf16 ix4004 (.Y (nx3670), .A (PRI_OUT_0_dup0_8_)) ; buf16 ix4005 (.Y (nx3671), .A (nx2319)) ; buf16 ix4006 (.Y (nx3672), .A (nx2319)) ; buf16 ix4007 (.Y (PRI_OUT_0[9]), .A (PRI_OUT_0_dup0_9_)) ; buf16 ix4008 (.Y (nx3673), .A (PRI_OUT_0_dup0_9_)) ; buf16 ix4009 (.Y (PRI_OUT_0[10]), .A (PRI_OUT_0_dup0_10_)) ; buf16 ix4010 (.Y (nx3674), .A (PRI_OUT_0_dup0_10_)) ; buf16 ix4011 (.Y (nx3675), .A (nx2536)) ; buf16 ix4012 (.Y (nx3676), .A (nx2536)) ; buf16 ix4013 (.Y (nx3677), .A (nx2747)) ; buf16 ix4014 (.Y (nx3678), .A (nx2747)) ; buf16 ix4015 (.Y (PRI_OUT_0[13]), .A (PRI_OUT_0_dup0_13_)) ; buf16 ix4016 (.Y (nx3679), .A (PRI_OUT_0_dup0_13_)) ; buf16 ix4017 (.Y (PRI_OUT_0[14]), .A (PRI_OUT_0_dup0_14_)) ; buf16 ix4018 (.Y (nx3680), .A (PRI_OUT_0_dup0_14_)) ; endmodule