-- -- Definition of CIRCUIT -- -- 12/14/05 22:21:38 -- -- LeonardoSpectrum Level 3, 2004a.63 -- library IEEE; use IEEE.STD_LOGIC_1164.all; entity CIRCUIT is port ( PRI_IN_0 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_1 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_2 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_3 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_4 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_5 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_6 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_7 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_8 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_9 : IN std_logic_vector (15 DOWNTO 0) ; PRI_OUT_0 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_1 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_2 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_3 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_4 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_5 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_6 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_7 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_8 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_9 : OUT std_logic_vector (15 DOWNTO 0) ; C_MUX2_1_SEL : IN std_logic ; C_MUX2_2_SEL : IN std_logic ; C_MUX2_3_SEL : IN std_logic ; C_MUX2_4_SEL : IN std_logic ; C_MUX2_5_SEL : IN std_logic ; C_MUX2_6_SEL : IN std_logic ; C_MUX2_7_SEL : IN std_logic ; C_MUX2_8_SEL : IN std_logic ; C_MUX2_9_SEL : IN std_logic ; C_MUX2_10_SEL : IN std_logic ; C_MUX2_11_SEL : IN std_logic ; C_MUX2_12_SEL : IN std_logic ; C_MUX2_13_SEL : IN std_logic ; C_MUX2_14_SEL : IN std_logic ; C_MUX2_15_SEL : IN std_logic ; C_MUX2_16_SEL : IN std_logic ; C_MUX2_17_SEL : IN std_logic ; C_MUX2_18_SEL : IN std_logic ; C_MUX2_19_SEL : IN std_logic ; C_MUX2_20_SEL : IN std_logic ; C_MUX2_21_SEL : IN std_logic ; C_MUX2_22_SEL : IN std_logic ; C_MUX2_23_SEL : IN std_logic ; C_MUX2_24_SEL : IN std_logic ; C_MUX2_25_SEL : IN std_logic ; CLK : IN std_logic) ; end CIRCUIT ; architecture CIRCUIT_arch of CIRCUIT is signal PRI_OUT_0_15_EXMPLR, PRI_OUT_0_14_EXMPLR, PRI_OUT_0_12_EXMPLR, PRI_OUT_0_10_EXMPLR, PRI_OUT_0_8_EXMPLR, PRI_OUT_0_6_EXMPLR, PRI_OUT_0_4_EXMPLR, PRI_OUT_0_2_EXMPLR, PRI_OUT_0_0_EXMPLR, PRI_OUT_3_15_EXMPLR, PRI_OUT_3_14_EXMPLR, PRI_OUT_3_13_EXMPLR, PRI_OUT_3_12_EXMPLR, PRI_OUT_3_11_EXMPLR, PRI_OUT_3_0_EXMPLR, PRI_OUT_5_15_EXMPLR, PRI_OUT_5_14_EXMPLR, PRI_OUT_5_13_EXMPLR, PRI_OUT_5_12_EXMPLR, PRI_OUT_5_11_EXMPLR, PRI_OUT_5_10_EXMPLR, PRI_OUT_5_9_EXMPLR, PRI_OUT_5_8_EXMPLR, PRI_OUT_5_7_EXMPLR, PRI_OUT_5_1_EXMPLR, PRI_OUT_5_0_EXMPLR, PRI_OUT_9_15_EXMPLR, PRI_OUT_9_14_EXMPLR, PRI_OUT_9_13_EXMPLR, PRI_OUT_9_12_EXMPLR, PRI_OUT_9_11_EXMPLR, PRI_OUT_9_10_EXMPLR, PRI_OUT_9_9_EXMPLR, PRI_OUT_9_8_EXMPLR, PRI_OUT_9_7_EXMPLR, PRI_OUT_9_6_EXMPLR, PRI_OUT_9_5_EXMPLR, PRI_OUT_9_4_EXMPLR, PRI_OUT_9_3_EXMPLR, PRI_OUT_9_2_EXMPLR, PRI_OUT_9_1_EXMPLR, PRI_OUT_9_0_EXMPLR, PRI_OUT_2_dup0_15, PRI_OUT_2_dup0_14, PRI_OUT_2_13_EXMPLR, PRI_OUT_2_dup0_12, PRI_OUT_2_11_EXMPLR, PRI_OUT_2_dup0_10, PRI_OUT_2_9_EXMPLR, PRI_OUT_2_dup0_8, PRI_OUT_2_7_EXMPLR, PRI_OUT_2_dup0_6, PRI_OUT_2_5_EXMPLR, PRI_OUT_2_4_EXMPLR, PRI_OUT_2_3_EXMPLR, PRI_OUT_2_dup0_2, PRI_OUT_2_1_EXMPLR, PRI_OUT_2_0_EXMPLR, reg_34_q_c_0, nx6453, reg_35_q_c_0, nx6, nx14, reg_24_q_c_0, reg_2_q_c_0, reg_37_q_c_0, reg_9_q_c_0, reg_42_q_c_0, reg_44_q_c_0, nx34, nx42, nx6456, nx50, nx56, reg_6_q_c_0, reg_40_q_c_0, reg_41_q_c_0, reg_16_q_c_0, reg_31_q_c_0, nx82, nx92, nx100, nx114, nx122, nx130, nx144, nx154, nx162, reg_8_q_c_0, nx182, nx188, reg_17_q_c_0, nx206, nx214, nx6461, nx232, reg_47_q_c_0, nx248, nx256, nx270, nx284, nx300, nx308, nx322, nx334, nx344, nx354, nx362, nx372, reg_1_q_c_0, nx376, reg_33_q_c_0, reg_46_q_c_0, reg_50_q_c_0, reg_15_q_c_0, reg_36_q_c_0, nx380, nx388, nx398, nx406, nx424, nx432, nx446, nx460, nx470, nx478, nx486, nx500, nx508, reg_26_q_c_0, reg_30_q_c_0, nx530, nx538, nx548, reg_14_q_c_0, nx560, nx570, nx582, reg_25_q_c_0, reg_29_q_c_0, nx604, nx614, nx622, nx640, nx658, reg_11_q_c_0, reg_45_q_c_0, nx662, nx670, nx684, reg_49_q_c_0, nx700, nx708, nx722, nx744, nx752, nx768, nx786, nx792, nx800, nx806, nx822, nx828, nx846, nx868, reg_34_q_c_1, reg_38_q_c_1, reg_43_q_c_1, nx878, reg_35_q_c_1, reg_18_q_c_1, nx880, nx882, reg_7_q_c_1, reg_24_q_c_1, reg_2_q_c_1, reg_37_q_c_1, reg_9_q_c_1, reg_42_q_c_1, reg_39_q_c_1, reg_44_q_c_1, nx902, nx910, nx912, reg_41_q_c_1, reg_16_q_c_1, nx932, nx934, nx944, nx964, nx966, nx974, nx976, nx984, nx986, nx996, reg_8_q_c_1, nx1006, nx1008, reg_17_q_c_1, reg_27_q_c_1, nx1024, nx1026, nx6472, nx1034, nx1036, reg_12_q_c_1, reg_47_q_c_1, nx1050, nx1052, nx1060, nx1062, nx1078, nx1086, nx1088, nx1096, nx1098, nx1110, nx1114, nx1116, nx1124, nx1126, reg_1_q_c_1, nx1140, reg_33_q_c_1, reg_46_q_c_1, reg_50_q_c_1, reg_15_q_c_1, nx1142, nx1144, nx1152, nx1154, nx1168, nx1172, nx6474, nx1180, nx1182, nx1190, nx1192, nx1202, nx1212, nx1214, nx1226, nx1228, reg_26_q_c_1, reg_30_q_c_1, nx1248, nx1250, nx1260, reg_14_q_c_1, nx6475, nx1264, nx1274, nx1276, nx1278, nx1292, reg_25_q_c_1, nx1294, nx1296, nx1306, nx1318, nx1320, reg_11_q_c_1, reg_45_q_c_1, nx1340, nx1342, nx1350, nx1352, reg_13_q_c_1, reg_49_q_c_1, nx1366, nx1368, nx1376, nx1378, nx1398, nx1400, nx1418, nx1428, nx1430, nx1432, nx1440, nx1442, nx1460, nx1468, nx1470, nx1486, nx1488, nx1502, reg_34_q_c_2, nx1512, reg_43_q_c_2, nx6476, nx1540, reg_35_q_c_2, reg_18_q_c_2, nx1570, nx1572, reg_7_q_c_2, nx1588, reg_24_q_c_2, reg_37_q_c_2, reg_9_q_c_2, reg_44_q_c_2, nx1670, nx1672, nx1680, nx1682, reg_40_q_c_2, reg_16_q_c_2, reg_31_q_c_2, nx1750, nx6481, nx1758, nx1760, nx1780, nx1782, nx1792, nx1800, nx1802, reg_8_q_c_2, nx1832, reg_17_q_c_2, nx1856, nx1868, nx6484, nx1878, nx1880, reg_12_q_c_2, reg_47_q_c_2, nx1912, nx1914, nx1924, nx1940, nx1948, nx1950, nx1960, nx1978, nx1986, nx1988, nx1998, reg_1_q_c_2, nx2006, nx2010, reg_33_q_c_2, reg_50_q_c_2, reg_15_q_c_2, reg_36_q_c_2, nx2058, nx2060, nx2068, nx2070, nx2088, nx6487, nx2098, nx2108, nx2118, nx2126, nx2128, nx2130, nx2142, nx2144, reg_26_q_c_2, nx2172, reg_30_q_c_2, nx2184, nx2186, nx2196, reg_14_q_c_2, nx2206, nx6489, nx2208, nx2210, nx2220, nx2222, nx2224, nx2238, reg_25_q_c_2, reg_29_q_c_2, nx2260, nx2270, nx2282, nx2284, reg_11_q_c_2, nx2322, nx2324, nx2334, reg_13_q_c_2, nx2366, nx2368, nx2378, nx2398, nx2400, nx2418, nx2428, nx2432, nx2440, nx2442, nx2468, nx2470, nx2486, nx2488, reg_34_q_c_3, reg_38_q_c_3, nx2520, nx2528, reg_43_q_c_3, nx2536, nx2540, nx2548, reg_35_q_c_3, nx2558, reg_18_q_c_3, nx2568, nx2570, nx2572, reg_7_q_c_3, reg_24_q_c_3, nx2606, reg_2_q_c_3, nx2616, reg_37_q_c_3, reg_9_q_c_3, nx2632, reg_42_q_c_3, nx2642, reg_39_q_c_3, nx2650, nx2658, reg_44_q_c_3, nx2668, nx2670, nx2672, nx2680, nx2682, nx2706, nx2714, reg_41_q_c_3, nx2724, reg_16_q_c_3, nx2738, nx2746, nx2748, nx2750, nx2758, nx2760, nx2780, nx2782, nx2790, nx2792, nx2800, nx2802, nx2812, reg_8_q_c_3, nx2828, nx2830, nx2832, reg_17_q_c_3, reg_27_q_c_3, nx2866, nx2868, nx2870, nx6495, nx2878, nx2880, nx2890, reg_12_q_c_3, nx2898, nx2900, reg_47_q_c_3, nx2910, nx2912, nx2914, nx2922, nx2924, nx2940, nx2948, nx2950, nx2958, nx2960, nx2972, nx2978, nx2986, nx2988, reg_1_q_c_3, nx3010, reg_33_q_c_3, nx3018, reg_46_q_c_3, nx3024, nx3026, reg_50_q_c_3, nx3036, reg_15_q_c_3, nx3046, nx3056, nx3058, nx3060, nx3068, nx3070, nx3084, nx3088, nx6497, nx3096, nx3098, nx3106, nx3108, nx3118, nx3128, nx3130, nx3142, nx3144, reg_26_q_c_3, reg_30_q_c_3, nx3182, nx3184, nx3186, nx3196, reg_14_q_c_3, nx6499, nx3210, nx3220, nx3222, nx3224, nx3238, reg_25_q_c_3, nx3248, nx3258, nx3260, nx3270, nx3282, nx3284, reg_11_q_c_3, nx3310, reg_45_q_c_3, nx3320, nx3322, nx3324, nx3332, nx3334, nx3346, reg_13_q_c_3, nx3354, reg_49_q_c_3, nx3364, nx3366, nx3368, nx3376, nx3378, nx3398, nx3400, nx3418, nx3428, nx3430, nx3432, nx3440, nx3442, nx3460, nx3468, nx3470, nx3486, nx3488, nx3502, reg_34_q_c_4, nx3512, reg_43_q_c_4, nx6501, nx3540, reg_35_q_c_4, reg_18_q_c_4, nx3570, nx3572, reg_7_q_c_4, nx3588, reg_24_q_c_4, reg_37_q_c_4, reg_9_q_c_4, reg_44_q_c_4, nx3670, nx3672, nx3680, nx3682, reg_6_q_c_4, reg_40_q_c_4, reg_16_q_c_4, reg_31_q_c_4, nx3750, nx6505, nx3758, nx3760, nx3776, nx3780, nx3782, nx3792, nx3800, nx3802, reg_8_q_c_4, nx3832, nx3846, reg_17_q_c_4, nx3856, nx3868, nx3870, nx6507, nx3878, nx3880, nx3890, reg_12_q_c_4, reg_47_q_c_4, nx3912, nx3914, nx3924, nx3940, nx3948, nx3950, nx3960, nx3972, nx3978, nx3986, nx3988, nx3998, reg_1_q_c_4, nx4006, nx4010, reg_33_q_c_4, reg_50_q_c_4, reg_15_q_c_4, reg_36_q_c_4, nx4058, nx4060, nx4068, nx4070, nx4088, nx6511, nx4098, nx4108, nx4118, nx4126, nx4128, nx4130, nx4142, nx4144, reg_26_q_c_4, nx4172, reg_30_q_c_4, nx4184, nx4186, nx4196, reg_14_q_c_4, nx4206, nx6513, nx4208, nx4210, nx4220, nx4222, nx4224, nx4238, reg_25_q_c_4, reg_29_q_c_4, nx4260, nx4270, nx4282, nx4284, reg_11_q_c_4, nx4322, nx4324, nx4334, nx4346, reg_13_q_c_4, nx4366, nx4368, nx4378, nx4398, nx4400, nx4418, nx4428, nx4432, nx4440, nx4442, nx4460, nx4468, nx4470, nx4486, nx4488, reg_34_q_c_5, reg_38_q_c_5, nx4520, reg_5_q_c_5, nx4528, reg_43_q_c_5, nx4536, nx4540, nx4548, reg_35_q_c_5, nx4558, reg_18_q_c_5, nx4568, nx4570, nx4572, reg_7_q_c_5, reg_24_q_c_5, nx4606, reg_2_q_c_5, nx4616, reg_37_q_c_5, nx4624, nx4632, reg_42_q_c_5, nx4642, reg_39_q_c_5, nx4650, reg_10_q_c_5, nx4658, reg_44_q_c_5, nx4668, nx4670, nx4672, nx4680, nx4682, reg_6_q_c_5, nx4706, nx4714, reg_41_q_c_5, nx4724, reg_16_q_c_5, nx4738, nx4746, nx4748, nx4750, nx6519, nx4758, nx4760, nx4776, nx4780, nx4782, nx4790, nx4792, nx4800, nx4802, nx4812, reg_8_q_c_5, nx4828, nx4830, nx4832, nx4846, reg_17_q_c_5, reg_27_q_c_5, nx4866, nx4868, nx4870, nx6522, nx4878, nx4880, nx4890, reg_12_q_c_5, nx4898, nx4900, reg_47_q_c_5, nx4910, nx4912, nx4914, nx4922, nx4924, nx4940, nx4948, nx4950, nx4958, nx4960, nx4976, nx4978, nx4986, nx4988, reg_1_q_c_5, nx5010, reg_33_q_c_5, nx5018, reg_46_q_c_5, nx5024, nx5026, reg_50_q_c_5, nx5036, reg_15_q_c_5, nx5046, nx5056, nx5058, nx5060, nx5068, nx5070, nx5084, nx5088, nx5096, nx5098, nx5106, nx5108, nx5118, nx5128, nx5130, nx5142, nx5144, reg_26_q_c_5, reg_30_q_c_5, nx5182, nx5184, nx5186, nx5196, reg_14_q_c_5, nx6527, nx5210, nx5220, nx5222, nx5224, nx5238, reg_25_q_c_5, nx5248, nx5254, nx5256, nx5258, nx5260, nx5270, nx5282, nx5284, reg_11_q_c_5, nx5310, reg_45_q_c_5, nx5320, nx5322, nx5324, nx5332, nx5334, nx5346, reg_13_q_c_5, nx5354, reg_49_q_c_5, nx5364, nx5366, nx5368, nx5376, nx5378, nx5398, nx5400, nx5428, nx5430, nx5432, nx5440, nx5442, nx5460, nx5468, nx5470, nx5486, nx5488, nx5502, reg_34_q_c_6, nx5512, reg_43_q_c_6, nx6529, nx5540, reg_35_q_c_6, reg_18_q_c_6, nx5570, nx5572, reg_7_q_c_6, nx5588, reg_24_q_c_6, reg_37_q_c_6, reg_44_q_c_6, nx5670, nx5672, nx5680, nx5682, reg_6_q_c_6, reg_40_q_c_6, reg_16_q_c_6, reg_31_q_c_6, nx5750, nx6533, nx5758, nx5760, nx5776, nx5780, nx5782, nx5792, nx5800, nx5802, reg_8_q_c_6, nx5832, nx5846, reg_17_q_c_6, nx5856, nx5868, nx5870, nx6537, nx5878, nx5880, nx5890, reg_12_q_c_6, reg_47_q_c_6, nx5912, nx5914, nx5924, nx5940, nx5948, nx5950, nx5960, nx5978, nx5986, nx5988, nx5998, reg_1_q_c_6, nx6006, nx6010, reg_33_q_c_6, reg_50_q_c_6, reg_15_q_c_6, reg_36_q_c_6, nx6058, nx6060, nx6068, nx6070, nx6088, nx6098, nx6108, nx6118, nx6126, nx6128, nx6130, nx6142, nx6144, reg_26_q_c_6, nx6172, reg_30_q_c_6, nx6184, nx6186, nx6196, reg_14_q_c_6, nx6206, nx6542, nx6208, nx6210, nx6220, nx6222, nx6224, nx6238, reg_25_q_c_6, reg_29_q_c_6, nx6260, nx6270, nx6282, nx6284, reg_11_q_c_6, nx6322, nx6324, nx6334, nx6346, reg_13_q_c_6, nx6366, nx6368, nx6378, nx6398, nx6400, nx6418, nx6428, nx6432, nx6440, nx6442, nx6460, nx6468, nx6470, nx6486, nx6488, reg_34_q_c_7, reg_38_q_c_7, reg_5_q_c_7, nx6528, reg_43_q_c_7, nx6536, nx6540, nx6548, reg_35_q_c_7, nx6558, reg_18_q_c_7, nx6568, nx6570, nx6572, reg_7_q_c_7, reg_24_q_c_7, nx6606, reg_2_q_c_7, nx6616, reg_37_q_c_7, reg_9_q_c_7, nx6632, reg_42_q_c_7, nx6642, reg_39_q_c_7, nx6650, reg_10_q_c_7, nx6658, reg_44_q_c_7, nx6668, nx6670, nx6672, nx6680, nx6682, reg_6_q_c_7, nx6706, nx6714, reg_41_q_c_7, nx6724, reg_16_q_c_7, nx6738, nx6746, nx6748, nx6750, nx6549, nx6758, nx6760, nx6776, nx6780, nx6782, nx6790, nx6792, nx6800, nx6802, nx6812, nx6814, nx6816, reg_8_q_c_7, nx6828, nx6830, nx6832, nx6846, reg_17_q_c_7, reg_27_q_c_7, nx6866, nx6868, nx6870, nx6551, nx6878, nx6880, nx6898, nx6900, reg_47_q_c_7, nx6910, nx6912, nx6914, nx6922, nx6924, nx6940, nx6948, nx6950, nx6958, nx6960, nx6972, nx6976, nx6978, nx6986, nx6988, reg_1_q_c_7, nx7010, reg_33_q_c_7, nx7018, reg_46_q_c_7, nx7024, nx7026, reg_50_q_c_7, nx7036, reg_15_q_c_7, nx7046, nx7056, nx7058, nx7060, nx7068, nx7070, nx7084, nx7088, nx6553, nx7096, nx7098, nx7106, nx7108, nx7118, nx7128, nx7130, nx7142, nx7144, reg_26_q_c_7, reg_30_q_c_7, nx7182, nx7184, nx7186, nx7196, reg_14_q_c_7, nx6555, nx7210, nx7220, nx7222, nx7224, nx7238, reg_25_q_c_7, nx7248, nx7258, nx7260, nx7270, nx7282, nx7284, nx7298, reg_11_q_c_7, nx7310, reg_45_q_c_7, nx7320, nx7322, nx7324, nx7332, nx7334, nx7346, reg_13_q_c_7, nx7354, reg_49_q_c_7, nx7364, nx7366, nx7368, nx7376, nx7378, nx7390, nx7398, nx7400, nx7418, nx7428, nx7430, nx7432, nx7440, nx7442, nx7460, nx7468, nx7470, nx7486, nx7488, nx7502, reg_34_q_c_8, nx7512, reg_5_q_c_8, reg_43_q_c_8, nx6557, nx7540, reg_35_q_c_8, reg_18_q_c_8, nx7570, nx7572, reg_7_q_c_8, nx7588, reg_24_q_c_8, reg_37_q_c_8, reg_9_q_c_8, reg_44_q_c_8, nx7670, nx7672, nx7680, nx7682, reg_6_q_c_8, reg_40_q_c_8, reg_16_q_c_8, reg_31_q_c_8, nx7750, nx6561, nx7758, nx7760, nx7776, nx7780, nx7782, nx7792, nx7800, nx7802, reg_8_q_c_8, nx7832, nx7846, reg_17_q_c_8, nx7856, nx7868, nx7870, nx6563, nx7878, nx7880, reg_47_q_c_8, nx7912, nx7914, nx7924, nx7940, nx7948, nx7950, nx7960, nx7972, nx7978, nx7986, nx7988, nx7998, reg_1_q_c_8, nx8006, nx8010, reg_33_q_c_8, reg_50_q_c_8, reg_15_q_c_8, reg_36_q_c_8, nx8058, nx8060, nx8068, nx8070, nx8088, nx8098, nx8108, nx8118, nx8126, nx8128, nx8130, nx8142, nx8144, reg_26_q_c_8, nx8172, reg_30_q_c_8, nx8184, nx8186, nx8196, reg_14_q_c_8, nx8206, nx6569, nx8208, nx8210, nx8220, nx8222, nx8224, nx8238, reg_25_q_c_8, reg_29_q_c_8, nx8260, nx8270, nx8282, nx8284, reg_11_q_c_8, nx8322, nx8324, nx8334, nx8346, reg_13_q_c_8, nx8366, nx8368, nx8378, nx8398, nx8400, nx8418, nx8428, nx8432, nx8440, nx8442, nx8460, nx8468, nx8470, nx8486, nx8488, reg_34_q_c_9, reg_38_q_c_9, reg_5_q_c_9, nx8528, reg_43_q_c_9, nx8536, nx8540, nx8548, reg_35_q_c_9, reg_18_q_c_9, nx8568, nx8570, nx8572, reg_7_q_c_9, reg_24_q_c_9, nx8606, reg_2_q_c_9, nx8616, reg_37_q_c_9, reg_9_q_c_9, nx8632, reg_42_q_c_9, nx8642, reg_39_q_c_9, nx8658, reg_44_q_c_9, nx8668, nx8670, nx8672, nx8680, nx8682, nx8706, nx8714, reg_41_q_c_9, nx8724, reg_16_q_c_9, nx8738, nx8746, nx8748, nx8750, nx8758, nx8760, nx8780, nx8782, nx8790, nx8792, nx8800, nx8802, nx8812, reg_8_q_c_9, nx8828, nx8830, nx8832, nx8846, reg_17_q_c_9, reg_27_q_c_9, nx8866, nx8868, nx8870, nx6577, nx8878, nx8880, nx8890, reg_12_q_c_9, nx8898, nx8900, reg_47_q_c_9, nx8910, nx8912, nx8914, nx8922, nx8924, nx8940, nx8948, nx8950, nx8958, nx8960, nx8972, nx8976, nx8978, nx8986, nx8988, reg_1_q_c_9, nx9010, reg_33_q_c_9, nx9018, reg_46_q_c_9, reg_50_q_c_9, nx9036, reg_15_q_c_9, nx9046, nx9056, nx9058, nx9060, nx9068, nx9070, nx9084, nx9088, nx9098, nx9106, nx9108, nx9118, nx9128, nx9130, nx9142, nx9144, reg_26_q_c_9, reg_30_q_c_9, nx9182, nx9184, nx9186, nx9196, reg_14_q_c_9, nx6581, nx9210, nx9220, nx9222, nx9224, nx9238, reg_25_q_c_9, nx9248, nx9258, nx9260, nx9270, nx9282, nx9284, nx9298, reg_11_q_c_9, nx9310, reg_45_q_c_9, nx9320, nx9322, nx9324, nx9332, nx9334, nx9346, reg_13_q_c_9, nx9354, reg_49_q_c_9, nx9364, nx9366, nx9368, nx9376, nx9378, nx9390, nx9398, nx9400, nx9418, nx9428, nx9430, nx9432, nx9440, nx9442, nx9460, nx9468, nx9470, nx9486, nx9488, nx9502, reg_34_q_c_10, nx9512, reg_5_q_c_10, reg_43_q_c_10, nx6582, nx9540, reg_35_q_c_10, reg_18_q_c_10, nx9570, nx9572, reg_7_q_c_10, nx9588, reg_24_q_c_10, reg_37_q_c_10, reg_9_q_c_10, reg_44_q_c_10, nx9670, nx9672, nx9680, nx9682, reg_40_q_c_10, reg_16_q_c_10, reg_31_q_c_10, nx9750, nx6587, nx9758, nx9760, nx9780, nx9782, nx9792, nx9800, nx9802, reg_8_q_c_10, nx9832, nx9846, reg_17_q_c_10, nx9856, nx9868, nx9870, nx6591, nx9878, nx9880, nx9890, reg_12_q_c_10, reg_47_q_c_10, nx9912, nx9914, nx9924, nx9940, nx9948, nx9950, nx9960, nx9972, nx9978, nx9986, nx9988, nx9998, reg_1_q_c_10, nx10006, nx10010, reg_33_q_c_10, reg_50_q_c_10, reg_15_q_c_10, reg_36_q_c_10, nx10058, nx10060, nx10068, nx10070, nx10088, nx10098, nx10108, nx10118, nx10126, nx10128, nx10130, nx10142, nx10144, reg_26_q_c_10, nx10172, reg_30_q_c_10, nx10184, nx10186, nx10196, reg_14_q_c_10, nx10206, nx6597, nx10208, nx10210, nx10220, nx10222, nx10224, nx10238, reg_25_q_c_10, reg_29_q_c_10, nx10260, nx10270, nx10282, nx10284, nx10298, reg_11_q_c_10, nx10322, nx10324, nx10334, nx10346, reg_13_q_c_10, nx10366, nx10368, nx10378, nx10390, nx10398, nx10400, nx10418, nx10428, nx10432, nx10440, nx10442, nx10460, nx10468, nx10470, nx10486, nx10488, reg_34_q_c_11, reg_38_q_c_11, reg_5_q_c_11, nx10528, reg_43_q_c_11, nx10536, nx10540, nx10548, reg_35_q_c_11, reg_18_q_c_11, nx10568, nx10570, nx10572, reg_7_q_c_11, reg_24_q_c_11, nx10606, reg_2_q_c_11, nx10616, reg_37_q_c_11, reg_9_q_c_11, nx10632, reg_42_q_c_11, nx10642, reg_39_q_c_11, nx10658, reg_44_q_c_11, nx10668, nx10670, nx10672, nx10680, nx10682, nx10706, nx10714, reg_41_q_c_11, nx10724, reg_16_q_c_11, nx10738, nx10746, nx10748, nx10750, nx10758, nx10760, nx10780, nx10782, nx10790, nx10792, nx10800, nx10802, nx10812, reg_8_q_c_11, nx10828, nx10830, nx10832, nx10846, reg_17_q_c_11, reg_27_q_c_11, nx10866, nx10868, nx10870, nx6605, nx10878, nx10880, nx10890, reg_12_q_c_11, nx10898, nx10900, reg_47_q_c_11, nx10910, nx10912, nx10914, nx10922, nx10924, nx10940, nx10948, nx10950, nx10958, nx10960, nx10972, nx10976, nx10978, nx10986, nx10988, reg_1_q_c_11, nx11010, reg_33_q_c_11, nx11018, reg_46_q_c_11, reg_50_q_c_11, reg_15_q_c_11, nx11046, nx11056, nx11058, nx11060, nx11068, nx11070, nx11084, nx11088, nx11098, nx11106, nx11108, nx11118, nx11128, nx11130, nx11142, nx11144, reg_26_q_c_11, reg_30_q_c_11, nx11182, nx11184, nx11186, nx11196, reg_14_q_c_11, nx6610, nx11210, nx11220, nx11222, nx11224, nx11238, reg_25_q_c_11, nx11248, nx11258, nx11260, nx11270, nx11282, nx11284, nx11298, reg_11_q_c_11, nx11310, reg_45_q_c_11, nx11320, nx11322, nx11324, nx11332, nx11334, nx11346, reg_13_q_c_11, nx11354, reg_49_q_c_11, nx11364, nx11366, nx11368, nx11376, nx11378, nx11390, nx11398, nx11400, nx11418, nx11428, nx11430, nx11432, nx11440, nx11442, nx11460, nx11468, nx11470, nx11486, nx11488, nx11502, reg_34_q_c_12, nx11512, reg_5_q_c_12, reg_43_q_c_12, nx6611, nx11540, reg_35_q_c_12, reg_18_q_c_12, nx11570, nx11572, reg_7_q_c_12, nx11588, reg_24_q_c_12, reg_37_q_c_12, reg_9_q_c_12, reg_10_q_c_12, reg_44_q_c_12, nx11670, nx11672, nx11680, nx11682, reg_6_q_c_12, reg_40_q_c_12, reg_16_q_c_12, reg_31_q_c_12, nx11750, nx6617, nx11758, nx11760, nx11780, nx11782, nx11792, nx11800, nx11802, nx11814, nx11816, reg_8_q_c_12, nx11832, nx11846, reg_17_q_c_12, nx11868, nx11870, nx6619, nx11878, nx11880, nx11890, reg_12_q_c_12, reg_47_q_c_12, nx11912, nx11914, nx11924, nx11940, nx11948, nx11950, nx11960, nx11972, nx11978, nx11986, nx11988, nx11998, reg_1_q_c_12, nx12006, nx12010, reg_33_q_c_12, reg_50_q_c_12, reg_15_q_c_12, reg_36_q_c_12, nx12058, nx12060, nx12068, nx12070, nx12088, nx12098, nx12108, nx12118, nx12126, nx12128, nx12130, nx12142, nx12144, reg_26_q_c_12, nx12172, reg_30_q_c_12, nx12184, nx12186, nx12196, reg_14_q_c_12, nx12206, nx6625, nx12208, nx12210, nx12220, nx12222, nx12224, nx12238, reg_25_q_c_12, reg_29_q_c_12, nx12260, nx12270, nx12282, nx12284, nx12298, reg_11_q_c_12, nx12322, nx12324, nx12334, reg_13_q_c_12, nx12366, nx12368, nx12378, nx12390, nx12398, nx12400, nx12418, nx12428, nx12432, nx12440, nx12442, nx12460, nx12468, nx12470, nx12486, nx12488, reg_34_q_c_13, reg_38_q_c_13, reg_5_q_c_13, nx12528, reg_43_q_c_13, nx12536, nx12540, nx12548, reg_35_q_c_13, reg_18_q_c_13, nx12568, nx12570, nx12572, reg_7_q_c_13, reg_24_q_c_13, nx12606, reg_2_q_c_13, nx12616, reg_37_q_c_13, reg_9_q_c_13, nx12632, reg_42_q_c_13, nx12642, reg_39_q_c_13, reg_10_q_c_13, nx12658, reg_44_q_c_13, nx12668, nx12670, nx12672, nx6629, nx12680, nx12682, reg_6_q_c_13, nx12706, nx12714, reg_41_q_c_13, nx12724, reg_16_q_c_13, nx12738, nx12746, nx12748, nx12750, nx6631, nx12758, nx12760, nx12776, nx12780, nx12782, nx12790, nx12792, nx12800, nx12802, nx12812, nx12814, nx12816, reg_8_q_c_13, nx12828, nx12830, nx12832, nx12846, reg_17_q_c_13, reg_27_q_c_13, nx12866, nx12868, nx12870, nx6634, nx12878, nx12880, nx12890, reg_12_q_c_13, nx12898, reg_47_q_c_13, nx12910, nx12912, nx12914, nx12924, nx12940, nx12948, nx12950, nx12958, nx12960, nx12972, nx12976, nx12978, nx12986, nx12988, reg_1_q_c_13, nx13010, reg_33_q_c_13, nx13018, reg_46_q_c_13, nx13024, reg_50_q_c_13, reg_15_q_c_13, nx13046, nx13056, nx13058, nx13060, nx13068, nx13070, nx13084, nx13088, nx13098, nx13106, nx13108, nx13118, nx13128, nx13130, nx13142, nx13144, reg_26_q_c_13, reg_30_q_c_13, nx13182, nx13184, nx13186, nx13196, reg_14_q_c_13, nx6637, nx13210, nx13220, nx13222, nx13224, nx13238, reg_25_q_c_13, nx13248, nx13258, nx13260, nx13270, nx13282, nx13284, nx13298, reg_11_q_c_13, nx13310, reg_45_q_c_13, nx13320, nx13322, nx13324, nx13332, nx13334, nx13346, reg_13_q_c_13, nx13354, reg_49_q_c_13, nx13364, nx13366, nx13368, nx13376, nx13378, nx13390, nx13398, nx13400, nx13418, nx13428, nx13430, nx13432, nx13440, nx13442, nx13460, nx13468, nx13470, nx13486, nx13488, nx13502, reg_34_q_c_14, nx13512, reg_5_q_c_14, reg_43_q_c_14, nx6639, nx13540, reg_35_q_c_14, reg_18_q_c_14, nx13570, nx13572, reg_7_q_c_14, nx13588, reg_24_q_c_14, reg_37_q_c_14, reg_9_q_c_14, reg_10_q_c_14, reg_44_q_c_14, nx13670, nx13672, nx13680, nx13682, reg_6_q_c_14, reg_40_q_c_14, reg_16_q_c_14, reg_31_q_c_14, nx13750, nx6644, nx13758, nx13760, nx13776, nx13780, nx13782, nx13792, nx13800, nx13802, nx13814, nx13816, reg_8_q_c_14, nx13832, nx13846, reg_17_q_c_14, nx13868, nx13870, nx6647, nx13878, nx13890, reg_12_q_c_14, reg_47_q_c_14, nx13912, nx13914, nx13924, nx13940, nx13948, nx13950, nx13960, nx13972, nx13978, nx13986, nx13988, nx13998, reg_1_q_c_14, nx14006, nx14010, reg_33_q_c_14, reg_50_q_c_14, reg_15_q_c_14, reg_36_q_c_14, nx14058, nx14060, nx14068, nx14070, nx14088, nx14098, nx14108, nx14118, nx14126, nx14128, nx14130, nx14142, nx14144, reg_26_q_c_14, nx14172, reg_30_q_c_14, nx14184, nx14186, nx14196, reg_14_q_c_14, nx14206, nx6652, nx14208, nx14210, nx14220, nx14222, nx14224, nx14238, reg_25_q_c_14, reg_29_q_c_14, nx14270, nx14282, nx14284, nx14298, reg_11_q_c_14, nx14322, nx14324, nx14334, nx14346, reg_13_q_c_14, nx14366, nx14368, nx14378, nx14390, nx14398, nx14400, nx14418, nx14428, nx14432, nx14440, nx14442, nx14468, nx14470, nx14486, nx14488, reg_34_q_c_15, reg_38_q_c_15, nx14520, reg_5_q_c_15, nx14528, reg_43_q_c_15, nx14536, nx14540, nx14548, reg_35_q_c_15, nx14558, reg_18_q_c_15, nx14568, nx14572, reg_7_q_c_15, reg_24_q_c_15, nx14606, nx14616, reg_37_q_c_15, reg_9_q_c_15, nx14632, reg_42_q_c_15, nx14642, reg_39_q_c_15, nx14650, reg_10_q_c_15, nx14658, reg_44_q_c_15, nx14668, nx14672, nx14682, reg_6_q_c_15, nx14706, reg_40_q_c_15, nx14714, reg_41_q_c_15, nx14724, reg_16_q_c_15, nx14738, reg_31_q_c_15, nx14746, nx14750, nx6659, nx14760, nx14776, nx14782, nx14792, nx14802, nx14814, nx14816, reg_8_q_c_15, nx14828, nx14832, nx14846, reg_17_q_c_15, reg_27_q_c_15, nx14866, nx14870, nx6661, nx14878, nx14880, nx14890, reg_12_q_c_15, reg_47_q_c_15, nx14910, nx14914, nx14940, nx14950, nx14960, nx14972, nx14988, nx14998, reg_1_q_c_15, nx15010, reg_33_q_c_15, nx15018, reg_46_q_c_15, reg_50_q_c_15, reg_15_q_c_15, nx15046, reg_36_q_c_15, nx15056, nx15060, nx15070, nx15088, nx6663, nx15108, nx15118, nx15128, nx15130, nx15144, reg_26_q_c_15, reg_30_q_c_15, nx15182, nx15186, nx15196, reg_14_q_c_15, nx15208, nx15210, nx15220, nx15222, nx15224, nx15238, reg_25_q_c_15, nx15248, reg_29_q_c_15, nx15270, nx15282, nx15284, nx15298, reg_11_q_c_15, nx15310, reg_45_q_c_15, nx15320, nx15324, nx15334, nx15346, reg_13_q_c_15, nx15354, reg_49_q_c_15, nx15364, nx15368, nx15378, nx15390, nx15400, nx15418, nx15428, nx15432, nx15442, nx15460, nx15468, nx15470, nx15486, nx15488, nx15502, nx15510, nx15512, nx15530, nx15544, nx15546, nx15548, nx15566, nx15580, nx15582, nx15584, nx15602, nx15616, nx15618, nx15620, nx15638, nx15652, nx15654, nx15656, nx15674, nx15688, nx15690, nx15692, nx15710, nx15724, nx15726, nx15728, nx15746, nx15760, nx15764, reg_48_q_c_0, reg_32_q_c_0, nx15774, nx15782, nx15792, nx15800, nx15814, reg_48_q_c_1, nx15822, nx15824, nx15832, nx15834, nx15842, nx15844, nx15858, reg_48_q_c_2, reg_32_q_c_2, nx15880, nx15882, nx15890, nx15892, nx15900, nx15902, reg_48_q_c_3, nx15926, nx15936, nx15938, nx15940, nx15948, nx15950, nx15958, nx15960, nx15974, reg_48_q_c_4, reg_32_q_c_4, nx15996, nx15998, nx16006, nx16008, nx16016, nx16018, reg_48_q_c_5, nx16042, nx16052, nx16054, nx16056, nx16064, nx16066, nx16074, nx16076, nx16090, reg_48_q_c_6, reg_32_q_c_6, nx16112, nx16114, nx16122, nx16124, nx16132, nx16134, reg_48_q_c_7, nx16158, nx16168, nx16170, nx16172, nx16180, nx16182, nx16190, nx16192, nx16206, reg_48_q_c_8, reg_32_q_c_8, nx16228, nx16230, nx16238, nx16240, nx16248, nx16250, reg_48_q_c_9, nx16274, nx16284, nx16286, nx16288, nx16296, nx16298, nx16306, nx16308, nx16322, reg_48_q_c_10, reg_32_q_c_10, nx16344, nx16346, nx16354, nx16356, nx16364, nx16366, reg_48_q_c_11, nx16390, nx16400, nx16402, nx16404, nx16412, nx16414, nx16422, nx16424, nx16438, reg_48_q_c_12, reg_32_q_c_12, nx16460, nx16462, nx16470, nx16472, nx16480, nx16482, reg_48_q_c_13, nx16506, nx16516, nx16518, nx16520, nx16528, nx16530, nx16538, nx16540, nx16554, reg_48_q_c_14, reg_32_q_c_14, nx16576, nx16578, nx16586, nx16588, nx16596, nx16598, reg_48_q_c_15, nx16622, reg_32_q_c_15, nx16632, nx16636, nx16646, nx16654, nx16656, nx16670, nx16678, nx16680, nx16698, nx16710, nx16712, nx16714, nx16716, nx16734, nx16746, nx16748, nx16750, nx16752, nx16770, nx16782, nx16784, nx16786, nx16788, nx16806, nx16818, nx16820, nx16822, nx16824, nx16842, nx16854, nx16856, nx16858, nx16860, nx16878, nx16890, nx16896, nx16914, nx16940, nx16946, nx16954, nx16956, nx16972, nx16974, nx16988, nx16990, nx16992, nx17008, nx17010, nx17024, nx17026, nx17028, nx17044, nx17046, nx17060, nx17062, nx17064, nx17080, nx17082, nx17096, nx17098, nx17100, nx17116, nx17118, nx17134, nx17136, nx17152, nx17154, nx17170, nx17172, nx17188, nx17190, nx17208, nx17218, nx17226, nx17234, nx17236, nx17254, nx17256, nx17272, nx17274, nx17276, nx17294, nx17296, nx17312, nx17314, nx17316, nx17334, nx17336, nx17352, nx17354, nx17356, nx17374, nx17376, nx17392, nx17394, nx17396, nx17414, nx17416, nx17432, nx17434, nx17436, nx17454, nx17456, nx17472, nx17474, nx17476, nx17494, nx17496, nx17512, nx17516, nx6677, nx6681, nx6691, nx6693, nx6711, nx6721, nx6726, nx6735, nx6741, nx6755, nx6765, nx6771, nx6781, nx6811, nx6817, nx6821, nx6827, nx6836, nx6839, nx6845, nx6863, nx6869, nx6874, nx6877, nx6907, nx6920, nx6925, nx6939, nx6946, nx6949, nx6951, nx6955, nx6963, nx6975, nx6997, nx7007, nx7009, nx7019, nx7023, nx7029, nx7031, nx7059, nx7061, nx7067, nx7071, nx7078, nx7081, nx7089, nx7091, nx7101, nx7111, nx7113, nx7119, nx7123, nx7125, nx7139, nx7141, nx7148, nx7150, nx7167, nx7169, nx7175, nx7179, nx7190, nx7205, nx7219, nx7225, nx7231, nx7237, nx7241, nx7245, nx7249, nx7265, nx7285, nx7311, nx7313, nx7325, nx7326, nx7333, nx7347, nx7353, nx7355, nx7365, nx7372, nx7382, nx7389, nx7391, nx7395, nx7397, nx7407, nx7411, nx7417, nx7419, nx7423, nx7435, nx7441, nx7445, nx7449, nx7451, nx7464, nx7479, nx7483, nx7489, nx7491, nx7493, nx7497, nx7499, nx7519, nx7523, nx7529, nx7541, nx7547, nx7549, nx7551, nx7553, nx7559, nx7567, nx7576, nx7579, nx7587, nx7591, nx7597, nx7599, nx7605, nx7615, nx7619, nx7627, nx7637, nx7651, nx7653, nx7661, nx7669, nx7673, nx7688, nx7693, nx7701, nx7703, nx7719, nx7723, nx7735, nx7754, nx7759, nx7767, nx7775, nx7799, nx7803, nx7807, nx7811, nx7815, nx7821, nx7825, nx7831, nx7841, nx7847, nx7851, nx7857, nx7861, nx7865, nx7874, nx7876, nx7889, nx7891, nx7920, nx7923, nx7931, nx7947, nx7949, nx7955, nx7957, nx7964, nx7966, nx7973, nx7985, nx7993, nx7999, nx8001, nx8035, nx8043, nx8061, nx8065, nx8073, nx8077, nx8085, nx8097, nx8102, nx8117, nx8121, nx8127, nx8136, nx8145, nx8147, nx8150, nx8153, nx8159, nx8161, nx8179, nx8190, nx8229, nx8241, nx8249, nx8257, nx8259, nx8266, nx8280, nx8287, nx8297, nx8303, nx8314, nx8321, nx8323, nx8328, nx8330, nx8339, nx8343, nx8349, nx8351, nx8355, nx8358, nx8367, nx8373, nx8377, nx8382, nx8384, nx8389, nx8395, nx8401, nx8406, nx8409, nx8415, nx8417, nx8419, nx8423, nx8425, nx8441, nx8445, nx8449, nx8457, nx8463, nx8465, nx8467, nx8469, nx8474, nx8479, nx8496, nx8501, nx8507, nx8509, nx8513, nx8523, nx8527, nx8535, nx8541, nx8555, nx8557, nx8565, nx8573, nx8576, nx8582, nx8587, nx8593, nx8599, nx8609, nx8611, nx8617, nx8621, nx8627, nx8631, nx8645, nx8661, nx8669, nx8673, nx8677, nx8683, nx8703, nx8709, nx8713, nx8719, nx8723, nx8726, nx8731, nx8735, nx8741, nx8751, nx8756, nx8759, nx8764, nx8767, nx8771, nx8781, nx8783, nx8796, nx8798, nx8809, nx8825, nx8827, nx8837, nx8841, nx8855, nx8857, nx8865, nx8874, nx8876, nx8884, nx8897, nx8907, nx8915, nx8917, nx8933, nx8943, nx8949, nx8964, nx8968, nx8977, nx8982, nx8991, nx9001, nx9007, nx9023, nx9027, nx9033, nx9045, nx9055, nx9057, nx9061, nx9069, nx9071, nx9085, nx9094, nx9131, nx9143, nx9149, nx9155, nx9157, nx9163, nx9179, nx9187, nx9197, nx9205, nx9219, nx9221, nx9227, nx9229, nx9239, nx9243, nx9249, nx9251, nx9255, nx9259, nx9265, nx9271, nx9274, nx9279, nx9281, nx9288, nx9292, nx9297, nx9303, nx9307, nx9313, nx9315, nx9323, nx9325, nx9338, nx9341, nx9347, nx9355, nx9359, nx9361, nx9363, nx9365, nx9371, nx9377, nx9386, nx9393, nx9397, nx9403, nx9405, nx9408, nx9417, nx9421, nx9427, nx9435, nx9453, nx9461, nx9464, nx9471, nx9474, nx9479, nx9487, nx9494, nx9496, nx9507, nx9513, nx9531, nx9547, nx9555, nx9559, nx9565, nx9573, nx9595, nx9601, nx9605, nx9610, nx9615, nx9618, nx9625, nx9629, nx9635, nx9644, nx9651, nx9655, nx9661, nx9665, nx9669, nx9677, nx9679, nx9693, nx9695, nx9709, nx9727, nx9729, nx9740, nx9743, nx9756, nx9759, nx9765, nx9767, nx9773, nx9775, nx9785, nx9796, nx9803, nx9808, nx9811, nx9835, nx9841, nx9847, nx9865, nx9871, nx9879, nx9884, nx9893, nx9909, nx9915, nx9920, nx9929, nx9932, nx9937, nx9946, nx9954, nx9956, nx9959, nx9963, nx9967, nx9969, nx9985, nx9994, nx10041, nx10047, nx10055, nx10063, nx10069, nx10071, nx10077, nx10091, nx10097, nx10107, nx10113, nx10123, nx10129, nx10131, nx10135, nx10137, nx10148, nx10151, nx10157, nx10159, nx10163, nx10167, nx10175, nx10183, nx10187, nx10191, nx10193, nx10199, nx10203, nx10209, nx10215, nx10219, nx10225, nx10227, nx10229, nx10233, nx10235, nx10253, nx10257, nx10263, nx10274, nx10276, nx10279, nx10281, nx10287, nx10292, nx10303, nx10311, nx10314, nx10321, nx10323, nx10327, nx10335, nx10338, nx10343, nx10351, nx10372, nx10377, nx10381, nx10386, nx10391, nx10397, nx10404, nx10411, nx10413, nx10422, nx10427, nx10431, nx10441, nx10455, nx10463, nx10466, nx10471, nx10476, nx10496, nx10503, nx10507, nx10513, nx10517, nx10521, nx10527, nx10531, nx10537, nx10547, nx10555, nx10559, nx10565, nx10569, nx10573, nx10581, nx10583, nx10599, nx10601, nx10613, nx10631, nx10633, nx10644, nx10647, nx10663, nx10665, nx10673, nx10675, nx10681, nx10683, nx10689, nx10701, nx10711, nx10719, nx10721, nx10745, nx10754, nx10759, nx10773, nx10779, nx10788, nx10793, nx10801, nx10811, nx10817, nx10822, nx10833, nx10836, nx10841, nx10851, nx10861, nx10863, nx10867, nx10871, nx10875, nx10877, nx10893, nx10905, nx10943, nx10949, nx10955, nx10961, nx10966, nx10967, nx10975, nx10990, nx10995, nx11007, nx11015, nx11027, nx11033, nx11035, nx11055, nx11059, nx11064, nx11066, nx11069, nx11073, nx11078, nx11085, nx11089, nx11093, nx11095, nx11102, nx11107, nx11112, nx11117, nx11121, nx11129, nx11131, nx11135, nx11137, nx11153, nx11157, nx11176, nx11179, nx11181, nx11183, nx11189, nx11194, nx11205, nx11213, nx11216, nx11221, nx11223, nx11227, nx11235, nx11239, nx11247, nx11255, nx11275, nx11281, nx11285, nx11290, nx11293, nx11301, nx11309, nx11317, nx11319, nx11328, nx11333, nx11337, nx11347, nx11363, nx11371, nx11374, nx11379, nx11384, nx11406, nx11411, nx11415, nx11421, nx11424, nx11427, nx11434, nx11437, nx11441, nx11449, nx11455, nx11459, nx11464, nx11467, nx11471, nx11478, nx11481, nx11496, nx11499, nx11513, nx11531, nx11533, nx11542, nx11545, nx11561, nx11563, nx11571, nx11573, nx11578, nx11581, nx11591, nx11605, nx11613, nx11621, nx11623, nx11649, nx11659, nx11667, nx11681, nx11686, nx11693, nx11697, nx11707, nx11709, nx11725, nx11729, nx11737, nx11747, nx11751, nx11755, nx11764, nx11771, nx11773, nx11777, nx11781, nx11786, nx11788, nx11803, nx11811, nx11859, nx11867, nx11874, nx11881, nx11882, nx11889, nx11905, nx11913, nx11923, nx11929, nx11939, nx11944, nx11946, nx11961, nx11964, nx11968, nx11971, nx11975, nx11984, nx11991, nx11994, nx11999, nx12001, nx12009, nx12021, nx12029, nx12033, nx12041, nx12043, nx12049, nx12051, nx12067, nx12071, nx12081, nx12091, nx12093, nx12097, nx12103, nx12112, nx12117, nx12121, nx12127, nx12129, nx12133, nx12136, nx12141, nx12145, nx12150, nx12157, nx12177, nx12185, nx12189, nx12194, nx12198, nx12207, nx12214, nx12221, nx12223, nx12231, nx12237, nx12241, nx12255, nx12269, nx12275, nx12279, nx12285, nx12290, nx12292, nx12311, nx12315, nx12319, nx12325, nx12328, nx12330, nx12336, nx12339, nx12343, nx12353, nx12359, nx12363, nx12369, nx12372, nx12375, nx12383, nx12385, nx12401, nx12403, nx12413, nx12427, nx12429, nx12438, nx12441, nx12451, nx12453, nx12461, nx12463, nx12469, nx12471, nx12477, nx12491, nx12497, nx12505, nx12507, nx12533, nx12541, nx12549, nx12557, nx12567, nx12573, nx12581, nx12587, nx12597, nx12611, nx12617, nx12625, nx12635, nx12639, nx12645, nx12657, nx12667, nx12669, nx12673, nx12676, nx12681, nx12683, nx12695, nx12707, nx12759, nx12765, nx12771, nx12778, nx12779, nx12787, nx12801, nx12807, nx12819, nx12827, nx12837, nx12841, nx12843, nx12847, nx12849, nx12861, nx12865, nx12871, nx12873, nx12876, nx12879, nx12885, nx12891, nx12895, nx12901, nx12903, nx12911, nx12917, nx12921, nx12928, nx12931, nx12935, nx12937, nx12939, nx12944, nx12946, nx12959, nx12963, nx12985, nx12987, nx12992, nx12997, nx13009, nx13017, nx13021, nx13027, nx13029, nx13033, nx13037, nx13055, nx13063, nx13077, nx13081, nx13089, nx13092, nx13099, nx13102, nx13107, nx13113, nx13121, nx13123, nx13133, nx13139, nx13143, nx13153, nx13169, nx13176, nx13181, nx13187, nx13192, nx13194, nx13215, nx13219, nx13223, nx13228, nx13231, nx13234, nx13239, nx13243, nx13249, nx13259, nx13265, nx13269, nx13274, nx13277, nx13281, nx13289, nx13291, nx13297, nx13307, nx13309, nx13315, nx13321, nx13329, nx13335, nx13337, nx13343, nx13355, nx13361, nx13363, nx13371, nx13373, nx13379, nx13381, nx13383, nx13389, nx13403, nx13417, nx13419, nx13424, nx13431, nx13438, nx13446, nx13451, nx13453, nx13459, nx13471, nx13478, nx13483, nx13492, nx13494, nx13501, nx13509, nx13515, nx13522, nx13533, nx13541, nx13553, nx13563, nx13567, nx13571, nx13575, nx13579, nx13581, nx13593, nx13609, nx13617, nx13625, nx13635, nx13643, nx13655, nx13661, nx13669, nx13676, nx13678, nx13681, nx13697, nx13705, nx13729, nx13737, nx13743, nx13754, nx13756, nx13763, nx13766, nx13773, nx13779, nx13783, nx13789, nx13795, nx13798, nx13806, nx13811, nx13815, nx13821, nx13827, nx13831, nx13837, nx13843, nx13847, nx13855, nx13861, nx13865, nx13873, nx13884, nx13893, nx13911, nx13923, nx13929, nx13941, nx13954, nx13965, nx13971, nx13982, nx13995, nx14009, nx14017, nx14029, nx14049, nx14063, nx14069, nx14078, nx14094, nx14105, nx14112, nx14123, nx14139, nx14150, nx14157, nx14169, nx14187, nx14197, nx14205, nx14215, nx14223, nx14229, nx14243, nx14245, nx14251, nx14255, nx14264, nx14269, nx14273, nx14281, nx14287, nx14290, nx14299, nx14305, nx14309, nx14317, nx14323, nx14327, nx14335, nx14340, nx14343, nx14353, nx14386, nx14406, nx14422, nx14438, nx14453, nx14496, nx14515, nx14531, nx14545, nx14561, nx14576, nx14591, nx14607, nx14617, nx14627, nx14629, nx14631, nx14633, nx14635, nx14637, nx14639, nx14641, nx14643, nx14645, nx14649, nx14651, nx14653, nx14659, nx14661, nx14667, nx14669, nx14675, nx14677, nx14683, nx14687, nx14691, nx14693, nx14695, nx14697, nx14701, nx14703, nx14709, nx14711, nx14713, nx14719, nx14721, nx14733, nx14737, nx14739, nx14745, nx14747, nx14749, nx14757, nx14759, nx14763, nx14765, nx14767, nx14775, nx14781, nx14789, nx14795, nx14817, nx14823, nx14825, nx14835, nx14849, nx14851, nx14853, nx14857, nx14859, nx14861, nx6601, reg_6_q_c_11, nx10776, nx14715, reg_6_q_c_10, nx9776, nx6575, nx14777, reg_6_q_c_9, nx8776, reg_6_q_c_3, reg_6_q_c_2, reg_6_q_c_1, nx960, nx10816, reg_10_q_c_11, nx10814, nx9816, nx14731, reg_10_q_c_10, nx9814, nx9816_XX0_XREP23, nx8816, reg_10_q_c_9, nx8814, nx7816, nx14729, reg_10_q_c_8, nx7814, nx14805_XX0_XREP29, nx6547, nx6547_XX0_XREP31, nx5816, reg_10_q_c_6, nx5814, nx14799, nx9411, nx14799_XX0_XREP35, nx6517, nx8956, nx6517_XX0_XREP37, nx3816, reg_10_q_c_4, nx3814, nx14793, nx8517, nx14793_XX0_XREP41, nx2816, reg_10_q_c_3, nx6492, nx8051, nx6492_XX0_XREP45, reg_10_q_c_2, nx14787, nx1000, reg_10_q_c_1, reg_10_q_c_0, nx14735, reg_12_q_c_0, nx242, nx14735_XX0_XREP57, nx14689, nx6745, reg_5_q_c_0, nx6745_XX0_XREP67, nx14705, nx774, reg_39_q_c_0, nx6791, nx290, nx7192, reg_5_q_c_1, nx7192_XX0_XREP87, nx7683, nx2460, nx7655, reg_5_q_c_2, nx7763, nx1870, nx8685, nx8685_XX0_XREP115, nx8087, reg_5_q_c_3, nx8087_XX0_XREP123, nx14671_XX0_XREP131, nx8559, reg_5_q_c_4, nx9575, nx9575_XX0_XREP153, nx6525, nx9064, nx8867, nx6525_XX0_XREP157, nx14699, nx5418, nx14663_XX0_XREP175, nx9449, reg_5_q_c_6, nx10478, PRI_OUT_3_7_EXMPLR, nx10478_XX0_XREP195, nx14655, nx14655_XX0_XREP205, nx14657, PRI_OUT_3_8_EXMPLR, nx8390, nx8298, PRI_OUT_3_8_XX0_XREP223, PRI_OUT_3_dup0_6, nx14755, nx6390, nx6298, PRI_OUT_3_5_EXMPLR, nx5390, nx5298, PRI_OUT_3_5_XX0_XREP229, PRI_OUT_3_dup0_4, nx14753, nx4390, nx4298, PRI_OUT_3_dup0_3, nx3390, nx3298, nx6685, reg_13_q_c_0, nx694, nx6995, reg_7_q_c_0, reg_18_q_c_0, nx6600, nx11675, nx14811, nx11230, nx6574, nx10766, nx10330, reg_12_q_c_8, nx7890, nx9855, reg_12_q_c_7, nx6890, nx9855_XX0_XREP251, PRI_OUT_5_6_EXMPLR, reg_9_q_c_6, nx5972, PRI_OUT_5_6_XX0_XREP253, PRI_OUT_5_5_EXMPLR, nx14743, reg_9_q_c_5, nx4972, PRI_OUT_5_5_XX0_XREP255, nx6541, nx9517, nx9317, nx6541_XX0_XREP311, nx14855, nx11386, PRI_OUT_3_9_EXMPLR, nx14647, PRI_OUT_3_10_EXMPLR, nx15725, nx15727, nx15729, nx15730, nx15731, nx15732, nx15733, nx15734, nx15735, nx15736, nx15737, nx15738, nx15739, \[50549__XX0_XREP17\, nx15740, nx15741, nx15742, nx15743, nx15744, \[64647__XX0_XREP21\, nx15745, nx15747, nx15748, nx14663, nx14671, PRI_OUT_3_3_EXMPLR, nx15749, nx15750, nx15751, nx15752, nx15753, nx15754, nx15755, nx15756, nx15757, nx15758, nx15759, nx15761, nx14978, nx12624, nx15762, nx15763, nx15765, nx15766, nx15767, nx15768, nx15769, nx15260, nx13256, nx15770, nx15771, nx15772, nx15773, nx998, nx7212, nx15775, nx15776, nx15777, nx15778, nx15779, nx15780, nx15781, nx13254, nx15783, nx15784, nx15785, nx11256, nx12228, nx6469, nx15786, nx15787, nx15788, nx15789, nx15790, nx15791, nx15793, nx15794, nx1390, nx15795, nx15796, nx15797, nx15798, nx15799, nx15801, nx15802, nx202, nx15803, nx176, nx6766, nx15804, nx15805, nx15806, nx15807, nx15808, nx15809, nx15810, nx15811, nx15812, nx15813, nx15815, nx15816, nx7161, nx15817, nx15818, nx15819, nx15820, nx15821, nx15823, nx15825, nx12622, nx15826, nx15827, nx15828, nx10624, nx12109, nx15829, nx15830, nx15831, nx1972, nx15833, nx15835, nx15836, nx15837, nx15838, nx15839, nx15840, nx15841, nx10299, nx15843, nx6624, nx15845, nx9383, nx15846, nx14751, nx15847, nx15848, nx15849, nx15850, nx15851, nx15852, nx15853, nx15854, nx15855, nx3256, nx15856, nx15857, nx15859, nx15860, nx15861, nx15862, nx7709, nx15863, nx15864, nx15865, nx7713, nx15866, nx15867, nx15868, nx15869, nx15870, nx15871, nx15872, nx15873, nx15874, nx15875, nx15876, nx15877, nx15878, nx15879, nx10419, nx7256, nx15881, nx9503, nx15883, nx15884, nx1816, nx7678, nx15885, nx15886, nx15887, nx7239, nx15888, nx15889, nx15891, nx15893, nx15894, nx15895, nx15896, nx15897, nx15898, nx15899, nx15901, nx15903, nx15904, nx15905, nx15906, nx15036, nx15907, nx15908, nx15909, nx15910, nx12981, nx13036, nx15911, nx12087, nx11036, nx15912, nx15913, nx15914, nx15915, nx15916, nx15917, nx15918, nx15919, nx15920, nx15921, nx15922, nx15923, nx15924, nx15925, nx15927, nx15928, nx15929, nx15930, nx15931, nx15932, nx7609, nx7795, nx15933, nx14761, nx2390, nx15934, nx15935, nx15937, nx15939, nx15941, nx15942, nx15943, nx15944, nx15945, nx12967, nx15946, nx15947, nx15949, nx13409, nx6651, nx15951, nx15952, nx15953, nx15954, nx15955, nx15956, nx15957, nx15959, nx15961, nx15962, nx15098, nx15963, nx12975, nx6636, nx13026, nx6623, nx15964, nx15965, nx15966, nx14741, nx15967, nx15968, nx15969, nx15970, nx15971, nx15972, nx15973, nx15975, nx2624, nx15976, nx15977, nx15978, nx15979, nx4622, nx8487, nx15980, nx8491, nx15981, PRI_OUT_5_4_EXMPLR, PRI_OUT_5_2_EXMPLR, nx15982, nx15983, nx8025, nx15984, nx15985, nx15986, nx15987, nx15988, nx440, nx15989, nx15990, nx7117, nx6707, nx6795, nx15991, nx15992, nx15993, nx15994, nx15995, nx15997, nx15999, nx16000, nx596, nx16001, nx16002, nx16003, nx16004, nx6911, nx16005, nx16007, nx16009, nx16010, nx16011, nx16012, nx6853, nx16013, nx11201, nx16014, nx16015, nx16017, nx16019, nx16020, nx16021, nx16022, nx16023, nx16024, nx16025, nx16026, nx16027, nx16028, nx16029, nx16030, nx17204, nx16031, nx16032, nx16033, nx16034, nx14485, nx16035, nx17168, nx16036, nx14469, nx17132, nx16037, nx16038, nx16039, nx16040, nx16041, nx16043, nx16044, nx16045, nx16046, nx16047, nx16048, nx16049, nx16050, nx16051, nx16053, nx16055, nx16057, nx16058, nx16059, nx16060, nx16061, nx16062, nx16063, nx16065, nx16067, nx16068, nx6609, nx11026, nx6595, nx16069, nx16070, nx11171, nx6579, nx9026, nx6567, nx16071, nx16072, nx16073, nx16075, nx6685_XX0_XREP239, nx16077, nx16078, nx16079, nx16080, nx16081, nx7905, nx16082, nx6493, nx7935, nx16083, nx11325, nx16084, nx16085, nx16086, nx16087, nx16088, nx16089, nx16091, nx16092, nx16093, nx12171, nx16094, nx14843, nx16095, nx16096, nx16097, nx16098, nx16099, nx16100, nx16101, nx16102, nx16103, nx16104, nx16105, nx16106, nx16107, nx16108, nx16109, nx16110, nx16111, nx16113, nx16115, nx16116, nx16117, nx16118, nx16119, nx16120, nx16121, nx16123, nx16125, nx16126, nx16127, nx16128, nx16129, nx16130, nx16131, nx16133, nx16135, nx16136, nx16137, nx16138, nx16139, nx13075, nx16140, nx14460, nx13073, nx12520, nx14845, nx12595, nx12169, nx16141, nx12173, nx10520, nx11266, nx11269, nx11271, nx8520, nx14841, nx10799, nx10363, nx16142, nx16143, nx16144, nx10365, nx10367, nx6520, nx14839, nx9891, nx14837, nx16145, nx9445, nx9447, nx8989, nx16146, nx16147, nx2814, nx8109, nx16148, nx16149, nx16150, nx16151, nx16152, nx14727, nx16153, nx9136, nx16154, nx9215, nx4816, nx16155, nx9012, nx16156, nx16157, nx11043, nx16159, nx11953, nx16160, nx16161, nx16162, nx16163, nx16164, nx16165, nx16166, nx12558, nx16167, nx16169, nx16171, nx16173, nx16174, nx16175, nx16176, nx16177, nx16178, nx16179, nx16181, nx11951, nx10558, nx16183, nx11041, nx8558, nx16184, nx16185, nx16186, nx16187, nx16188, nx13043, nx16189, nx16191, nx16193, nx16194, nx16195, nx16196, nx16197, nx16198, nx16199, nx16200, nx13466, nx16201, nx16202, nx14924, nx13047, nx12900, nx16203, nx16204, nx16205, nx14358, nx16207, nx16208, nx16209, nx16210, nx16211, nx16212, nx16213, nx16214, nx16215, nx16216, nx14372, nx16217, nx16218, nx16932, nx14363, nx16892, nx16219, nx16220, nx16221, nx16222, nx16223, nx16224, nx16225, nx900, nx16226, PRI_OUT_3_dup0_1, nx16227, nx16229, nx16231, nx16232, nx14847, nx16233, nx16234, nx16235, nx16236, nx16237, nx16239, nx16241, nx16242, nx16243, nx16244, nx12075, nx16245, nx16246, nx16247, nx16249, nx16251, nx16252, nx16253, nx16254, nx16255, nx9024, nx10269, nx16256, nx16257, nx16258, nx16259, nx16260, nx16261, nx16262, nx16263, nx16264, nx16265, nx16266, nx16267, nx16268, nx16269, nx12650, nx16270, nx16271, nx16272, nx16273, nx16275, nx16276, nx12039, nx16277, nx16278, nx10650, nx11127, nx8650, nx16279, nx16280, nx16281, nx16282, nx16283, nx16285, nx16287, nx16289, nx16290, nx16291, nx14260, nx16292, nx16293, nx16294, nx16295, nx16297, nx16299, nx16300, nx14771, nx16301, nx7427, nx16302, nx14707, nx16303, nx1776, nx7469, nx16304, nx16305, nx16307, nx16309, nx16310, nx16311, nx16312, nx16313, nx14723, nx16314, nx2846, nx16315, nx16316, nx16317, nx16318, nx16319, nx16320, nx16321, nx16323, nx16324, nx16325, nx16326, nx16327, nx16328, nx9256, nx16329, nx16330, nx16331, nx16332, nx16333, nx16334, nx16335, nx16336, nx16337, nx16338, nx16339, nx16340, nx16341, nx16342, nx8624, nx16343, nx16345, nx16347, nx16348, nx16349, nx16350, nx16351, nx16352, nx16353, nx16355, nx13880, nx13856, nx16357, nx16358, nx16359, nx16360, nx12753, nx16361, nx11856, nx11853, nx16362, nx7474, nx16363, nx16365, nx942, nx16367, nx16368, nx16369, nx16370, nx7047, nx16371, nx12346, nx14769, nx16372, nx14717, nx16373, nx16374, nx16375, nx16376, nx11776, nx12015, nx16377, nx16378, nx16379, nx16380, nx16381, nx16382, nx16383, nx16384, nx16385, nx16386, nx16387, nx16388, nx16389, nx16391, nx16392, nx16393, nx16394, nx16395, nx16396, nx16397, nx16398, nx16399, nx16401, PRI_OUT_2_2_EXMPLR, nx16403, PRI_OUT_3_4_EXMPLR, nx16405, nx16406, nx16407, nx16408, nx16409, PRI_OUT_3_6_EXMPLR, nx16410, nx16411, nx16413, PRI_OUT_2_6_EXMPLR, nx16415, nx16416, nx16417, nx16418, nx16419, nx16420, nx16421, nx16423, nx16425, PRI_OUT_2_8_EXMPLR, nx16426, nx16427, nx16428, nx16429, nx16430, nx16431, nx16432, nx16433, nx16434, PRI_OUT_2_10_EXMPLR, nx16435, nx16436, nx16437, nx16439, nx16440, nx16441, nx16442, nx16443, nx16444, nx16445, nx16446, nx16447, nx16448, PRI_OUT_2_12_EXMPLR, nx16449, nx16450, nx16451, nx16452, nx16453, nx16454, nx16455, nx16456, nx16457, PRI_OUT_2_14_EXMPLR, nx16458, PRI_OUT_2_15_EXMPLR, nx16459, nx17209: std_logic ; begin PRI_OUT_0(15) <= PRI_OUT_0_15_EXMPLR ; PRI_OUT_0(14) <= PRI_OUT_0_14_EXMPLR ; PRI_OUT_0(12) <= PRI_OUT_0_12_EXMPLR ; PRI_OUT_0(10) <= PRI_OUT_0_10_EXMPLR ; PRI_OUT_0(8) <= PRI_OUT_0_8_EXMPLR ; PRI_OUT_0(6) <= PRI_OUT_0_6_EXMPLR ; PRI_OUT_0(4) <= PRI_OUT_0_4_EXMPLR ; PRI_OUT_0(2) <= PRI_OUT_0_2_EXMPLR ; PRI_OUT_0(0) <= PRI_OUT_0_0_EXMPLR ; PRI_OUT_2(15) <= PRI_OUT_2_15_EXMPLR ; PRI_OUT_2(14) <= PRI_OUT_2_14_EXMPLR ; PRI_OUT_2(13) <= PRI_OUT_2_13_EXMPLR ; PRI_OUT_2(12) <= PRI_OUT_2_12_EXMPLR ; PRI_OUT_2(11) <= PRI_OUT_2_11_EXMPLR ; PRI_OUT_2(10) <= PRI_OUT_2_10_EXMPLR ; PRI_OUT_2(9) <= PRI_OUT_2_9_EXMPLR ; PRI_OUT_2(8) <= PRI_OUT_2_8_EXMPLR ; PRI_OUT_2(7) <= PRI_OUT_2_7_EXMPLR ; PRI_OUT_2(6) <= PRI_OUT_2_6_EXMPLR ; PRI_OUT_2(5) <= PRI_OUT_2_5_EXMPLR ; PRI_OUT_2(4) <= PRI_OUT_2_4_EXMPLR ; PRI_OUT_2(3) <= PRI_OUT_2_3_EXMPLR ; PRI_OUT_2(2) <= PRI_OUT_2_2_EXMPLR ; PRI_OUT_2(1) <= PRI_OUT_2_1_EXMPLR ; PRI_OUT_2(0) <= PRI_OUT_2_0_EXMPLR ; PRI_OUT_3(15) <= PRI_OUT_3_15_EXMPLR ; PRI_OUT_3(14) <= PRI_OUT_3_14_EXMPLR ; PRI_OUT_3(13) <= PRI_OUT_3_13_EXMPLR ; PRI_OUT_3(12) <= PRI_OUT_3_12_EXMPLR ; PRI_OUT_3(11) <= PRI_OUT_3_11_EXMPLR ; PRI_OUT_3(10) <= PRI_OUT_3_10_EXMPLR ; PRI_OUT_3(9) <= PRI_OUT_3_9_EXMPLR ; PRI_OUT_3(8) <= PRI_OUT_3_8_EXMPLR ; PRI_OUT_3(7) <= PRI_OUT_3_7_EXMPLR ; PRI_OUT_3(6) <= PRI_OUT_3_6_EXMPLR ; PRI_OUT_3(5) <= PRI_OUT_3_5_EXMPLR ; PRI_OUT_3(4) <= PRI_OUT_3_4_EXMPLR ; PRI_OUT_3(3) <= PRI_OUT_3_3_EXMPLR ; PRI_OUT_3(0) <= PRI_OUT_3_0_EXMPLR ; PRI_OUT_5(15) <= PRI_OUT_5_15_EXMPLR ; PRI_OUT_5(14) <= PRI_OUT_5_14_EXMPLR ; PRI_OUT_5(13) <= PRI_OUT_5_13_EXMPLR ; PRI_OUT_5(12) <= PRI_OUT_5_12_EXMPLR ; PRI_OUT_5(11) <= PRI_OUT_5_11_EXMPLR ; PRI_OUT_5(10) <= PRI_OUT_5_10_EXMPLR ; PRI_OUT_5(9) <= PRI_OUT_5_9_EXMPLR ; PRI_OUT_5(8) <= PRI_OUT_5_8_EXMPLR ; PRI_OUT_5(7) <= PRI_OUT_5_7_EXMPLR ; PRI_OUT_5(6) <= PRI_OUT_5_6_EXMPLR ; PRI_OUT_5(5) <= PRI_OUT_5_5_EXMPLR ; PRI_OUT_5(4) <= PRI_OUT_5_4_EXMPLR ; PRI_OUT_5(2) <= PRI_OUT_5_2_EXMPLR ; PRI_OUT_5(1) <= PRI_OUT_5_1_EXMPLR ; PRI_OUT_5(0) <= PRI_OUT_5_0_EXMPLR ; PRI_OUT_9(15) <= PRI_OUT_9_15_EXMPLR ; PRI_OUT_9(14) <= PRI_OUT_9_14_EXMPLR ; PRI_OUT_9(13) <= PRI_OUT_9_13_EXMPLR ; PRI_OUT_9(12) <= PRI_OUT_9_12_EXMPLR ; PRI_OUT_9(11) <= PRI_OUT_9_11_EXMPLR ; PRI_OUT_9(10) <= PRI_OUT_9_10_EXMPLR ; PRI_OUT_9(9) <= PRI_OUT_9_9_EXMPLR ; PRI_OUT_9(8) <= PRI_OUT_9_8_EXMPLR ; PRI_OUT_9(7) <= PRI_OUT_9_7_EXMPLR ; PRI_OUT_9(6) <= PRI_OUT_9_6_EXMPLR ; PRI_OUT_9(5) <= PRI_OUT_9_5_EXMPLR ; PRI_OUT_9(4) <= PRI_OUT_9_4_EXMPLR ; PRI_OUT_9(3) <= PRI_OUT_9_3_EXMPLR ; PRI_OUT_9(2) <= PRI_OUT_9_2_EXMPLR ; PRI_OUT_9(1) <= PRI_OUT_9_1_EXMPLR ; PRI_OUT_9(0) <= PRI_OUT_9_0_EXMPLR ; REG_28_reg_q_0 : dff port map ( Q=>PRI_OUT_2_0_EXMPLR, QB=>OPEN, D=>nx774, CLK=>CLK); ix6678 : mux21 port map ( Y=>nx6677, A0=>reg_35_q_c_0, A1=>PRI_IN_0(0), S0=>C_MUX2_23_SEL); REG_35_reg_q_0 : dff port map ( Q=>reg_35_q_c_0, QB=>OPEN, D=>nx752, CLK =>CLK); ix753 : ao21 port map ( Y=>nx752, A0=>nx6681, A1=>nx14689, B0=>nx6997); ix6682 : inv02 port map ( Y=>nx6681, A=>PRI_IN_0(0)); ix741 : mux21 port map ( Y=>PRI_OUT_3_0_EXMPLR, A0=>nx6685, A1=>nx6995, S0=>C_MUX2_14_SEL); ix723 : xor2 port map ( Y=>nx722, A0=>PRI_IN_4(0), A1=>reg_49_q_c_0); REG_49_reg_q_0 : dff port map ( Q=>reg_49_q_c_0, QB=>OPEN, D=>nx708, CLK =>CLK); ix709 : ao21 port map ( Y=>nx708, A0=>nx14721, A1=>nx14687, B0=>nx6693); ix6692 : inv02 port map ( Y=>nx6691, A=>PRI_IN_5(0)); ix6694 : nor02 port map ( Y=>nx6693, A0=>nx14687, A1=>nx14721); REG_11_reg_q_0 : dff port map ( Q=>reg_11_q_c_0, QB=>OPEN, D=>nx684, CLK =>CLK); ix685 : xor2 port map ( Y=>nx684, A0=>PRI_IN_8(0), A1=>reg_45_q_c_0); REG_45_reg_q_0 : dff port map ( Q=>reg_45_q_c_0, QB=>OPEN, D=>nx670, CLK =>CLK); ix671 : oai21 port map ( Y=>nx670, A0=>nx6707, A1=>nx15740, B0=>nx662); ix6712 : inv02 port map ( Y=>nx6711, A=>C_MUX2_11_SEL); ix57 : xnor2 port map ( Y=>nx56, A0=>reg_44_q_c_0, A1=>nx14735); REG_44_reg_q_0 : dff port map ( Q=>reg_44_q_c_0, QB=>OPEN, D=>nx42, CLK=> CLK); ix43 : oai21 port map ( Y=>nx42, A0=>nx6721, A1=>nx14687, B0=>nx34); ix6722 : inv02 port map ( Y=>nx6721, A=>PRI_IN_3(0)); ix35 : nand02 port map ( Y=>nx34, A0=>nx14687, A1=>nx6721); ix6727 : mux21 port map ( Y=>nx6726, A0=>reg_12_q_c_0, A1=>nx242, S0=> C_MUX2_3_SEL); ix271 : xor2 port map ( Y=>nx270, A0=>PRI_OUT_9_0_EXMPLR, A1=> reg_47_q_c_0); REG_23_reg_q_0 : dff port map ( Q=>PRI_OUT_9_0_EXMPLR, QB=>OPEN, D=>nx868, CLK=>CLK); ix869 : xnor2 port map ( Y=>nx868, A0=>PRI_IN_0(0), A1=>nx6735); ix6736 : mux21 port map ( Y=>nx6735, A0=>reg_34_q_c_0, A1=>PRI_IN_0(0), S0=>C_MUX2_19_SEL); REG_34_reg_q_0 : dff port map ( Q=>reg_34_q_c_0, QB=>OPEN, D=>nx846, CLK =>CLK); ix847 : ao21 port map ( Y=>nx846, A0=>nx6741, A1=>nx570, B0=>nx6975); ix829 : aoi21 port map ( Y=>nx828, A0=>nx14735, A1=>nx6745, B0=>nx822); REG_43_reg_q_0 : dff port map ( Q=>OPEN, QB=>nx6765, D=>nx792, CLK=>CLK); REG_38_reg_q_0 : dff port map ( Q=>OPEN, QB=>nx6741, D=>nx828, CLK=>CLK); ix6756 : mux21 port map ( Y=>nx6755, A0=>nx6453, A1=>nx14705, S0=> C_MUX2_7_SEL); REG_6_reg_q_0 : dff port map ( Q=>reg_6_q_c_0, QB=>OPEN, D=>nx162, CLK=> CLK); ix163 : oai21 port map ( Y=>nx162, A0=>nx6771, A1=>reg_40_q_c_0, B0=> nx154); ix6772 : inv02 port map ( Y=>nx6771, A=>PRI_IN_2(0)); REG_40_reg_q_0 : dff port map ( Q=>reg_40_q_c_0, QB=>OPEN, D=>nx144, CLK =>CLK); ix145 : xor2 port map ( Y=>nx144, A0=>PRI_IN_1(0), A1=>reg_41_q_c_0); REG_41_reg_q_0 : dff port map ( Q=>reg_41_q_c_0, QB=>OPEN, D=>nx130, CLK =>CLK); ix131 : ao21 port map ( Y=>nx130, A0=>PRI_IN_6(0), A1=>nx14737, B0=> nx6963); ix6782 : mux21 port map ( Y=>nx6781, A0=>reg_6_q_c_0, A1=>nx114, S0=> C_MUX2_20_SEL); REG_16_reg_q_0 : dff port map ( Q=>reg_16_q_c_0, QB=>OPEN, D=>nx100, CLK =>CLK); ix101 : oai21 port map ( Y=>nx100, A0=>reg_31_q_c_0, A1=>nx14737, B0=> nx92); REG_31_reg_q_0 : dff port map ( Q=>reg_31_q_c_0, QB=>OPEN, D=>nx82, CLK=> CLK); REG_24_reg_q_0 : dff port map ( Q=>reg_24_q_c_0, QB=>OPEN, D=>nx508, CLK =>CLK); ix509 : oai21 port map ( Y=>nx508, A0=>nx14721, A1=>PRI_OUT_0_0_EXMPLR, B0=>nx500); ix497 : ao21 port map ( Y=>PRI_OUT_0_0_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_1_q_c_0, B0=>nx372); REG_1_reg_q_0 : dff port map ( Q=>reg_1_q_c_0, QB=>OPEN, D=>nx486, CLK=> CLK); ix487 : xnor2 port map ( Y=>nx486, A0=>nx470, A1=>nx6863); ix471 : ao21 port map ( Y=>nx470, A0=>C_MUX2_2_SEL, A1=>reg_33_q_c_0, B0 =>nx376); REG_33_reg_q_0 : dff port map ( Q=>reg_33_q_c_0, QB=>OPEN, D=>nx460, CLK =>CLK); ix461 : xor2 port map ( Y=>nx460, A0=>PRI_IN_8(0), A1=>reg_46_q_c_0); REG_46_reg_q_0 : dff port map ( Q=>reg_46_q_c_0, QB=>OPEN, D=>nx446, CLK =>CLK); REG_50_reg_q_0 : dff port map ( Q=>reg_50_q_c_0, QB=>OPEN, D=>nx432, CLK =>CLK); ix433 : oai21 port map ( Y=>nx432, A0=>PRI_IN_9(0), A1=>nx6811, B0=>nx424 ); ix6812 : mux21 port map ( Y=>nx6811, A0=>reg_15_q_c_0, A1=>nx14687, S0=> C_MUX2_16_SEL); REG_15_reg_q_0 : dff port map ( Q=>reg_15_q_c_0, QB=>OPEN, D=>nx406, CLK =>CLK); ix407 : oai21 port map ( Y=>nx406, A0=>nx6817, A1=>reg_36_q_c_0, B0=> nx398); ix6818 : inv02 port map ( Y=>nx6817, A=>PRI_IN_6(0)); REG_36_reg_q_0 : dff port map ( Q=>reg_36_q_c_0, QB=>OPEN, D=>nx388, CLK =>CLK); ix389 : ao21 port map ( Y=>nx388, A0=>PRI_IN_2(0), A1=>nx6821, B0=>nx6845 ); REG_37_reg_q_0 : dff port map ( Q=>reg_37_q_c_0, QB=>nx6821, D=>nx344, CLK=>CLK); ix345 : xor2 port map ( Y=>nx344, A0=>PRI_IN_7(0), A1=>PRI_OUT_5_0_EXMPLR ); ix337 : ao21 port map ( Y=>PRI_OUT_5_0_EXMPLR, A0=>nx14739, A1=> reg_9_q_c_0, B0=>nx334); ix6828 : inv02 port map ( Y=>nx6827, A=>C_MUX2_12_SEL); REG_9_reg_q_0 : dff port map ( Q=>reg_9_q_c_0, QB=>OPEN, D=>nx322, CLK=> CLK); ix323 : xor2 port map ( Y=>nx322, A0=>PRI_IN_5(0), A1=>reg_42_q_c_0); REG_42_reg_q_0 : dff port map ( Q=>reg_42_q_c_0, QB=>OPEN, D=>nx308, CLK =>CLK); ix309 : ao21 port map ( Y=>nx308, A0=>nx6836, A1=>nx15742, B0=>nx6839); ix6837 : inv02 port map ( Y=>nx6836, A=>PRI_IN_1(0)); ix335 : nor02 port map ( Y=>nx334, A0=>nx14739, A1=>nx14735_XX0_XREP57); ix6846 : nor02 port map ( Y=>nx6845, A0=>nx6821, A1=>PRI_IN_2(0)); ix399 : nand02 port map ( Y=>nx398, A0=>reg_36_q_c_0, A1=>nx6817); ix425 : nand02 port map ( Y=>nx424, A0=>nx6811, A1=>PRI_IN_9(0)); ix377 : nor02 port map ( Y=>nx376, A0=>C_MUX2_2_SEL, A1=>nx6677); ix6864 : mux21 port map ( Y=>nx6863, A0=>PRI_IN_5(0), A1=>reg_18_q_c_0, S0=>C_MUX2_1_SEL); ix15 : ao21 port map ( Y=>nx14, A0=>nx14721, A1=>nx15741, B0=>nx6869); ix6870 : nor02 port map ( Y=>nx6869, A0=>nx15741, A1=>nx14721); ix373 : nor02 port map ( Y=>nx372, A0=>C_MUX2_10_SEL, A1=>nx6874); REG_2_reg_q_0 : dff port map ( Q=>reg_2_q_c_0, QB=>nx6874, D=>nx362, CLK =>CLK); ix363 : ao21 port map ( Y=>nx362, A0=>nx6681, A1=>reg_37_q_c_0, B0=> nx6877); ix501 : nand02 port map ( Y=>nx500, A0=>PRI_OUT_0_0_EXMPLR, A1=>nx6691); REG_8_reg_q_0 : dff port map ( Q=>reg_8_q_c_0, QB=>OPEN, D=>nx188, CLK=> CLK); ix189 : aoi21 port map ( Y=>nx188, A0=>nx6874, A1=>nx6691, B0=>nx182); REG_17_reg_q_0 : dff port map ( Q=>reg_17_q_c_0, QB=>OPEN, D=>nx232, CLK =>CLK); ix233 : ao21 port map ( Y=>nx232, A0=>nx6907, A1=>nx6461, B0=>nx6955); REG_27_reg_q_0 : dff port map ( Q=>OPEN, QB=>nx6907, D=>nx214, CLK=>CLK); ix215 : oai21 port map ( Y=>nx214, A0=>nx6453, A1=>nx6911, B0=>nx206); REG_26_reg_q_0 : dff port map ( Q=>reg_26_q_c_0, QB=>OPEN, D=>nx582, CLK =>CLK); ix583 : ao21 port map ( Y=>nx582, A0=>reg_30_q_c_0, A1=>nx6925, B0=> nx6951); REG_30_reg_q_0 : dff port map ( Q=>reg_30_q_c_0, QB=>OPEN, D=>nx538, CLK =>CLK); ix539 : ao21 port map ( Y=>nx538, A0=>PRI_IN_4(0), A1=>nx14737, B0=> nx6920); ix6921 : nor02 port map ( Y=>nx6920, A0=>nx14737, A1=>PRI_IN_4(0)); REG_14_reg_q_0 : dff port map ( Q=>reg_14_q_c_0, QB=>nx6949, D=>nx560, CLK=>CLK); ix561 : ao21 port map ( Y=>nx560, A0=>reg_7_q_c_0, A1=>nx6735, B0=>nx6946 ); ix641 : xnor2 port map ( Y=>nx640, A0=>nx6745, A1=>nx6461); ix633 : ao21 port map ( Y=>nx6461, A0=>C_MUX2_4_SEL, A1=>reg_25_q_c_0, B0 =>nx596); REG_25_reg_q_0 : dff port map ( Q=>reg_25_q_c_0, QB=>OPEN, D=>nx622, CLK =>CLK); ix623 : oai21 port map ( Y=>nx622, A0=>reg_29_q_c_0, A1=>nx6939, B0=> nx614); REG_29_reg_q_0 : dff port map ( Q=>reg_29_q_c_0, QB=>OPEN, D=>nx604, CLK =>CLK); ix605 : xor2 port map ( Y=>nx604, A0=>nx15741, A1=>nx14689); ix6940 : mux21 port map ( Y=>nx6939, A0=>reg_16_q_c_0, A1=>PRI_IN_2(0), S0=>C_MUX2_25_SEL); ix615 : nand02 port map ( Y=>nx614, A0=>nx6939, A1=>reg_29_q_c_0); ix6947 : nor02 port map ( Y=>nx6946, A0=>nx6735, A1=>reg_7_q_c_0); ix6952 : nor02 port map ( Y=>nx6951, A0=>nx6925, A1=>reg_30_q_c_0); ix207 : nand02 port map ( Y=>nx206, A0=>nx16005, A1=>nx6453); ix6956 : nor02 port map ( Y=>nx6955, A0=>nx6461, A1=>nx6907); ix93 : nand02 port map ( Y=>nx92, A0=>nx14737, A1=>reg_31_q_c_0); ix6964 : nor02 port map ( Y=>nx6963, A0=>nx6781, A1=>PRI_IN_6(0)); ix155 : nand02 port map ( Y=>nx154, A0=>reg_40_q_c_0, A1=>nx6771); ix823 : nor02 port map ( Y=>nx822, A0=>nx6745, A1=>nx14735_XX0_XREP57); ix571 : ao21 port map ( Y=>nx570, A0=>C_MUX2_6_SEL, A1=>reg_14_q_c_0, B0 =>nx548); ix549 : nor02 port map ( Y=>nx548, A0=>C_MUX2_6_SEL, A1=>nx6939); ix6976 : nor02 port map ( Y=>nx6975, A0=>nx570, A1=>nx6741); REG_47_reg_q_0 : dff port map ( Q=>reg_47_q_c_0, QB=>OPEN, D=>nx256, CLK =>CLK); ix257 : oai21 port map ( Y=>nx256, A0=>nx6907, A1=>nx15740, B0=>nx248); ix249 : nand02 port map ( Y=>nx248, A0=>nx176, A1=>nx6907); ix663 : nand02 port map ( Y=>nx662, A0=>nx176, A1=>nx6707); ix659 : nor02 port map ( Y=>nx658, A0=>C_MUX2_18_SEL, A1=>nx6781); ix6998 : nor02 port map ( Y=>nx6997, A0=>nx14689, A1=>nx6681); REG_28_reg_q_1 : dff port map ( Q=>PRI_OUT_2_1_EXMPLR, QB=>OPEN, D=> nx1418, CLK=>CLK); ix1419 : xnor2 port map ( Y=>nx1418, A0=>nx768, A1=>nx7007); ix769 : nor02 port map ( Y=>nx768, A0=>nx6677, A1=>nx14735); ix7010 : mux21 port map ( Y=>nx7009, A0=>reg_35_q_c_1, A1=>PRI_IN_0(1), S0=>C_MUX2_23_SEL); REG_35_reg_q_1 : dff port map ( Q=>reg_35_q_c_1, QB=>OPEN, D=>nx1400, CLK =>CLK); ix1401 : xnor2 port map ( Y=>nx1400, A0=>nx6997, A1=>nx1398); ix7020 : inv02 port map ( Y=>nx7019, A=>C_MUX2_14_SEL); ix7024 : inv02 port map ( Y=>nx7023, A=>C_MUX2_15_SEL); REG_13_reg_q_1 : dff port map ( Q=>reg_13_q_c_1, QB=>OPEN, D=>nx1378, CLK =>CLK); ix1379 : xor2 port map ( Y=>nx1378, A0=>nx7029, A1=>nx7031); ix7030 : nand02 port map ( Y=>nx7029, A0=>PRI_IN_4(0), A1=>reg_49_q_c_0); ix7032 : xnor2 port map ( Y=>nx7031, A0=>PRI_IN_4(1), A1=>reg_49_q_c_1); REG_49_reg_q_1 : dff port map ( Q=>reg_49_q_c_1, QB=>OPEN, D=>nx1368, CLK =>CLK); ix1369 : xnor2 port map ( Y=>nx1368, A0=>nx6693, A1=>nx1366); ix987 : xor2 port map ( Y=>nx986, A0=>nx154, A1=>nx984); REG_40_reg_q_1 : dff port map ( Q=>OPEN, QB=>nx7067, D=>nx976, CLK=>CLK); ix977 : xor2 port map ( Y=>nx976, A0=>nx7059, A1=>nx7061); ix7060 : nand02 port map ( Y=>nx7059, A0=>PRI_IN_1(0), A1=>reg_41_q_c_0); ix7062 : xnor2 port map ( Y=>nx7061, A0=>PRI_IN_1(1), A1=>reg_41_q_c_1); REG_41_reg_q_1 : dff port map ( Q=>reg_41_q_c_1, QB=>OPEN, D=>nx966, CLK =>CLK); ix967 : xnor2 port map ( Y=>nx966, A0=>nx6963, A1=>nx964); ix965 : xnor2 port map ( Y=>nx964, A0=>PRI_IN_6(1), A1=>nx15732); ix7072 : mux21 port map ( Y=>nx7071, A0=>reg_16_q_c_1, A1=>PRI_IN_2(1), S0=>C_MUX2_25_SEL); REG_16_reg_q_1 : dff port map ( Q=>reg_16_q_c_1, QB=>OPEN, D=>nx944, CLK =>CLK); ix945 : xor2 port map ( Y=>nx944, A0=>nx92, A1=>nx942); REG_31_reg_q_1 : dff port map ( Q=>OPEN, QB=>nx7347, D=>nx934, CLK=>CLK); ix935 : xor2 port map ( Y=>nx934, A0=>nx7078, A1=>nx7081); ix7079 : nand02 port map ( Y=>nx7078, A0=>PRI_IN_9(0), A1=>nx15742); ix7082 : xnor2 port map ( Y=>nx7081, A0=>PRI_IN_9(1), A1=>reg_39_q_c_1); REG_39_reg_q_1 : dff port map ( Q=>reg_39_q_c_1, QB=>OPEN, D=>nx1078, CLK =>CLK); ix1079 : xnor2 port map ( Y=>nx1078, A0=>nx284, A1=>nx7089); ix285 : nor02 port map ( Y=>nx284, A0=>nx6795, A1=>nx6726); ix7092 : mux21 port map ( Y=>nx7091, A0=>PRI_IN_1(1), A1=>reg_24_q_c_1, S0=>C_MUX2_17_SEL); REG_24_reg_q_1 : dff port map ( Q=>reg_24_q_c_1, QB=>OPEN, D=>nx1228, CLK =>CLK); ix1229 : xor2 port map ( Y=>nx1228, A0=>nx500, A1=>nx1226); REG_1_reg_q_1 : dff port map ( Q=>reg_1_q_c_1, QB=>OPEN, D=>nx1214, CLK=> CLK); ix1215 : xnor2 port map ( Y=>nx1214, A0=>nx7101, A1=>nx1212); ix7102 : nand02 port map ( Y=>nx7101, A0=>nx470, A1=>nx478); ix1213 : xnor2 port map ( Y=>nx1212, A0=>nx1202, A1=>nx7333); ix1203 : ao21 port map ( Y=>nx1202, A0=>C_MUX2_2_SEL, A1=>reg_33_q_c_1, B0=>nx1140); REG_33_reg_q_1 : dff port map ( Q=>reg_33_q_c_1, QB=>OPEN, D=>nx1192, CLK =>CLK); ix1193 : xor2 port map ( Y=>nx1192, A0=>nx7111, A1=>nx7113); ix7112 : nand02 port map ( Y=>nx7111, A0=>PRI_IN_8(0), A1=>reg_46_q_c_0); ix7114 : xnor2 port map ( Y=>nx7113, A0=>PRI_IN_8(1), A1=>reg_46_q_c_1); REG_46_reg_q_1 : dff port map ( Q=>reg_46_q_c_1, QB=>OPEN, D=>nx1182, CLK =>CLK); ix1183 : xor2 port map ( Y=>nx1182, A0=>nx7117, A1=>nx7119); ix7120 : xnor2 port map ( Y=>nx7119, A0=>reg_50_q_c_1, A1=>nx6474); REG_50_reg_q_1 : dff port map ( Q=>reg_50_q_c_1, QB=>OPEN, D=>nx1172, CLK =>CLK); ix1173 : xnor2 port map ( Y=>nx1172, A0=>nx424, A1=>nx7123); ix7124 : xnor2 port map ( Y=>nx7123, A0=>PRI_IN_9(1), A1=>nx7125); ix7126 : mux21 port map ( Y=>nx7125, A0=>reg_15_q_c_1, A1=>nx14683, S0=> C_MUX2_16_SEL); REG_15_reg_q_1 : dff port map ( Q=>reg_15_q_c_1, QB=>OPEN, D=>nx1154, CLK =>CLK); ix1155 : xor2 port map ( Y=>nx1154, A0=>nx398, A1=>nx1152); REG_36_reg_q_1 : dff port map ( Q=>OPEN, QB=>nx7326, D=>nx1144, CLK=>CLK ); ix1145 : xnor2 port map ( Y=>nx1144, A0=>nx6845, A1=>nx1142); REG_37_reg_q_1 : dff port map ( Q=>reg_37_q_c_1, QB=>nx7325, D=>nx1116, CLK=>CLK); ix1117 : xor2 port map ( Y=>nx1116, A0=>nx7139, A1=>nx7141); ix7140 : nand02 port map ( Y=>nx7139, A0=>PRI_IN_7(0), A1=> PRI_OUT_5_0_EXMPLR); ix7142 : xnor2 port map ( Y=>nx7141, A0=>PRI_IN_7(1), A1=> PRI_OUT_5_1_EXMPLR); ix1113 : ao21 port map ( Y=>PRI_OUT_5_1_EXMPLR, A0=>nx14739, A1=> reg_9_q_c_1, B0=>nx1110); REG_9_reg_q_1 : dff port map ( Q=>reg_9_q_c_1, QB=>OPEN, D=>nx1098, CLK=> CLK); ix1099 : xor2 port map ( Y=>nx1098, A0=>nx7148, A1=>nx7150); ix7149 : nand02 port map ( Y=>nx7148, A0=>PRI_IN_5(0), A1=>reg_42_q_c_0); ix7151 : xnor2 port map ( Y=>nx7150, A0=>PRI_IN_5(1), A1=>reg_42_q_c_1); REG_42_reg_q_1 : dff port map ( Q=>reg_42_q_c_1, QB=>OPEN, D=>nx1088, CLK =>CLK); ix1089 : xnor2 port map ( Y=>nx1088, A0=>nx6839, A1=>nx1086); ix1087 : xnor2 port map ( Y=>nx1086, A0=>PRI_IN_1(1), A1=>reg_39_q_c_1); REG_12_reg_q_1 : dff port map ( Q=>reg_12_q_c_1, QB=>OPEN, D=>nx1062, CLK =>CLK); ix1063 : xor2 port map ( Y=>nx1062, A0=>nx7167, A1=>nx7169); ix7168 : nand02 port map ( Y=>nx7167, A0=>PRI_OUT_9_0_EXMPLR, A1=> reg_47_q_c_0); ix7170 : xnor2 port map ( Y=>nx7169, A0=>PRI_OUT_9_1_EXMPLR, A1=> reg_47_q_c_1); REG_23_reg_q_1 : dff port map ( Q=>PRI_OUT_9_1_EXMPLR, QB=>OPEN, D=> nx1488, CLK=>CLK); ix1489 : xnor2 port map ( Y=>nx1488, A0=>nx7175, A1=>nx1486); ix7176 : oai21 port map ( Y=>nx7175, A0=>C_MUX2_19_SEL, A1=>reg_34_q_c_0, B0=>PRI_IN_0(0)); ix1487 : xnor2 port map ( Y=>nx1486, A0=>PRI_IN_0(1), A1=>nx7179); ix7180 : mux21 port map ( Y=>nx7179, A0=>reg_34_q_c_1, A1=>PRI_IN_0(1), S0=>C_MUX2_19_SEL); REG_34_reg_q_1 : dff port map ( Q=>reg_34_q_c_1, QB=>OPEN, D=>nx1470, CLK =>CLK); ix1471 : xnor2 port map ( Y=>nx1470, A0=>nx6975, A1=>nx1468); ix1469 : xnor2 port map ( Y=>nx1468, A0=>reg_38_q_c_1, A1=>nx1274); REG_38_reg_q_1 : dff port map ( Q=>reg_38_q_c_1, QB=>OPEN, D=>nx1460, CLK =>CLK); ix1461 : xnor2 port map ( Y=>nx1460, A0=>nx822, A1=>nx7190); ix1443 : xor2 port map ( Y=>nx1442, A0=>nx800, A1=>nx1440); ix801 : nor02 port map ( Y=>nx800, A0=>nx6765, A1=>nx6766); ix1441 : xnor2 port map ( Y=>nx1440, A0=>reg_43_q_c_1, A1=>nx7212); REG_43_reg_q_1 : dff port map ( Q=>reg_43_q_c_1, QB=>OPEN, D=>nx1432, CLK =>CLK); ix1433 : xnor2 port map ( Y=>nx1432, A0=>nx786, A1=>nx7205); ix787 : nor02 port map ( Y=>nx786, A0=>nx6741, A1=>nx6755); ix7206 : xnor2 port map ( Y=>nx7205, A0=>reg_38_q_c_1, A1=>nx1428); ix1429 : ao21 port map ( Y=>nx1428, A0=>C_MUX2_7_SEL, A1=>nx16388, B0=> nx878); ix879 : nor02 port map ( Y=>nx878, A0=>C_MUX2_7_SEL, A1=> nx7192_XX0_XREP87); ix1275 : ao21 port map ( Y=>nx1274, A0=>C_MUX2_6_SEL, A1=>reg_14_q_c_1, B0=>nx1260); REG_14_reg_q_1 : dff port map ( Q=>reg_14_q_c_1, QB=>OPEN, D=>nx1264, CLK =>CLK); ix1265 : xor2 port map ( Y=>nx1264, A0=>nx6946, A1=>nx7219); REG_7_reg_q_1 : dff port map ( Q=>reg_7_q_c_1, QB=>nx7265, D=>nx1320, CLK =>CLK); ix1321 : xnor2 port map ( Y=>nx1320, A0=>nx7225, A1=>nx1318); ix7226 : nand02 port map ( Y=>nx7225, A0=>nx6453, A1=>nx6461); ix1319 : xnor2 port map ( Y=>nx1318, A0=>nx7192, A1=>nx6472); ix1317 : ao21 port map ( Y=>nx6472, A0=>C_MUX2_4_SEL, A1=>reg_25_q_c_1, B0=>nx1292); REG_25_reg_q_1 : dff port map ( Q=>reg_25_q_c_1, QB=>OPEN, D=>nx1306, CLK =>CLK); ix1307 : xnor2 port map ( Y=>nx1306, A0=>nx614, A1=>nx7231); REG_29_reg_q_1 : dff port map ( Q=>OPEN, QB=>nx7241, D=>nx1296, CLK=>CLK ); ix1297 : xor2 port map ( Y=>nx1296, A0=>nx7237, A1=>nx7239); ix7238 : nand02 port map ( Y=>nx7237, A0=>nx15741, A1=>nx14689); ix1293 : nor02 port map ( Y=>nx1292, A0=>C_MUX2_4_SEL, A1=>nx7245); ix7246 : mux21 port map ( Y=>nx7245, A0=>nx6474, A1=>reg_26_q_c_1, S0=> C_MUX2_22_SEL); ix1243 : mux21 port map ( Y=>nx6474, A0=>nx7249, A1=>nx7091, S0=> C_MUX2_21_SEL); ix7250 : inv02 port map ( Y=>nx7249, A=>PRI_IN_8(1)); REG_26_reg_q_1 : dff port map ( Q=>reg_26_q_c_1, QB=>OPEN, D=>nx1278, CLK =>CLK); ix1279 : xnor2 port map ( Y=>nx1278, A0=>nx6951, A1=>nx1276); ix1277 : xnor2 port map ( Y=>nx1276, A0=>reg_30_q_c_1, A1=>nx1274); REG_30_reg_q_1 : dff port map ( Q=>reg_30_q_c_1, QB=>OPEN, D=>nx1250, CLK =>CLK); ix1251 : xnor2 port map ( Y=>nx1250, A0=>nx6920, A1=>nx1248); ix1249 : xnor2 port map ( Y=>nx1248, A0=>PRI_IN_4(1), A1=>nx15732); ix1261 : nor02 port map ( Y=>nx1260, A0=>C_MUX2_6_SEL, A1=>nx7071); REG_47_reg_q_1 : dff port map ( Q=>reg_47_q_c_1, QB=>OPEN, D=>nx1052, CLK =>CLK); ix1053 : xor2 port map ( Y=>nx1052, A0=>nx248, A1=>nx1050); ix1051 : xnor2 port map ( Y=>nx1050, A0=>reg_27_q_c_1, A1=>nx1000); REG_27_reg_q_1 : dff port map ( Q=>reg_27_q_c_1, QB=>OPEN, D=>nx1026, CLK =>CLK); ix1027 : xor2 port map ( Y=>nx1026, A0=>nx206, A1=>nx1024); ix1025 : xnor2 port map ( Y=>nx1024, A0=>nx7192, A1=>nx7245); ix913 : xnor2 port map ( Y=>nx912, A0=>nx7285, A1=>nx910); ix7286 : nand02 port map ( Y=>nx7285, A0=>reg_44_q_c_0, A1=>nx6456); REG_44_reg_q_1 : dff port map ( Q=>reg_44_q_c_1, QB=>OPEN, D=>nx902, CLK =>CLK); ix903 : xor2 port map ( Y=>nx902, A0=>nx34, A1=>nx900); REG_17_reg_q_1 : dff port map ( Q=>reg_17_q_c_1, QB=>OPEN, D=>nx1036, CLK =>CLK); ix1037 : xnor2 port map ( Y=>nx1036, A0=>nx6955, A1=>nx1034); ix1035 : xnor2 port map ( Y=>nx1034, A0=>reg_27_q_c_1, A1=>nx6472); REG_8_reg_q_1 : dff port map ( Q=>reg_8_q_c_1, QB=>OPEN, D=>nx1008, CLK=> CLK); ix1009 : xor2 port map ( Y=>nx1008, A0=>nx7311, A1=>nx7313); ix7312 : nand02 port map ( Y=>nx7311, A0=>PRI_IN_5(0), A1=>reg_2_q_c_0); ix7314 : xnor2 port map ( Y=>nx7313, A0=>PRI_IN_5(1), A1=>reg_2_q_c_1); REG_2_reg_q_1 : dff port map ( Q=>reg_2_q_c_1, QB=>OPEN, D=>nx1126, CLK=> CLK); ix1127 : xnor2 port map ( Y=>nx1126, A0=>nx6877, A1=>nx1124); ix1141 : nor02 port map ( Y=>nx1140, A0=>C_MUX2_2_SEL, A1=>nx7009); ix7334 : mux21 port map ( Y=>nx7333, A0=>PRI_IN_5(1), A1=>reg_18_q_c_1, S0=>C_MUX2_1_SEL); REG_18_reg_q_1 : dff port map ( Q=>reg_18_q_c_1, QB=>OPEN, D=>nx882, CLK =>CLK); ix883 : xnor2 port map ( Y=>nx882, A0=>nx6869, A1=>nx880); ix881 : xnor2 port map ( Y=>nx880, A0=>PRI_IN_5(1), A1=>nx16388); REG_11_reg_q_1 : dff port map ( Q=>reg_11_q_c_1, QB=>OPEN, D=>nx1352, CLK =>CLK); ix1353 : xor2 port map ( Y=>nx1352, A0=>nx7353, A1=>nx7355); ix7354 : nand02 port map ( Y=>nx7353, A0=>PRI_IN_8(0), A1=>reg_45_q_c_0); ix7356 : xnor2 port map ( Y=>nx7355, A0=>PRI_IN_8(1), A1=>reg_45_q_c_1); REG_45_reg_q_1 : dff port map ( Q=>reg_45_q_c_1, QB=>OPEN, D=>nx1342, CLK =>CLK); ix1343 : xor2 port map ( Y=>nx1342, A0=>nx662, A1=>nx1340); ix1341 : xnor2 port map ( Y=>nx1340, A0=>PRI_IN_8(1), A1=>nx1000); ix7366 : mux21 port map ( Y=>nx7365, A0=>reg_7_q_c_1, A1=>reg_18_q_c_1, S0=>C_MUX2_9_SEL); REG_28_reg_q_2 : dff port map ( Q=>PRI_OUT_2_dup0_2, QB=>OPEN, D=>nx2418, CLK=>CLK); ix2419 : xor2 port map ( Y=>nx2418, A0=>nx7372, A1=>nx7389); ix7373 : mux21 port map ( Y=>nx7372, A0=>nx768, A1=>nx16395, S0=>nx7007); ix7390 : xnor2 port map ( Y=>nx7389, A0=>nx7391, A1=>nx14787); ix7392 : mux21 port map ( Y=>nx7391, A0=>reg_35_q_c_2, A1=>PRI_IN_0(2), S0=>C_MUX2_23_SEL); REG_35_reg_q_2 : dff port map ( Q=>reg_35_q_c_2, QB=>OPEN, D=>nx2400, CLK =>CLK); ix2401 : xnor2 port map ( Y=>nx2400, A0=>nx7395, A1=>nx2398); ix7396 : aoi22 port map ( Y=>nx7395, A0=>nx7397, A1=>nx16227, B0=>nx744, B1=>nx1398); ix7398 : inv02 port map ( Y=>nx7397, A=>PRI_IN_0(1)); ix2399 : xnor2 port map ( Y=>nx2398, A0=>PRI_IN_0(2), A1=>nx15856); REG_13_reg_q_2 : dff port map ( Q=>reg_13_q_c_2, QB=>OPEN, D=>nx2378, CLK =>CLK); ix2379 : xor2 port map ( Y=>nx2378, A0=>nx7407, A1=>nx7411); ix7408 : aoi32 port map ( Y=>nx7407, A0=>PRI_IN_4(0), A1=>reg_49_q_c_0, A2=>nx1376, B0=>reg_49_q_c_1, B1=>PRI_IN_4(1)); REG_49_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7423, D=>nx2368, CLK=>CLK ); ix2369 : xnor2 port map ( Y=>nx2368, A0=>nx7417, A1=>nx2366); ix7418 : aoi22 port map ( Y=>nx7417, A0=>nx7419, A1=>nx16229, B0=>nx700, B1=>nx1366); ix7420 : inv02 port map ( Y=>nx7419, A=>PRI_IN_5(1)); ix2367 : xnor2 port map ( Y=>nx2366, A0=>PRI_IN_5(2), A1=>nx15857); ix1779 : ao21 port map ( Y=>nx6481, A0=>nx16384, A1=>nx16397, B0=>nx1776 ); ix1803 : xnor2 port map ( Y=>nx1802, A0=>nx7435, A1=>nx1800); ix7436 : aoi22 port map ( Y=>nx7435, A0=>nx7067, A1=>PRI_IN_2(1), B0=> nx154, B1=>nx984); ix1801 : xnor2 port map ( Y=>nx1800, A0=>PRI_IN_2(2), A1=>reg_40_q_c_2); REG_40_reg_q_2 : dff port map ( Q=>reg_40_q_c_2, QB=>OPEN, D=>nx1792, CLK =>CLK); ix1793 : xor2 port map ( Y=>nx1792, A0=>nx7441, A1=>nx7445); ix7442 : aoi32 port map ( Y=>nx7441, A0=>PRI_IN_1(0), A1=>reg_41_q_c_0, A2=>nx974, B0=>reg_41_q_c_1, B1=>PRI_IN_1(1)); REG_41_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7464, D=>nx1782, CLK=>CLK ); ix1783 : xnor2 port map ( Y=>nx1782, A0=>nx7449, A1=>nx1780); ix7450 : aoi22 port map ( Y=>nx7449, A0=>nx7451, A1=>PRI_IN_6(1), B0=> nx122, B1=>nx964); ix1781 : xnor2 port map ( Y=>nx1780, A0=>PRI_IN_6(2), A1=>nx15731); REG_16_reg_q_2 : dff port map ( Q=>reg_16_q_c_2, QB=>OPEN, D=>nx1760, CLK =>CLK); ix1761 : xnor2 port map ( Y=>nx1760, A0=>nx7474, A1=>nx1758); ix1759 : xnor2 port map ( Y=>nx1758, A0=>reg_31_q_c_2, A1=>nx15731); REG_31_reg_q_2 : dff port map ( Q=>reg_31_q_c_2, QB=>OPEN, D=>nx1750, CLK =>CLK); ix1751 : xor2 port map ( Y=>nx1750, A0=>nx7479, A1=>nx7483); ix7480 : aoi32 port map ( Y=>nx7479, A0=>PRI_IN_9(0), A1=>reg_39_q_c_0, A2=>nx932, B0=>reg_39_q_c_1, B1=>PRI_IN_9(1)); REG_39_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7841, D=>nx1940, CLK=>CLK ); ix1941 : xor2 port map ( Y=>nx1940, A0=>nx7489, A1=>nx7491); ix7490 : mux21 port map ( Y=>nx7489, A0=>nx284, A1=>nx16395, S0=>nx7089); ix7492 : xnor2 port map ( Y=>nx7491, A0=>nx7493, A1=>nx7609); ix7494 : mux21 port map ( Y=>nx7493, A0=>PRI_IN_1(2), A1=>reg_24_q_c_2, S0=>C_MUX2_17_SEL); REG_24_reg_q_2 : dff port map ( Q=>reg_24_q_c_2, QB=>OPEN, D=>nx2144, CLK =>CLK); ix2145 : xnor2 port map ( Y=>nx2144, A0=>nx7497, A1=>nx2142); ix7498 : aoi22 port map ( Y=>nx7497, A0=>nx7499, A1=>PRI_IN_5(1), B0=> nx500, B1=>nx1226); ix7500 : mux21 port map ( Y=>nx7499, A0=>reg_2_q_c_1, A1=>reg_1_q_c_1, S0 =>C_MUX2_10_SEL); ix2143 : xnor2 port map ( Y=>nx2142, A0=>PRI_IN_5(2), A1=> PRI_OUT_0_2_EXMPLR); ix2141 : ao21 port map ( Y=>PRI_OUT_0_2_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_1_q_c_2, B0=>nx1998); REG_1_reg_q_2 : dff port map ( Q=>reg_1_q_c_2, QB=>OPEN, D=>nx2130, CLK=> CLK); ix2131 : xor2 port map ( Y=>nx2130, A0=>nx2006, A1=>nx2128); ix2007 : mux21 port map ( Y=>nx2006, A0=>nx7333, A1=>nx7101, S0=>nx1212); ix2129 : xnor2 port map ( Y=>nx2128, A0=>nx2118, A1=>nx7825); ix2119 : ao21 port map ( Y=>nx2118, A0=>C_MUX2_2_SEL, A1=>reg_33_q_c_2, B0=>nx2010); REG_33_reg_q_2 : dff port map ( Q=>reg_33_q_c_2, QB=>OPEN, D=>nx2108, CLK =>CLK); ix2109 : xor2 port map ( Y=>nx2108, A0=>nx7519, A1=>nx7523); ix7520 : aoi32 port map ( Y=>nx7519, A0=>PRI_IN_8(0), A1=>reg_46_q_c_0, A2=>nx1190, B0=>reg_46_q_c_1, B1=>PRI_IN_8(1)); REG_46_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7821, D=>nx2098, CLK=>CLK ); ix2099 : xor2 port map ( Y=>nx2098, A0=>nx7529, A1=>nx7541); ix7530 : aoi22 port map ( Y=>nx7529, A0=>nx6474, A1=>reg_50_q_c_1, B0=> nx440, B1=>nx1180); ix7542 : xnor2 port map ( Y=>nx7541, A0=>reg_50_q_c_2, A1=>nx6487); REG_50_reg_q_2 : dff port map ( Q=>reg_50_q_c_2, QB=>OPEN, D=>nx2088, CLK =>CLK); ix2089 : xor2 port map ( Y=>nx2088, A0=>nx7547, A1=>nx7551); ix7548 : mux21 port map ( Y=>nx7547, A0=>nx424, A1=>nx7549, S0=>nx7123); ix7550 : inv02 port map ( Y=>nx7549, A=>PRI_IN_9(1)); ix7552 : xnor2 port map ( Y=>nx7551, A0=>PRI_IN_9(2), A1=>nx7553); ix7554 : mux21 port map ( Y=>nx7553, A0=>reg_15_q_c_2, A1=>nx15866, S0=> C_MUX2_16_SEL); REG_15_reg_q_2 : dff port map ( Q=>reg_15_q_c_2, QB=>OPEN, D=>nx2070, CLK =>CLK); ix2071 : xnor2 port map ( Y=>nx2070, A0=>nx7559, A1=>nx2068); ix7560 : aoi22 port map ( Y=>nx7559, A0=>nx7326, A1=>PRI_IN_6(1), B0=> nx398, B1=>nx1152); ix2069 : xnor2 port map ( Y=>nx2068, A0=>PRI_IN_6(2), A1=>reg_36_q_c_2); REG_36_reg_q_2 : dff port map ( Q=>reg_36_q_c_2, QB=>OPEN, D=>nx2060, CLK =>CLK); ix2061 : xnor2 port map ( Y=>nx2060, A0=>nx7567, A1=>nx2058); ix7568 : aoi22 port map ( Y=>nx7567, A0=>nx7325, A1=>PRI_IN_2(1), B0=> nx380, B1=>nx1142); REG_37_reg_q_2 : dff port map ( Q=>reg_37_q_c_2, QB=>nx7815, D=>nx1978, CLK=>CLK); ix1979 : xor2 port map ( Y=>nx1978, A0=>nx7576, A1=>nx7579); ix7577 : aoi32 port map ( Y=>nx7576, A0=>PRI_IN_7(0), A1=> PRI_OUT_5_0_EXMPLR, A2=>nx1114, B0=>PRI_OUT_5_1_EXMPLR, B1=> PRI_IN_7(1)); ix7580 : xnor2 port map ( Y=>nx7579, A0=>PRI_IN_7(2), A1=> PRI_OUT_5_2_EXMPLR); REG_9_reg_q_2 : dff port map ( Q=>reg_9_q_c_2, QB=>OPEN, D=>nx1960, CLK=> CLK); ix1961 : xor2 port map ( Y=>nx1960, A0=>nx7587, A1=>nx7591); ix7588 : aoi32 port map ( Y=>nx7587, A0=>PRI_IN_5(0), A1=>reg_42_q_c_0, A2=>nx1096, B0=>reg_42_q_c_1, B1=>PRI_IN_5(1)); REG_42_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7605, D=>nx1950, CLK=>CLK ); ix1951 : xnor2 port map ( Y=>nx1950, A0=>nx7597, A1=>nx1948); ix7598 : aoi22 port map ( Y=>nx7597, A0=>nx7599, A1=>reg_39_q_c_1, B0=> nx300, B1=>nx1086); ix7600 : inv02 port map ( Y=>nx7599, A=>PRI_IN_1(1)); REG_12_reg_q_2 : dff port map ( Q=>reg_12_q_c_2, QB=>OPEN, D=>nx1924, CLK =>CLK); ix1925 : xor2 port map ( Y=>nx1924, A0=>nx7615, A1=>nx7619); ix7616 : aoi32 port map ( Y=>nx7615, A0=>PRI_OUT_9_0_EXMPLR, A1=> reg_47_q_c_0, A2=>nx1060, B0=>reg_47_q_c_1, B1=>PRI_OUT_9_1_EXMPLR); ix7620 : xnor2 port map ( Y=>nx7619, A0=>PRI_OUT_9_2_EXMPLR, A1=> reg_47_q_c_2); REG_23_reg_q_2 : dff port map ( Q=>PRI_OUT_9_2_EXMPLR, QB=>OPEN, D=> nx2488, CLK=>CLK); ix2489 : xor2 port map ( Y=>nx2488, A0=>nx1502, A1=>nx2486); ix1503 : oai22 port map ( Y=>nx1502, A0=>nx7175, A1=>nx7627, B0=>nx7179, B1=>nx7397); ix2487 : xnor2 port map ( Y=>nx2486, A0=>PRI_IN_0(2), A1=>nx7637); ix7638 : mux21 port map ( Y=>nx7637, A0=>reg_34_q_c_2, A1=>PRI_IN_0(2), S0=>C_MUX2_19_SEL); REG_34_reg_q_2 : dff port map ( Q=>reg_34_q_c_2, QB=>OPEN, D=>nx2470, CLK =>CLK); ix2471 : xor2 port map ( Y=>nx2470, A0=>nx1512, A1=>nx2468); ix1513 : mux21 port map ( Y=>nx1512, A0=>reg_38_q_c_1, A1=>nx6975, S0=> nx1468); ix7652 : mux21 port map ( Y=>nx7651, A0=>nx822, A1=>nx16395, S0=>nx7190); ix7654 : xnor2 port map ( Y=>nx7653, A0=>\[64647__XX0_XREP21\, A1=> nx14787); ix2443 : xnor2 port map ( Y=>nx2442, A0=>nx7661, A1=>nx2440); ix7662 : aoi22 port map ( Y=>nx7661, A0=>nx800, A1=>nx1440, B0=>nx996, B1 =>reg_43_q_c_1); ix2441 : xnor2 port map ( Y=>nx2440, A0=>reg_43_q_c_2, A1=>nx7678); REG_43_reg_q_2 : dff port map ( Q=>reg_43_q_c_2, QB=>OPEN, D=>nx2432, CLK =>CLK); ix2433 : xor2 port map ( Y=>nx2432, A0=>nx7669, A1=>nx7673); ix7670 : aoi22 port map ( Y=>nx7669, A0=>nx1428, A1=>reg_38_q_c_1, B0=> nx786, B1=>nx1430); ix2429 : ao21 port map ( Y=>nx2428, A0=>C_MUX2_7_SEL, A1=> PRI_OUT_2_2_EXMPLR, B0=>nx1540); ix1541 : nor02 port map ( Y=>nx1540, A0=>C_MUX2_7_SEL, A1=>nx7655); ix2221 : ao21 port map ( Y=>nx2220, A0=>C_MUX2_6_SEL, A1=>reg_14_q_c_2, B0=>nx2196); REG_14_reg_q_2 : dff port map ( Q=>reg_14_q_c_2, QB=>OPEN, D=>nx2210, CLK =>CLK); ix2211 : xnor2 port map ( Y=>nx2210, A0=>nx2206, A1=>nx7688); ix2207 : oai22 port map ( Y=>nx2206, A0=>nx6475, A1=>nx7265, B0=>nx6946, B1=>nx7219); ix7689 : xnor2 port map ( Y=>nx7688, A0=>reg_7_q_c_2, A1=>nx7637); REG_7_reg_q_2 : dff port map ( Q=>reg_7_q_c_2, QB=>OPEN, D=>nx2284, CLK=> CLK); ix2285 : xor2 port map ( Y=>nx2284, A0=>nx1588, A1=>nx2282); ix1589 : mux21 port map ( Y=>nx1588, A0=>nx7693, A1=>nx7225, S0=>nx1318); ix2283 : xnor2 port map ( Y=>nx2282, A0=>nx15744, A1=>nx6484); ix2281 : ao21 port map ( Y=>nx6484, A0=>C_MUX2_4_SEL, A1=>reg_25_q_c_2, B0=>nx2238); REG_25_reg_q_2 : dff port map ( Q=>reg_25_q_c_2, QB=>OPEN, D=>nx2270, CLK =>CLK); ix2271 : xor2 port map ( Y=>nx2270, A0=>nx7701, A1=>nx7703); ix7702 : mux21 port map ( Y=>nx7701, A0=>nx614, A1=>nx7241, S0=>nx7231); ix7704 : xnor2 port map ( Y=>nx7703, A0=>reg_29_q_c_2, A1=>nx7469); REG_29_reg_q_2 : dff port map ( Q=>reg_29_q_c_2, QB=>OPEN, D=>nx2260, CLK =>CLK); ix2261 : xor2 port map ( Y=>nx2260, A0=>nx7709, A1=>nx7713); ix2239 : nor02 port map ( Y=>nx2238, A0=>C_MUX2_4_SEL, A1=>nx7719); ix7720 : mux21 port map ( Y=>nx7719, A0=>nx6487, A1=>reg_26_q_c_2, S0=> C_MUX2_22_SEL); ix2159 : mux21 port map ( Y=>nx6487, A0=>nx7723, A1=>nx7493, S0=> C_MUX2_21_SEL); ix7724 : inv02 port map ( Y=>nx7723, A=>PRI_IN_8(2)); REG_26_reg_q_2 : dff port map ( Q=>reg_26_q_c_2, QB=>OPEN, D=>nx2224, CLK =>CLK); ix2225 : xor2 port map ( Y=>nx2224, A0=>nx2172, A1=>nx2222); ix2173 : mux21 port map ( Y=>nx2172, A0=>nx1274, A1=>nx6951, S0=>nx1276); ix2223 : xnor2 port map ( Y=>nx2222, A0=>reg_30_q_c_2, A1=>nx2220); REG_30_reg_q_2 : dff port map ( Q=>reg_30_q_c_2, QB=>OPEN, D=>nx2186, CLK =>CLK); ix2187 : xnor2 port map ( Y=>nx2186, A0=>nx7735, A1=>nx2184); ix7736 : aoi22 port map ( Y=>nx7735, A0=>nx7451, A1=>PRI_IN_4(1), B0=> nx530, B1=>nx1248); ix2185 : xnor2 port map ( Y=>nx2184, A0=>PRI_IN_4(2), A1=>nx15731); ix2197 : nor02 port map ( Y=>nx2196, A0=>C_MUX2_6_SEL, A1=>nx7469); REG_47_reg_q_2 : dff port map ( Q=>reg_47_q_c_2, QB=>OPEN, D=>nx1914, CLK =>CLK); ix1915 : xnor2 port map ( Y=>nx1914, A0=>nx7754, A1=>nx1912); ix7755 : aoi22 port map ( Y=>nx7754, A0=>nx7382, A1=>reg_27_q_c_1, B0=> nx248, B1=>nx1050); ix7760 : mux21 port map ( Y=>nx7759, A0=>nx7192, A1=>nx206, S0=>nx1024); ix1869 : xnor2 port map ( Y=>nx1868, A0=>\[64647__XX0_XREP21\, A1=>nx7719 ); ix1683 : xnor2 port map ( Y=>nx1682, A0=>nx7767, A1=>nx1680); ix7768 : aoi22 port map ( Y=>nx7767, A0=>nx50, A1=>nx910, B0=>nx16395, B1 =>reg_44_q_c_1); ix1681 : xnor2 port map ( Y=>nx1680, A0=>reg_44_q_c_2, A1=>nx14787); REG_44_reg_q_2 : dff port map ( Q=>reg_44_q_c_2, QB=>OPEN, D=>nx1672, CLK =>CLK); ix1673 : xnor2 port map ( Y=>nx1672, A0=>nx7775, A1=>nx1670); ix7776 : aoi22 port map ( Y=>nx7775, A0=>nx16399, A1=>PRI_IN_3(1), B0=> nx34, B1=>nx16226); ix1671 : xnor2 port map ( Y=>nx1670, A0=>PRI_IN_3(2), A1=>nx15859); REG_17_reg_q_2 : dff port map ( Q=>reg_17_q_c_2, QB=>OPEN, D=>nx1880, CLK =>CLK); ix1881 : xor2 port map ( Y=>nx1880, A0=>nx1856, A1=>nx1878); ix1857 : mux21 port map ( Y=>nx1856, A0=>reg_27_q_c_1, A1=>nx6955, S0=> nx1034); REG_8_reg_q_2 : dff port map ( Q=>reg_8_q_c_2, QB=>OPEN, D=>nx1832, CLK=> CLK); ix1833 : xor2 port map ( Y=>nx1832, A0=>nx7799, A1=>nx7803); ix7800 : aoi32 port map ( Y=>nx7799, A0=>PRI_IN_5(0), A1=>reg_2_q_c_0, A2 =>nx1006, B0=>reg_2_q_c_1, B1=>PRI_IN_5(1)); REG_2_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7811, D=>nx1988, CLK=>CLK); ix1989 : xnor2 port map ( Y=>nx1988, A0=>nx7807, A1=>nx1986); ix7808 : aoi22 port map ( Y=>nx7807, A0=>nx7397, A1=>reg_37_q_c_1, B0=> nx354, B1=>nx1124); ix2011 : nor02 port map ( Y=>nx2010, A0=>C_MUX2_2_SEL, A1=>nx7391); ix7826 : mux21 port map ( Y=>nx7825, A0=>PRI_IN_5(2), A1=>reg_18_q_c_2, S0=>C_MUX2_1_SEL); REG_18_reg_q_2 : dff port map ( Q=>reg_18_q_c_2, QB=>OPEN, D=>nx1572, CLK =>CLK); ix1573 : xnor2 port map ( Y=>nx1572, A0=>nx7831, A1=>nx1570); ix7832 : aoi22 port map ( Y=>nx7831, A0=>nx7419, A1=>nx16388, B0=>nx6, B1 =>nx880); ix1571 : xnor2 port map ( Y=>nx1570, A0=>PRI_IN_5(2), A1=> PRI_OUT_2_2_EXMPLR); ix1999 : nor02 port map ( Y=>nx1998, A0=>C_MUX2_10_SEL, A1=>nx7811); REG_11_reg_q_2 : dff port map ( Q=>reg_11_q_c_2, QB=>OPEN, D=>nx2334, CLK =>CLK); ix2335 : xor2 port map ( Y=>nx2334, A0=>nx7847, A1=>nx7851); ix7848 : aoi32 port map ( Y=>nx7847, A0=>PRI_IN_8(0), A1=>reg_45_q_c_0, A2=>nx1350, B0=>reg_45_q_c_1, B1=>PRI_IN_8(1)); REG_45_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7861, D=>nx2324, CLK=>CLK ); ix2325 : xnor2 port map ( Y=>nx2324, A0=>nx7857, A1=>nx2322); ix7858 : aoi22 port map ( Y=>nx7857, A0=>nx7382, A1=>PRI_IN_8(1), B0=> nx662, B1=>nx1340); ix2323 : xnor2 port map ( Y=>nx2322, A0=>PRI_IN_8(2), A1=>nx15738); ix7866 : mux21 port map ( Y=>nx7865, A0=>reg_7_q_c_2, A1=>reg_18_q_c_2, S0=>C_MUX2_9_SEL); REG_28_reg_q_3 : dff port map ( Q=>PRI_OUT_2_3_EXMPLR, QB=>OPEN, D=> nx3418, CLK=>CLK); ix3419 : xnor2 port map ( Y=>nx3418, A0=>nx2548, A1=>nx7874); ix2549 : mux21 port map ( Y=>nx2548, A0=>nx7372, A1=>nx14789, S0=>nx7389 ); ix7877 : mux21 port map ( Y=>nx7876, A0=>reg_35_q_c_3, A1=>PRI_IN_0(3), S0=>C_MUX2_23_SEL); REG_35_reg_q_3 : dff port map ( Q=>reg_35_q_c_3, QB=>OPEN, D=>nx3400, CLK =>CLK); ix3401 : xor2 port map ( Y=>nx3400, A0=>nx2558, A1=>nx3398); ix2559 : mux21 port map ( Y=>nx2558, A0=>PRI_IN_0(2), A1=>nx7395, S0=> nx2398); REG_13_reg_q_3 : dff port map ( Q=>reg_13_q_c_3, QB=>OPEN, D=>nx3378, CLK =>CLK); ix3379 : xnor2 port map ( Y=>nx3378, A0=>nx3354, A1=>nx7891); ix3355 : oai22 port map ( Y=>nx3354, A0=>nx7407, A1=>nx7411, B0=>nx7423, B1=>nx7889); ix7890 : inv02 port map ( Y=>nx7889, A=>PRI_IN_4(2)); ix7892 : xnor2 port map ( Y=>nx7891, A0=>PRI_IN_4(3), A1=>reg_49_q_c_3); REG_49_reg_q_3 : dff port map ( Q=>reg_49_q_c_3, QB=>OPEN, D=>nx3368, CLK =>CLK); ix3369 : xor2 port map ( Y=>nx3368, A0=>nx3364, A1=>nx3366); ix3365 : mux21 port map ( Y=>nx3364, A0=>PRI_IN_5(2), A1=>nx7417, S0=> nx2366); ix3347 : nor02 port map ( Y=>nx3346, A0=>nx14763, A1=>nx7905); ix2803 : xor2 port map ( Y=>nx2802, A0=>nx2706, A1=>nx2800); ix2707 : mux21 port map ( Y=>nx2706, A0=>reg_40_q_c_2, A1=>nx7435, S0=> nx1800); REG_40_reg_q_3 : dff port map ( Q=>OPEN, QB=>nx7931, D=>nx2792, CLK=>CLK ); ix2793 : xnor2 port map ( Y=>nx2792, A0=>nx2714, A1=>nx7923); ix2715 : oai22 port map ( Y=>nx2714, A0=>nx7441, A1=>nx7445, B0=>nx7464, B1=>nx7920); ix7921 : inv02 port map ( Y=>nx7920, A=>PRI_IN_1(2)); ix7924 : xnor2 port map ( Y=>nx7923, A0=>PRI_IN_1(3), A1=>reg_41_q_c_3); REG_41_reg_q_3 : dff port map ( Q=>reg_41_q_c_3, QB=>OPEN, D=>nx2782, CLK =>CLK); ix2783 : xor2 port map ( Y=>nx2782, A0=>nx2724, A1=>nx2780); ix2725 : mux21 port map ( Y=>nx2724, A0=>nx6481, A1=>nx7449, S0=>nx1780); ix2781 : xnor2 port map ( Y=>nx2780, A0=>PRI_IN_6(3), A1=>nx15730); REG_16_reg_q_3 : dff port map ( Q=>reg_16_q_c_3, QB=>OPEN, D=>nx2760, CLK =>CLK); ix2761 : xor2 port map ( Y=>nx2760, A0=>nx2738, A1=>nx2758); ix2739 : mux21 port map ( Y=>nx2738, A0=>reg_31_q_c_2, A1=>nx7474, S0=> nx1758); REG_31_reg_q_3 : dff port map ( Q=>OPEN, QB=>nx8280, D=>nx2750, CLK=>CLK ); ix2751 : xnor2 port map ( Y=>nx2750, A0=>nx2746, A1=>nx7949); ix2747 : oai22 port map ( Y=>nx2746, A0=>nx7479, A1=>nx7483, B0=>nx7841, B1=>nx7947); ix7948 : inv02 port map ( Y=>nx7947, A=>PRI_IN_9(2)); ix7950 : xnor2 port map ( Y=>nx7949, A0=>PRI_IN_9(3), A1=>reg_39_q_c_3); REG_39_reg_q_3 : dff port map ( Q=>reg_39_q_c_3, QB=>OPEN, D=>nx2940, CLK =>CLK); ix2941 : xnor2 port map ( Y=>nx2940, A0=>nx2650, A1=>nx7955); ix2651 : mux21 port map ( Y=>nx2650, A0=>nx7489, A1=>nx14789, S0=>nx7491 ); ix7958 : mux21 port map ( Y=>nx7957, A0=>PRI_IN_1(3), A1=>reg_24_q_c_3, S0=>C_MUX2_17_SEL); REG_24_reg_q_3 : dff port map ( Q=>reg_24_q_c_3, QB=>OPEN, D=>nx3144, CLK =>CLK); ix3145 : xor2 port map ( Y=>nx3144, A0=>nx2606, A1=>nx3142); ix2607 : oai22 port map ( Y=>nx2606, A0=>nx7497, A1=>nx7964, B0=> PRI_OUT_0_2_EXMPLR, B1=>nx7966); ix7967 : inv02 port map ( Y=>nx7966, A=>PRI_IN_5(2)); REG_1_reg_q_3 : dff port map ( Q=>reg_1_q_c_3, QB=>OPEN, D=>nx3130, CLK=> CLK); ix3131 : xnor2 port map ( Y=>nx3130, A0=>nx7973, A1=>nx3128); ix7974 : mux21 port map ( Y=>nx7973, A0=>nx2126, A1=>nx2006, S0=>nx2128); ix3129 : xnor2 port map ( Y=>nx3128, A0=>nx3118, A1=>nx8266); ix3119 : ao21 port map ( Y=>nx3118, A0=>C_MUX2_2_SEL, A1=>reg_33_q_c_3, B0=>nx3010); REG_33_reg_q_3 : dff port map ( Q=>reg_33_q_c_3, QB=>OPEN, D=>nx3108, CLK =>CLK); ix3109 : xnor2 port map ( Y=>nx3108, A0=>nx3018, A1=>nx7985); ix3019 : oai22 port map ( Y=>nx3018, A0=>nx7519, A1=>nx7523, B0=>nx7821, B1=>nx7723); ix7986 : xnor2 port map ( Y=>nx7985, A0=>PRI_IN_8(3), A1=>reg_46_q_c_3); REG_46_reg_q_3 : dff port map ( Q=>reg_46_q_c_3, QB=>OPEN, D=>nx3098, CLK =>CLK); ix3099 : xnor2 port map ( Y=>nx3098, A0=>nx3026, A1=>nx7993); ix3027 : ao21 port map ( Y=>nx3026, A0=>nx6487, A1=>reg_50_q_c_2, B0=> nx3024); ix3025 : nor02 port map ( Y=>nx3024, A0=>nx7529, A1=>nx7541); ix7994 : xnor2 port map ( Y=>nx7993, A0=>reg_50_q_c_3, A1=>nx6497); REG_50_reg_q_3 : dff port map ( Q=>reg_50_q_c_3, QB=>OPEN, D=>nx3088, CLK =>CLK); ix3089 : xnor2 port map ( Y=>nx3088, A0=>nx3036, A1=>nx7999); ix3037 : mux21 port map ( Y=>nx3036, A0=>nx7547, A1=>PRI_IN_9(2), S0=> nx7551); ix8000 : xnor2 port map ( Y=>nx7999, A0=>PRI_IN_9(3), A1=>nx8001); ix8002 : mux21 port map ( Y=>nx8001, A0=>reg_15_q_c_3, A1=>nx14675, S0=> C_MUX2_16_SEL); REG_15_reg_q_3 : dff port map ( Q=>reg_15_q_c_3, QB=>OPEN, D=>nx3070, CLK =>CLK); ix3071 : xor2 port map ( Y=>nx3070, A0=>nx3046, A1=>nx3068); ix3047 : mux21 port map ( Y=>nx3046, A0=>reg_36_q_c_2, A1=>nx7559, S0=> nx2068); REG_36_reg_q_3 : dff port map ( Q=>OPEN, QB=>nx8259, D=>nx3060, CLK=>CLK ); ix3061 : xor2 port map ( Y=>nx3060, A0=>nx3056, A1=>nx3058); ix3057 : mux21 port map ( Y=>nx3056, A0=>reg_37_q_c_2, A1=>nx7567, S0=> nx2058); REG_37_reg_q_3 : dff port map ( Q=>reg_37_q_c_3, QB=>nx8257, D=>nx2978, CLK=>CLK); ix2979 : xnor2 port map ( Y=>nx2978, A0=>nx15981, A1=>nx8025); REG_9_reg_q_3 : dff port map ( Q=>reg_9_q_c_3, QB=>OPEN, D=>nx2960, CLK=> CLK); ix2961 : xnor2 port map ( Y=>nx2960, A0=>nx2632, A1=>nx8035); ix2633 : oai22 port map ( Y=>nx2632, A0=>nx7587, A1=>nx7591, B0=>nx7605, B1=>nx7966); ix8036 : xnor2 port map ( Y=>nx8035, A0=>PRI_IN_5(3), A1=>reg_42_q_c_3); REG_42_reg_q_3 : dff port map ( Q=>reg_42_q_c_3, QB=>OPEN, D=>nx2950, CLK =>CLK); ix2951 : xor2 port map ( Y=>nx2950, A0=>nx2642, A1=>nx2948); ix2643 : oai22 port map ( Y=>nx2642, A0=>nx7597, A1=>nx8043, B0=> PRI_IN_1(2), B1=>nx7841); ix2949 : xnor2 port map ( Y=>nx2948, A0=>PRI_IN_1(3), A1=>reg_39_q_c_3); REG_12_reg_q_3 : dff port map ( Q=>reg_12_q_c_3, QB=>OPEN, D=>nx2924, CLK =>CLK); ix2925 : xnor2 port map ( Y=>nx2924, A0=>nx2900, A1=>nx8061); ix2901 : ao21 port map ( Y=>nx2900, A0=>reg_47_q_c_2, A1=> PRI_OUT_9_2_EXMPLR, B0=>nx2898); ix2899 : nor02 port map ( Y=>nx2898, A0=>nx7615, A1=>nx7619); ix8062 : xnor2 port map ( Y=>nx8061, A0=>PRI_OUT_9_3_EXMPLR, A1=> reg_47_q_c_3); REG_23_reg_q_3 : dff port map ( Q=>PRI_OUT_9_3_EXMPLR, QB=>OPEN, D=> nx3488, CLK=>CLK); ix3489 : xnor2 port map ( Y=>nx3488, A0=>nx8065, A1=>nx3486); ix8066 : aoi22 port map ( Y=>nx8065, A0=>nx6489, A1=>PRI_IN_0(2), B0=> nx1502, B1=>nx2486); ix3487 : xnor2 port map ( Y=>nx3486, A0=>PRI_IN_0(3), A1=>nx8073); ix8074 : mux21 port map ( Y=>nx8073, A0=>reg_34_q_c_3, A1=>PRI_IN_0(3), S0=>C_MUX2_19_SEL); REG_34_reg_q_3 : dff port map ( Q=>reg_34_q_c_3, QB=>OPEN, D=>nx3470, CLK =>CLK); ix3471 : xnor2 port map ( Y=>nx3470, A0=>nx8077, A1=>nx3468); ix8078 : mux21 port map ( Y=>nx8077, A0=>nx15743, A1=>nx1512, S0=>nx2468 ); ix3469 : xnor2 port map ( Y=>nx3468, A0=>reg_38_q_c_3, A1=>nx3220); REG_38_reg_q_3 : dff port map ( Q=>reg_38_q_c_3, QB=>OPEN, D=>nx3460, CLK =>CLK); ix3461 : xnor2 port map ( Y=>nx3460, A0=>nx2520, A1=>nx8085); ix2521 : oai22 port map ( Y=>nx2520, A0=>nx7651, A1=>nx7653, B0=>nx14789, B1=>nx15744); ix3443 : xor2 port map ( Y=>nx3442, A0=>nx2528, A1=>nx3440); ix2529 : mux21 port map ( Y=>nx2528, A0=>nx7678, A1=>nx7661, S0=>nx2440); ix3441 : xnor2 port map ( Y=>nx3440, A0=>reg_43_q_c_3, A1=>nx8109); REG_43_reg_q_3 : dff port map ( Q=>reg_43_q_c_3, QB=>OPEN, D=>nx3432, CLK =>CLK); ix3433 : xnor2 port map ( Y=>nx3432, A0=>nx2536, A1=>nx8102); ix2537 : oai22 port map ( Y=>nx2536, A0=>nx7669, A1=>nx7673, B0=>nx8097, B1=>nx15743); ix8103 : xnor2 port map ( Y=>nx8102, A0=>reg_38_q_c_3, A1=>nx3428); ix3429 : ao21 port map ( Y=>nx3428, A0=>C_MUX2_7_SEL, A1=>nx14701, B0=> nx2540); ix2541 : nor02 port map ( Y=>nx2540, A0=>C_MUX2_7_SEL, A1=> nx8087_XX0_XREP123); ix3221 : ao21 port map ( Y=>nx3220, A0=>C_MUX2_6_SEL, A1=>reg_14_q_c_3, B0=>nx3196); REG_14_reg_q_3 : dff port map ( Q=>reg_14_q_c_3, QB=>OPEN, D=>nx3210, CLK =>CLK); ix3211 : xor2 port map ( Y=>nx3210, A0=>nx8117, A1=>nx8121); ix8118 : aoi22 port map ( Y=>nx8117, A0=>nx7637, A1=>reg_7_q_c_2, B0=> nx2206, B1=>nx2208); REG_7_reg_q_3 : dff port map ( Q=>reg_7_q_c_3, QB=>nx8179, D=>nx3284, CLK =>CLK); ix3285 : xnor2 port map ( Y=>nx3284, A0=>nx8127, A1=>nx3282); ix8128 : mux21 port map ( Y=>nx8127, A0=>nx6484, A1=>nx1588, S0=>nx2282); ix3283 : xnor2 port map ( Y=>nx3282, A0=>nx8087, A1=>nx6495); ix3281 : ao21 port map ( Y=>nx6495, A0=>C_MUX2_4_SEL, A1=>reg_25_q_c_3, B0=>nx3238); REG_25_reg_q_3 : dff port map ( Q=>reg_25_q_c_3, QB=>OPEN, D=>nx3270, CLK =>CLK); ix3271 : xnor2 port map ( Y=>nx3270, A0=>nx3248, A1=>nx8136); ix3249 : mux21 port map ( Y=>nx3248, A0=>nx7701, A1=>reg_29_q_c_2, S0=> nx7703); REG_29_reg_q_3 : dff port map ( Q=>OPEN, QB=>nx8147, D=>nx3260, CLK=>CLK ); ix3261 : xnor2 port map ( Y=>nx3260, A0=>nx3256, A1=>nx8145); ix3239 : nor02 port map ( Y=>nx3238, A0=>C_MUX2_4_SEL, A1=>nx8150); ix8151 : mux21 port map ( Y=>nx8150, A0=>nx6497, A1=>reg_26_q_c_3, S0=> C_MUX2_22_SEL); ix3159 : mux21 port map ( Y=>nx6497, A0=>nx8153, A1=>nx7957, S0=> C_MUX2_21_SEL); ix8154 : inv02 port map ( Y=>nx8153, A=>PRI_IN_8(3)); REG_26_reg_q_3 : dff port map ( Q=>reg_26_q_c_3, QB=>OPEN, D=>nx3224, CLK =>CLK); ix3225 : xnor2 port map ( Y=>nx3224, A0=>nx8159, A1=>nx3222); ix8160 : aoi22 port map ( Y=>nx8159, A0=>nx8161, A1=>reg_30_q_c_2, B0=> nx2172, B1=>nx2222); ix3223 : xnor2 port map ( Y=>nx3222, A0=>reg_30_q_c_3, A1=>nx3220); REG_30_reg_q_3 : dff port map ( Q=>reg_30_q_c_3, QB=>OPEN, D=>nx3186, CLK =>CLK); ix3187 : xor2 port map ( Y=>nx3186, A0=>nx3182, A1=>nx3184); ix3183 : mux21 port map ( Y=>nx3182, A0=>nx6481, A1=>nx7735, S0=>nx2184); ix3185 : xnor2 port map ( Y=>nx3184, A0=>PRI_IN_4(3), A1=>nx15730); ix3197 : nor02 port map ( Y=>nx3196, A0=>C_MUX2_6_SEL, A1=>nx7935); REG_47_reg_q_3 : dff port map ( Q=>reg_47_q_c_3, QB=>OPEN, D=>nx2914, CLK =>CLK); ix2915 : xor2 port map ( Y=>nx2914, A0=>nx2910, A1=>nx2912); ix2911 : oai22 port map ( Y=>nx2910, A0=>nx7754, A1=>nx8190, B0=>nx15738, B1=>nx15745); ix2913 : xnor2 port map ( Y=>nx2912, A0=>reg_27_q_c_3, A1=>nx2816); REG_27_reg_q_3 : dff port map ( Q=>reg_27_q_c_3, QB=>OPEN, D=>nx2870, CLK =>CLK); ix2871 : xor2 port map ( Y=>nx2870, A0=>nx2866, A1=>nx2868); ix2867 : mux21 port map ( Y=>nx2866, A0=>nx6476, A1=>nx7759, S0=>nx1868); ix2869 : xnor2 port map ( Y=>nx2868, A0=>nx8087, A1=>nx8150); ix2683 : xor2 port map ( Y=>nx2682, A0=>nx2658, A1=>nx2680); ix2659 : mux21 port map ( Y=>nx2658, A0=>nx14789, A1=>nx7767, S0=>nx1680 ); REG_44_reg_q_3 : dff port map ( Q=>reg_44_q_c_3, QB=>OPEN, D=>nx2672, CLK =>CLK); ix2673 : xor2 port map ( Y=>nx2672, A0=>nx2668, A1=>nx2670); ix2669 : mux21 port map ( Y=>nx2668, A0=>nx15860, A1=>nx7775, S0=>nx1670 ); ix2891 : ao21 port map ( Y=>nx2890, A0=>C_MUX2_24_SEL, A1=>reg_17_q_c_3, B0=>nx2846); REG_17_reg_q_3 : dff port map ( Q=>reg_17_q_c_3, QB=>OPEN, D=>nx2880, CLK =>CLK); ix2881 : xnor2 port map ( Y=>nx2880, A0=>nx8229, A1=>nx2878); ix8230 : mux21 port map ( Y=>nx8229, A0=>nx15745, A1=>nx1856, S0=>nx1878 ); ix2879 : xnor2 port map ( Y=>nx2878, A0=>reg_27_q_c_3, A1=>nx6495); REG_8_reg_q_3 : dff port map ( Q=>reg_8_q_c_3, QB=>OPEN, D=>nx2832, CLK=> CLK); ix2833 : xnor2 port map ( Y=>nx2832, A0=>nx2828, A1=>nx8241); ix2829 : oai22 port map ( Y=>nx2828, A0=>nx7799, A1=>nx7803, B0=>nx7811, B1=>nx7966); ix8242 : xnor2 port map ( Y=>nx8241, A0=>PRI_IN_5(3), A1=>reg_2_q_c_3); REG_2_reg_q_3 : dff port map ( Q=>reg_2_q_c_3, QB=>OPEN, D=>nx2988, CLK=> CLK); ix2989 : xor2 port map ( Y=>nx2988, A0=>nx2616, A1=>nx2986); ix2617 : oai22 port map ( Y=>nx2616, A0=>nx7807, A1=>nx8249, B0=> PRI_IN_0(2), B1=>nx7815); ix3011 : nor02 port map ( Y=>nx3010, A0=>C_MUX2_2_SEL, A1=>nx7876); ix8267 : mux21 port map ( Y=>nx8266, A0=>PRI_IN_5(3), A1=>reg_18_q_c_3, S0=>C_MUX2_1_SEL); REG_18_reg_q_3 : dff port map ( Q=>reg_18_q_c_3, QB=>OPEN, D=>nx2572, CLK =>CLK); ix2573 : xor2 port map ( Y=>nx2572, A0=>nx2568, A1=>nx2570); ix2569 : mux21 port map ( Y=>nx2568, A0=>PRI_IN_5(2), A1=>nx7831, S0=> nx1570); ix2571 : xnor2 port map ( Y=>nx2570, A0=>PRI_IN_5(3), A1=>nx14701); REG_11_reg_q_3 : dff port map ( Q=>reg_11_q_c_3, QB=>OPEN, D=>nx3334, CLK =>CLK); ix3335 : xnor2 port map ( Y=>nx3334, A0=>nx3310, A1=>nx8287); ix3311 : oai22 port map ( Y=>nx3310, A0=>nx7847, A1=>nx7851, B0=>nx7861, B1=>nx7723); ix8288 : xnor2 port map ( Y=>nx8287, A0=>PRI_IN_8(3), A1=>reg_45_q_c_3); REG_45_reg_q_3 : dff port map ( Q=>reg_45_q_c_3, QB=>OPEN, D=>nx3324, CLK =>CLK); ix3325 : xor2 port map ( Y=>nx3324, A0=>nx3320, A1=>nx3322); ix3321 : mux21 port map ( Y=>nx3320, A0=>nx15738, A1=>nx7857, S0=>nx2322 ); ix3323 : xnor2 port map ( Y=>nx3322, A0=>PRI_IN_8(3), A1=>nx2816); ix8298 : mux21 port map ( Y=>nx8297, A0=>reg_7_q_c_3, A1=>reg_18_q_c_3, S0=>C_MUX2_9_SEL); REG_28_reg_q_4 : dff port map ( Q=>PRI_OUT_2_4_EXMPLR, QB=>OPEN, D=> nx4418, CLK=>CLK); ix4419 : xor2 port map ( Y=>nx4418, A0=>nx8303, A1=>nx8321); ix8304 : mux21 port map ( Y=>nx8303, A0=>nx2548, A1=>nx14835, S0=>nx7874 ); ix8322 : xnor2 port map ( Y=>nx8321, A0=>nx8323, A1=>nx14793); ix8324 : mux21 port map ( Y=>nx8323, A0=>reg_35_q_c_4, A1=>PRI_IN_0(4), S0=>C_MUX2_23_SEL); REG_35_reg_q_4 : dff port map ( Q=>reg_35_q_c_4, QB=>OPEN, D=>nx4400, CLK =>CLK); ix4401 : xnor2 port map ( Y=>nx4400, A0=>nx8328, A1=>nx4398); ix8329 : aoi22 port map ( Y=>nx8328, A0=>nx8330, A1=>nx14677, B0=>nx2558, B1=>nx3398); ix8331 : inv02 port map ( Y=>nx8330, A=>PRI_IN_0(3)); ix4399 : xnor2 port map ( Y=>nx4398, A0=>PRI_IN_0(4), A1=> PRI_OUT_3_4_EXMPLR); REG_13_reg_q_4 : dff port map ( Q=>reg_13_q_c_4, QB=>OPEN, D=>nx4378, CLK =>CLK); ix4379 : xor2 port map ( Y=>nx4378, A0=>nx8339, A1=>nx8343); ix8340 : aoi22 port map ( Y=>nx8339, A0=>reg_49_q_c_3, A1=>PRI_IN_4(3), B0=>nx3354, B1=>nx3376); REG_49_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8355, D=>nx4368, CLK=>CLK ); ix4369 : xnor2 port map ( Y=>nx4368, A0=>nx8349, A1=>nx4366); ix8350 : aoi22 port map ( Y=>nx8349, A0=>nx8351, A1=>nx14677, B0=>nx3364, B1=>nx3366); ix8352 : inv02 port map ( Y=>nx8351, A=>PRI_IN_5(3)); ix4367 : xnor2 port map ( Y=>nx4366, A0=>PRI_IN_5(4), A1=> PRI_OUT_3_4_EXMPLR); ix4347 : nor02 port map ( Y=>nx4346, A0=>nx14763, A1=>nx8358); ix8360 : mux21 port map ( Y=>nx8358, A0=>nx14709, A1=>reg_11_q_c_4, S0=> C_MUX2_18_SEL); ix3779 : ao21 port map ( Y=>nx6505, A0=>nx16384, A1=>reg_6_q_c_4, B0=> nx3776); REG_6_reg_q_4 : dff port map ( Q=>reg_6_q_c_4, QB=>OPEN, D=>nx3802, CLK=> CLK); ix3803 : xnor2 port map ( Y=>nx3802, A0=>nx8367, A1=>nx3800); ix8368 : aoi22 port map ( Y=>nx8367, A0=>nx7931, A1=>PRI_IN_2(3), B0=> nx2706, B1=>nx2800); ix3801 : xnor2 port map ( Y=>nx3800, A0=>PRI_IN_2(4), A1=>reg_40_q_c_4); REG_40_reg_q_4 : dff port map ( Q=>reg_40_q_c_4, QB=>OPEN, D=>nx3792, CLK =>CLK); ix3793 : xor2 port map ( Y=>nx3792, A0=>nx8373, A1=>nx8377); ix8374 : aoi22 port map ( Y=>nx8373, A0=>reg_41_q_c_3, A1=>PRI_IN_1(3), B0=>nx2714, B1=>nx2790); REG_41_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8389, D=>nx3782, CLK=>CLK ); ix3783 : xnor2 port map ( Y=>nx3782, A0=>nx8382, A1=>nx3780); ix8383 : aoi22 port map ( Y=>nx8382, A0=>nx8384, A1=>PRI_IN_6(3), B0=> nx2724, B1=>nx2780); ix3781 : xnor2 port map ( Y=>nx3780, A0=>PRI_IN_6(4), A1=>nx14709); ix3777 : nor02 port map ( Y=>nx3776, A0=>nx16384, A1=>nx8395); ix8396 : mux21 port map ( Y=>nx8395, A0=>reg_16_q_c_4, A1=>PRI_IN_2(4), S0=>C_MUX2_25_SEL); REG_16_reg_q_4 : dff port map ( Q=>reg_16_q_c_4, QB=>OPEN, D=>nx3760, CLK =>CLK); ix3761 : xnor2 port map ( Y=>nx3760, A0=>nx8401, A1=>nx3758); ix8402 : mux21 port map ( Y=>nx8401, A0=>nx8280, A1=>nx2738, S0=>nx2758); ix3759 : xnor2 port map ( Y=>nx3758, A0=>reg_31_q_c_4, A1=>nx14709); REG_31_reg_q_4 : dff port map ( Q=>reg_31_q_c_4, QB=>OPEN, D=>nx3750, CLK =>CLK); ix3751 : xor2 port map ( Y=>nx3750, A0=>nx8406, A1=>nx8409); ix8407 : aoi22 port map ( Y=>nx8406, A0=>reg_39_q_c_3, A1=>PRI_IN_9(3), B0=>nx2746, B1=>nx2748); REG_39_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8751, D=>nx3940, CLK=>CLK ); ix3941 : xor2 port map ( Y=>nx3940, A0=>nx8415, A1=>nx8417); ix8416 : mux21 port map ( Y=>nx8415, A0=>nx2650, A1=>nx14835, S0=>nx7955 ); ix8418 : xnor2 port map ( Y=>nx8417, A0=>nx8419, A1=>nx14793_XX0_XREP41); ix8420 : mux21 port map ( Y=>nx8419, A0=>PRI_IN_1(4), A1=>reg_24_q_c_4, S0=>C_MUX2_17_SEL); REG_24_reg_q_4 : dff port map ( Q=>reg_24_q_c_4, QB=>OPEN, D=>nx4144, CLK =>CLK); ix4145 : xnor2 port map ( Y=>nx4144, A0=>nx8423, A1=>nx4142); ix8424 : aoi22 port map ( Y=>nx8423, A0=>nx8425, A1=>PRI_IN_5(3), B0=> nx2606, B1=>nx3142); ix8426 : mux21 port map ( Y=>nx8425, A0=>reg_2_q_c_3, A1=>reg_1_q_c_3, S0 =>C_MUX2_10_SEL); ix4143 : xnor2 port map ( Y=>nx4142, A0=>PRI_IN_5(4), A1=> PRI_OUT_0_4_EXMPLR); ix4141 : ao21 port map ( Y=>PRI_OUT_0_4_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_1_q_c_4, B0=>nx3998); REG_1_reg_q_4 : dff port map ( Q=>reg_1_q_c_4, QB=>OPEN, D=>nx4130, CLK=> CLK); ix4131 : xor2 port map ( Y=>nx4130, A0=>nx4006, A1=>nx4128); ix4007 : mux21 port map ( Y=>nx4006, A0=>nx8266, A1=>nx7973, S0=>nx3128); ix4129 : xnor2 port map ( Y=>nx4128, A0=>nx4118, A1=>nx8735); ix4119 : ao21 port map ( Y=>nx4118, A0=>C_MUX2_2_SEL, A1=>reg_33_q_c_4, B0=>nx4010); REG_33_reg_q_4 : dff port map ( Q=>reg_33_q_c_4, QB=>OPEN, D=>nx4108, CLK =>CLK); ix4109 : xor2 port map ( Y=>nx4108, A0=>nx8441, A1=>nx8445); ix8442 : aoi22 port map ( Y=>nx8441, A0=>reg_46_q_c_3, A1=>PRI_IN_8(3), B0=>nx3018, B1=>nx3106); REG_46_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8731, D=>nx4098, CLK=>CLK ); ix4099 : xor2 port map ( Y=>nx4098, A0=>nx8449, A1=>nx8457); ix8450 : aoi22 port map ( Y=>nx8449, A0=>nx6497, A1=>reg_50_q_c_3, B0=> nx3026, B1=>nx3096); ix8458 : xnor2 port map ( Y=>nx8457, A0=>reg_50_q_c_4, A1=>nx6511); REG_50_reg_q_4 : dff port map ( Q=>reg_50_q_c_4, QB=>OPEN, D=>nx4088, CLK =>CLK); ix4089 : xor2 port map ( Y=>nx4088, A0=>nx8463, A1=>nx8467); ix8464 : mux21 port map ( Y=>nx8463, A0=>nx3036, A1=>nx8465, S0=>nx7999); ix8466 : inv02 port map ( Y=>nx8465, A=>PRI_IN_9(3)); ix8468 : xnor2 port map ( Y=>nx8467, A0=>PRI_IN_9(4), A1=>nx8469); ix8470 : mux21 port map ( Y=>nx8469, A0=>reg_15_q_c_4, A1=> nx14671_XX0_XREP131, S0=>C_MUX2_16_SEL); REG_15_reg_q_4 : dff port map ( Q=>reg_15_q_c_4, QB=>OPEN, D=>nx4070, CLK =>CLK); ix4071 : xnor2 port map ( Y=>nx4070, A0=>nx8474, A1=>nx4068); ix8475 : aoi22 port map ( Y=>nx8474, A0=>nx8259, A1=>PRI_IN_6(3), B0=> nx3046, B1=>nx3068); ix4069 : xnor2 port map ( Y=>nx4068, A0=>PRI_IN_6(4), A1=>reg_36_q_c_4); REG_36_reg_q_4 : dff port map ( Q=>reg_36_q_c_4, QB=>OPEN, D=>nx4060, CLK =>CLK); ix4061 : xnor2 port map ( Y=>nx4060, A0=>nx8479, A1=>nx4058); ix8480 : aoi22 port map ( Y=>nx8479, A0=>nx8257, A1=>PRI_IN_2(3), B0=> nx3056, B1=>nx3058); REG_37_reg_q_4 : dff port map ( Q=>reg_37_q_c_4, QB=>nx8726, D=>nx3978, CLK=>CLK); ix3979 : xor2 port map ( Y=>nx3978, A0=>nx8487, A1=>nx8491); REG_9_reg_q_4 : dff port map ( Q=>reg_9_q_c_4, QB=>OPEN, D=>nx3960, CLK=> CLK); ix3961 : xor2 port map ( Y=>nx3960, A0=>nx8496, A1=>nx8501); ix8498 : aoi22 port map ( Y=>nx8496, A0=>reg_42_q_c_3, A1=>PRI_IN_5(3), B0=>nx2632, B1=>nx2958); REG_42_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8513, D=>nx3950, CLK=>CLK ); ix3951 : xnor2 port map ( Y=>nx3950, A0=>nx8507, A1=>nx3948); ix8508 : aoi22 port map ( Y=>nx8507, A0=>nx8509, A1=>reg_39_q_c_3, B0=> nx2642, B1=>nx2948); ix8510 : inv02 port map ( Y=>nx8509, A=>PRI_IN_1(3)); ix3973 : nor02 port map ( Y=>nx3972, A0=>nx15985, A1=>nx14793_XX0_XREP41 ); REG_12_reg_q_4 : dff port map ( Q=>reg_12_q_c_4, QB=>OPEN, D=>nx3924, CLK =>CLK); ix3925 : xor2 port map ( Y=>nx3924, A0=>nx8523, A1=>nx8527); ix8524 : aoi22 port map ( Y=>nx8523, A0=>reg_47_q_c_3, A1=> PRI_OUT_9_3_EXMPLR, B0=>nx2900, B1=>nx2922); ix8528 : xnor2 port map ( Y=>nx8527, A0=>PRI_OUT_9_4_EXMPLR, A1=> reg_47_q_c_4); REG_23_reg_q_4 : dff port map ( Q=>PRI_OUT_9_4_EXMPLR, QB=>OPEN, D=> nx4488, CLK=>CLK); ix4489 : xor2 port map ( Y=>nx4488, A0=>nx3502, A1=>nx4486); ix3503 : oai22 port map ( Y=>nx3502, A0=>nx8065, A1=>nx8535, B0=>nx8073, B1=>nx8330); ix4487 : xnor2 port map ( Y=>nx4486, A0=>PRI_IN_0(4), A1=>nx8541); ix8542 : mux21 port map ( Y=>nx8541, A0=>reg_34_q_c_4, A1=>PRI_IN_0(4), S0=>C_MUX2_19_SEL); REG_34_reg_q_4 : dff port map ( Q=>reg_34_q_c_4, QB=>OPEN, D=>nx4470, CLK =>CLK); ix4471 : xor2 port map ( Y=>nx4470, A0=>nx3512, A1=>nx4468); ix3513 : mux21 port map ( Y=>nx3512, A0=>reg_38_q_c_3, A1=>nx8077, S0=> nx3468); REG_38_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8587, D=>nx4460, CLK=>CLK ); ix4461 : xor2 port map ( Y=>nx4460, A0=>nx8555, A1=>nx8557); ix8556 : mux21 port map ( Y=>nx8555, A0=>nx2520, A1=>nx14835, S0=>nx8085 ); ix8558 : xnor2 port map ( Y=>nx8557, A0=>nx15747, A1=>nx14793); ix4443 : xnor2 port map ( Y=>nx4442, A0=>nx8565, A1=>nx4440); ix8566 : aoi22 port map ( Y=>nx8565, A0=>nx2812, A1=>reg_43_q_c_3, B0=> nx2528, B1=>nx3440); ix4441 : xnor2 port map ( Y=>nx4440, A0=>reg_43_q_c_4, A1=>nx8582); REG_43_reg_q_4 : dff port map ( Q=>reg_43_q_c_4, QB=>OPEN, D=>nx4432, CLK =>CLK); ix4433 : xor2 port map ( Y=>nx4432, A0=>nx8573, A1=>nx8576); ix8574 : aoi22 port map ( Y=>nx8573, A0=>nx3428, A1=>reg_38_q_c_3, B0=> nx2536, B1=>nx3430); ix4429 : ao21 port map ( Y=>nx4428, A0=>C_MUX2_7_SEL, A1=> PRI_OUT_2_4_EXMPLR, B0=>nx3540); ix3541 : nor02 port map ( Y=>nx3540, A0=>C_MUX2_7_SEL, A1=>nx8559); ix8584 : mux21 port map ( Y=>nx8582, A0=>PRI_IN_3(4), A1=>reg_6_q_c_4, S0 =>C_MUX2_5_SEL); ix4221 : ao21 port map ( Y=>nx4220, A0=>C_MUX2_6_SEL, A1=>reg_14_q_c_4, B0=>nx4196); REG_14_reg_q_4 : dff port map ( Q=>reg_14_q_c_4, QB=>OPEN, D=>nx4210, CLK =>CLK); ix4211 : xnor2 port map ( Y=>nx4210, A0=>nx4206, A1=>nx8593); ix4207 : oai22 port map ( Y=>nx4206, A0=>nx8117, A1=>nx8121, B0=>nx6499, B1=>nx8179); ix8594 : xnor2 port map ( Y=>nx8593, A0=>reg_7_q_c_4, A1=>nx8541); REG_7_reg_q_4 : dff port map ( Q=>reg_7_q_c_4, QB=>OPEN, D=>nx4284, CLK=> CLK); ix4285 : xor2 port map ( Y=>nx4284, A0=>nx3588, A1=>nx4282); ix3589 : mux21 port map ( Y=>nx3588, A0=>nx8599, A1=>nx8127, S0=>nx3282); ix4283 : xnor2 port map ( Y=>nx4282, A0=>nx15747, A1=>nx6507); ix4281 : ao21 port map ( Y=>nx6507, A0=>C_MUX2_4_SEL, A1=>reg_25_q_c_4, B0=>nx4238); REG_25_reg_q_4 : dff port map ( Q=>reg_25_q_c_4, QB=>OPEN, D=>nx4270, CLK =>CLK); ix4271 : xor2 port map ( Y=>nx4270, A0=>nx8609, A1=>nx8611); ix8610 : mux21 port map ( Y=>nx8609, A0=>nx3248, A1=>nx8147, S0=>nx8136); ix8612 : xnor2 port map ( Y=>nx8611, A0=>reg_29_q_c_4, A1=>nx8395); REG_29_reg_q_4 : dff port map ( Q=>reg_29_q_c_4, QB=>OPEN, D=>nx4260, CLK =>CLK); ix4261 : xor2 port map ( Y=>nx4260, A0=>nx8617, A1=>nx8621); ix8618 : aoi22 port map ( Y=>nx8617, A0=>nx14677, A1=>nx14701, B0=> nx16281, B1=>nx3258); ix8622 : xnor2 port map ( Y=>nx8621, A0=>PRI_OUT_2_4_EXMPLR, A1=> nx14671_XX0_XREP131); ix4239 : nor02 port map ( Y=>nx4238, A0=>C_MUX2_4_SEL, A1=>nx8627); ix8628 : mux21 port map ( Y=>nx8627, A0=>nx6511, A1=>reg_26_q_c_4, S0=> C_MUX2_22_SEL); ix4159 : mux21 port map ( Y=>nx6511, A0=>nx8631, A1=>nx8419, S0=> C_MUX2_21_SEL); ix8632 : inv02 port map ( Y=>nx8631, A=>PRI_IN_8(4)); REG_26_reg_q_4 : dff port map ( Q=>reg_26_q_c_4, QB=>OPEN, D=>nx4224, CLK =>CLK); ix4225 : xor2 port map ( Y=>nx4224, A0=>nx4172, A1=>nx4222); ix4173 : mux21 port map ( Y=>nx4172, A0=>nx3220, A1=>nx8159, S0=>nx3222); ix4223 : xnor2 port map ( Y=>nx4222, A0=>reg_30_q_c_4, A1=>nx4220); REG_30_reg_q_4 : dff port map ( Q=>reg_30_q_c_4, QB=>OPEN, D=>nx4186, CLK =>CLK); ix4187 : xnor2 port map ( Y=>nx4186, A0=>nx8645, A1=>nx4184); ix8646 : aoi22 port map ( Y=>nx8645, A0=>nx8384, A1=>PRI_IN_4(3), B0=> nx3182, B1=>nx3184); ix4185 : xnor2 port map ( Y=>nx4184, A0=>PRI_IN_4(4), A1=>nx14709); ix4197 : nor02 port map ( Y=>nx4196, A0=>C_MUX2_6_SEL, A1=>nx8395); REG_47_reg_q_4 : dff port map ( Q=>reg_47_q_c_4, QB=>OPEN, D=>nx3914, CLK =>CLK); ix3915 : xnor2 port map ( Y=>nx3914, A0=>nx8661, A1=>nx3912); ix8662 : aoi22 port map ( Y=>nx8661, A0=>nx8314, A1=>reg_27_q_c_3, B0=> nx2910, B1=>nx2912); REG_27_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8673, D=>nx3870, CLK=>CLK ); ix3871 : xnor2 port map ( Y=>nx3870, A0=>nx8669, A1=>nx3868); ix8670 : mux21 port map ( Y=>nx8669, A0=>nx8087, A1=>nx2866, S0=>nx2868); ix3869 : xnor2 port map ( Y=>nx3868, A0=>nx15747, A1=>nx8627); ix3683 : xnor2 port map ( Y=>nx3682, A0=>nx8677, A1=>nx3680); ix8678 : aoi22 port map ( Y=>nx8677, A0=>nx14835, A1=>reg_44_q_c_3, B0=> nx2658, B1=>nx2680); ix3681 : xnor2 port map ( Y=>nx3680, A0=>reg_44_q_c_4, A1=>nx14793); REG_44_reg_q_4 : dff port map ( Q=>reg_44_q_c_4, QB=>OPEN, D=>nx3672, CLK =>CLK); ix3673 : xnor2 port map ( Y=>nx3672, A0=>nx8683, A1=>nx3670); ix8684 : aoi22 port map ( Y=>nx8683, A0=>nx14849, A1=>PRI_IN_3(3), B0=> nx2668, B1=>nx2670); ix3671 : xnor2 port map ( Y=>nx3670, A0=>PRI_IN_3(4), A1=> PRI_OUT_3_4_EXMPLR); ix3891 : ao21 port map ( Y=>nx3890, A0=>C_MUX2_24_SEL, A1=>reg_17_q_c_4, B0=>nx3846); REG_17_reg_q_4 : dff port map ( Q=>reg_17_q_c_4, QB=>OPEN, D=>nx3880, CLK =>CLK); ix3881 : xor2 port map ( Y=>nx3880, A0=>nx3856, A1=>nx3878); ix3857 : mux21 port map ( Y=>nx3856, A0=>reg_27_q_c_3, A1=>nx8229, S0=> nx2878); ix3847 : nor02 port map ( Y=>nx3846, A0=>C_MUX2_24_SEL, A1=>nx8703); ix8704 : mux21 port map ( Y=>nx8703, A0=>nx3816, A1=>reg_8_q_c_4, S0=> C_MUX2_13_SEL); REG_8_reg_q_4 : dff port map ( Q=>reg_8_q_c_4, QB=>OPEN, D=>nx3832, CLK=> CLK); ix3833 : xor2 port map ( Y=>nx3832, A0=>nx8709, A1=>nx8713); ix8710 : aoi22 port map ( Y=>nx8709, A0=>reg_2_q_c_3, A1=>PRI_IN_5(3), B0 =>nx2828, B1=>nx2830); REG_2_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8723, D=>nx3988, CLK=>CLK); ix3989 : xnor2 port map ( Y=>nx3988, A0=>nx8719, A1=>nx3986); ix8720 : aoi22 port map ( Y=>nx8719, A0=>nx8330, A1=>reg_37_q_c_3, B0=> nx2616, B1=>nx2986); ix4011 : nor02 port map ( Y=>nx4010, A0=>C_MUX2_2_SEL, A1=>nx8323); ix8736 : mux21 port map ( Y=>nx8735, A0=>PRI_IN_5(4), A1=>reg_18_q_c_4, S0=>C_MUX2_1_SEL); REG_18_reg_q_4 : dff port map ( Q=>reg_18_q_c_4, QB=>OPEN, D=>nx3572, CLK =>CLK); ix3573 : xnor2 port map ( Y=>nx3572, A0=>nx8741, A1=>nx3570); ix8742 : aoi22 port map ( Y=>nx8741, A0=>nx8351, A1=>nx14701, B0=>nx2568, B1=>nx2570); ix3571 : xnor2 port map ( Y=>nx3570, A0=>PRI_IN_5(4), A1=> PRI_OUT_2_4_EXMPLR); ix3999 : nor02 port map ( Y=>nx3998, A0=>C_MUX2_10_SEL, A1=>nx8723); REG_11_reg_q_4 : dff port map ( Q=>reg_11_q_c_4, QB=>OPEN, D=>nx4334, CLK =>CLK); ix4335 : xor2 port map ( Y=>nx4334, A0=>nx8756, A1=>nx8759); ix8757 : aoi22 port map ( Y=>nx8756, A0=>reg_45_q_c_3, A1=>PRI_IN_8(3), B0=>nx3310, B1=>nx3332); REG_45_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8767, D=>nx4324, CLK=>CLK ); ix4325 : xnor2 port map ( Y=>nx4324, A0=>nx8764, A1=>nx4322); ix8765 : aoi22 port map ( Y=>nx8764, A0=>nx8314, A1=>PRI_IN_8(3), B0=> nx3320, B1=>nx3322); ix4323 : xnor2 port map ( Y=>nx4322, A0=>PRI_IN_8(4), A1=>nx15737); ix8772 : mux21 port map ( Y=>nx8771, A0=>reg_7_q_c_4, A1=>reg_18_q_c_4, S0=>C_MUX2_9_SEL); REG_28_reg_q_5 : dff port map ( Q=>PRI_OUT_2_5_EXMPLR, QB=>OPEN, D=> nx5418, CLK=>CLK); ix4549 : mux21 port map ( Y=>nx4548, A0=>nx8303, A1=>nx14795, S0=>nx8321 ); ix8784 : mux21 port map ( Y=>nx8783, A0=>reg_35_q_c_5, A1=>PRI_IN_0(5), S0=>C_MUX2_23_SEL); REG_35_reg_q_5 : dff port map ( Q=>reg_35_q_c_5, QB=>OPEN, D=>nx5400, CLK =>CLK); ix5401 : xor2 port map ( Y=>nx5400, A0=>nx4558, A1=>nx5398); ix4559 : mux21 port map ( Y=>nx4558, A0=>PRI_IN_0(4), A1=>nx8328, S0=> nx4398); REG_13_reg_q_5 : dff port map ( Q=>reg_13_q_c_5, QB=>OPEN, D=>nx5378, CLK =>CLK); ix5379 : xnor2 port map ( Y=>nx5378, A0=>nx5354, A1=>nx8798); ix5355 : oai22 port map ( Y=>nx5354, A0=>nx8339, A1=>nx8343, B0=>nx8355, B1=>nx8796); ix8797 : inv02 port map ( Y=>nx8796, A=>PRI_IN_4(4)); ix8799 : xnor2 port map ( Y=>nx8798, A0=>PRI_IN_4(5), A1=>reg_49_q_c_5); REG_49_reg_q_5 : dff port map ( Q=>reg_49_q_c_5, QB=>OPEN, D=>nx5368, CLK =>CLK); ix5369 : xor2 port map ( Y=>nx5368, A0=>nx5364, A1=>nx5366); ix5365 : mux21 port map ( Y=>nx5364, A0=>PRI_IN_5(4), A1=>nx8349, S0=> nx4366); ix5347 : nor02 port map ( Y=>nx5346, A0=>nx14763, A1=>nx8809); ix8810 : mux21 port map ( Y=>nx8809, A0=>nx6519, A1=>reg_11_q_c_5, S0=> C_MUX2_18_SEL); ix4779 : ao21 port map ( Y=>nx6519, A0=>nx16384, A1=>reg_6_q_c_5, B0=> nx4776); REG_6_reg_q_5 : dff port map ( Q=>reg_6_q_c_5, QB=>OPEN, D=>nx4802, CLK=> CLK); ix4803 : xor2 port map ( Y=>nx4802, A0=>nx4706, A1=>nx4800); ix4707 : mux21 port map ( Y=>nx4706, A0=>reg_40_q_c_4, A1=>nx8367, S0=> nx3800); REG_40_reg_q_5 : dff port map ( Q=>OPEN, QB=>nx8837, D=>nx4792, CLK=>CLK ); ix4793 : xnor2 port map ( Y=>nx4792, A0=>nx4714, A1=>nx8827); ix4715 : oai22 port map ( Y=>nx4714, A0=>nx8373, A1=>nx8377, B0=>nx8389, B1=>nx8825); ix8826 : inv02 port map ( Y=>nx8825, A=>PRI_IN_1(4)); ix8828 : xnor2 port map ( Y=>nx8827, A0=>PRI_IN_1(5), A1=>reg_41_q_c_5); REG_41_reg_q_5 : dff port map ( Q=>reg_41_q_c_5, QB=>OPEN, D=>nx4782, CLK =>CLK); ix4783 : xor2 port map ( Y=>nx4782, A0=>nx4724, A1=>nx4780); ix4725 : mux21 port map ( Y=>nx4724, A0=>nx6505, A1=>nx8382, S0=>nx3780); ix4781 : xnor2 port map ( Y=>nx4780, A0=>PRI_IN_6(5), A1=>nx6519); ix4777 : nor02 port map ( Y=>nx4776, A0=>nx16384, A1=>nx8841); ix8842 : mux21 port map ( Y=>nx8841, A0=>reg_16_q_c_5, A1=>PRI_IN_2(5), S0=>C_MUX2_25_SEL); REG_16_reg_q_5 : dff port map ( Q=>reg_16_q_c_5, QB=>OPEN, D=>nx4760, CLK =>CLK); ix4761 : xor2 port map ( Y=>nx4760, A0=>nx4738, A1=>nx4758); ix4739 : mux21 port map ( Y=>nx4738, A0=>reg_31_q_c_4, A1=>nx8401, S0=> nx3758); REG_31_reg_q_5 : dff port map ( Q=>OPEN, QB=>nx9179, D=>nx4750, CLK=>CLK ); ix4751 : xnor2 port map ( Y=>nx4750, A0=>nx4746, A1=>nx8857); ix4747 : oai22 port map ( Y=>nx4746, A0=>nx8406, A1=>nx8409, B0=>nx8751, B1=>nx8855); ix8856 : inv02 port map ( Y=>nx8855, A=>PRI_IN_9(4)); ix8858 : xnor2 port map ( Y=>nx8857, A0=>PRI_IN_9(5), A1=>reg_39_q_c_5); REG_39_reg_q_5 : dff port map ( Q=>reg_39_q_c_5, QB=>OPEN, D=>nx4940, CLK =>CLK); ix4941 : xnor2 port map ( Y=>nx4940, A0=>nx4650, A1=>nx8865); ix4651 : mux21 port map ( Y=>nx4650, A0=>nx8415, A1=>nx14795, S0=>nx8417 ); REG_24_reg_q_5 : dff port map ( Q=>reg_24_q_c_5, QB=>OPEN, D=>nx5144, CLK =>CLK); ix5145 : xor2 port map ( Y=>nx5144, A0=>nx4606, A1=>nx5142); ix4607 : oai22 port map ( Y=>nx4606, A0=>nx8423, A1=>nx8874, B0=> PRI_OUT_0_4_EXMPLR, B1=>nx8876); ix8877 : inv02 port map ( Y=>nx8876, A=>PRI_IN_5(4)); REG_1_reg_q_5 : dff port map ( Q=>reg_1_q_c_5, QB=>OPEN, D=>nx5130, CLK=> CLK); ix5131 : xnor2 port map ( Y=>nx5130, A0=>nx8884, A1=>nx5128); ix8885 : mux21 port map ( Y=>nx8884, A0=>nx4126, A1=>nx4006, S0=>nx4128); ix5129 : xnor2 port map ( Y=>nx5128, A0=>nx5118, A1=>nx9163); ix5119 : ao21 port map ( Y=>nx5118, A0=>C_MUX2_2_SEL, A1=>reg_33_q_c_5, B0=>nx5010); REG_33_reg_q_5 : dff port map ( Q=>reg_33_q_c_5, QB=>OPEN, D=>nx5108, CLK =>CLK); ix5109 : xnor2 port map ( Y=>nx5108, A0=>nx5018, A1=>nx8897); ix5019 : oai22 port map ( Y=>nx5018, A0=>nx8441, A1=>nx8445, B0=>nx8731, B1=>nx8631); ix8898 : xnor2 port map ( Y=>nx8897, A0=>PRI_IN_8(5), A1=>reg_46_q_c_5); REG_46_reg_q_5 : dff port map ( Q=>reg_46_q_c_5, QB=>OPEN, D=>nx5098, CLK =>CLK); ix5099 : xnor2 port map ( Y=>nx5098, A0=>nx5026, A1=>nx8907); ix5027 : ao21 port map ( Y=>nx5026, A0=>nx6511, A1=>reg_50_q_c_4, B0=> nx5024); ix5025 : nor02 port map ( Y=>nx5024, A0=>nx8449, A1=>nx8457); ix8908 : xnor2 port map ( Y=>nx8907, A0=>reg_50_q_c_5, A1=> nx6525_XX0_XREP157); REG_50_reg_q_5 : dff port map ( Q=>reg_50_q_c_5, QB=>OPEN, D=>nx5088, CLK =>CLK); ix5089 : xnor2 port map ( Y=>nx5088, A0=>nx5036, A1=>nx8915); ix5037 : mux21 port map ( Y=>nx5036, A0=>nx8463, A1=>PRI_IN_9(4), S0=> nx8467); ix8916 : xnor2 port map ( Y=>nx8915, A0=>PRI_IN_9(5), A1=>nx8917); ix8918 : mux21 port map ( Y=>nx8917, A0=>reg_15_q_c_5, A1=>nx14667, S0=> C_MUX2_16_SEL); REG_15_reg_q_5 : dff port map ( Q=>reg_15_q_c_5, QB=>OPEN, D=>nx5070, CLK =>CLK); ix5071 : xor2 port map ( Y=>nx5070, A0=>nx5046, A1=>nx5068); ix5047 : mux21 port map ( Y=>nx5046, A0=>reg_36_q_c_4, A1=>nx8474, S0=> nx4068); REG_36_reg_q_5 : dff port map ( Q=>OPEN, QB=>nx9157, D=>nx5060, CLK=>CLK ); ix5061 : xor2 port map ( Y=>nx5060, A0=>nx5056, A1=>nx5058); ix5057 : mux21 port map ( Y=>nx5056, A0=>reg_37_q_c_4, A1=>nx8479, S0=> nx4058); REG_37_reg_q_5 : dff port map ( Q=>reg_37_q_c_5, QB=>nx9155, D=>nx4978, CLK=>CLK); ix4979 : xnor2 port map ( Y=>nx4978, A0=>nx4624, A1=>nx8933); ix4625 : ao21 port map ( Y=>nx4624, A0=>PRI_OUT_5_4_EXMPLR, A1=> PRI_IN_7(4), B0=>nx4622); ix8934 : xnor2 port map ( Y=>nx8933, A0=>PRI_IN_7(5), A1=> PRI_OUT_5_5_XX0_XREP255); ix4961 : xnor2 port map ( Y=>nx4960, A0=>nx4632, A1=>nx8943); ix4633 : oai22 port map ( Y=>nx4632, A0=>nx8496, A1=>nx8501, B0=>nx8513, B1=>nx8876); ix8944 : xnor2 port map ( Y=>nx8943, A0=>PRI_IN_5(5), A1=>reg_42_q_c_5); REG_42_reg_q_5 : dff port map ( Q=>reg_42_q_c_5, QB=>OPEN, D=>nx4950, CLK =>CLK); ix4951 : xor2 port map ( Y=>nx4950, A0=>nx4642, A1=>nx4948); ix4643 : oai22 port map ( Y=>nx4642, A0=>nx8507, A1=>nx8949, B0=> PRI_IN_1(4), B1=>nx8751); ix4949 : xnor2 port map ( Y=>nx4948, A0=>PRI_IN_1(5), A1=>reg_39_q_c_5); REG_12_reg_q_5 : dff port map ( Q=>reg_12_q_c_5, QB=>OPEN, D=>nx4924, CLK =>CLK); ix4925 : xnor2 port map ( Y=>nx4924, A0=>nx4900, A1=>nx8964); ix4901 : ao21 port map ( Y=>nx4900, A0=>reg_47_q_c_4, A1=> PRI_OUT_9_4_EXMPLR, B0=>nx4898); ix4899 : nor02 port map ( Y=>nx4898, A0=>nx8523, A1=>nx8527); ix8965 : xnor2 port map ( Y=>nx8964, A0=>PRI_OUT_9_5_EXMPLR, A1=> reg_47_q_c_5); REG_23_reg_q_5 : dff port map ( Q=>PRI_OUT_9_5_EXMPLR, QB=>OPEN, D=> nx5488, CLK=>CLK); ix5489 : xnor2 port map ( Y=>nx5488, A0=>nx8968, A1=>nx5486); ix8969 : aoi22 port map ( Y=>nx8968, A0=>nx6513, A1=>PRI_IN_0(4), B0=> nx3502, B1=>nx4486); ix5487 : xnor2 port map ( Y=>nx5486, A0=>PRI_IN_0(5), A1=>nx8977); ix8978 : mux21 port map ( Y=>nx8977, A0=>reg_34_q_c_5, A1=>PRI_IN_0(5), S0=>C_MUX2_19_SEL); REG_34_reg_q_5 : dff port map ( Q=>reg_34_q_c_5, QB=>OPEN, D=>nx5470, CLK =>CLK); ix5471 : xnor2 port map ( Y=>nx5470, A0=>nx8982, A1=>nx5468); ix8983 : mux21 port map ( Y=>nx8982, A0=>nx8587, A1=>nx3512, S0=>nx4468); ix5469 : xnor2 port map ( Y=>nx5468, A0=>reg_38_q_c_5, A1=>nx5220); REG_38_reg_q_5 : dff port map ( Q=>reg_38_q_c_5, QB=>OPEN, D=>nx5460, CLK =>CLK); ix5461 : xnor2 port map ( Y=>nx5460, A0=>nx4520, A1=>nx8989); ix4521 : oai22 port map ( Y=>nx4520, A0=>nx8555, A1=>nx8557, B0=>nx14795, B1=>nx15747); ix8992 : mux21 port map ( Y=>nx8991, A0=>reg_5_q_c_5, A1=>PRI_IN_4(5), S0 =>C_MUX2_8_SEL); REG_5_reg_q_5 : dff port map ( Q=>reg_5_q_c_5, QB=>OPEN, D=>nx5442, CLK=> CLK); ix5443 : xor2 port map ( Y=>nx5442, A0=>nx4528, A1=>nx5440); ix4529 : mux21 port map ( Y=>nx4528, A0=>nx8582, A1=>nx8565, S0=>nx4440); ix5441 : xnor2 port map ( Y=>nx5440, A0=>reg_43_q_c_5, A1=>nx9012); REG_43_reg_q_5 : dff port map ( Q=>reg_43_q_c_5, QB=>OPEN, D=>nx5432, CLK =>CLK); ix5433 : xnor2 port map ( Y=>nx5432, A0=>nx4536, A1=>nx9007); ix4537 : oai22 port map ( Y=>nx4536, A0=>nx8573, A1=>nx8576, B0=>nx9001, B1=>nx8587); ix9008 : xnor2 port map ( Y=>nx9007, A0=>reg_38_q_c_5, A1=>nx5428); ix5429 : ao21 port map ( Y=>nx5428, A0=>C_MUX2_7_SEL, A1=>nx15748, B0=> nx4540); ix4541 : nor02 port map ( Y=>nx4540, A0=>C_MUX2_7_SEL, A1=>nx16408); ix5221 : ao21 port map ( Y=>nx5220, A0=>C_MUX2_6_SEL, A1=>reg_14_q_c_5, B0=>nx5196); REG_14_reg_q_5 : dff port map ( Q=>reg_14_q_c_5, QB=>OPEN, D=>nx5210, CLK =>CLK); ix5211 : xor2 port map ( Y=>nx5210, A0=>nx9023, A1=>nx9027); ix9024 : aoi22 port map ( Y=>nx9023, A0=>nx8541, A1=>reg_7_q_c_4, B0=> nx4206, B1=>nx4208); REG_7_reg_q_5 : dff port map ( Q=>reg_7_q_c_5, QB=>nx9085, D=>nx5284, CLK =>CLK); ix5285 : xnor2 port map ( Y=>nx5284, A0=>nx9033, A1=>nx5282); ix9034 : mux21 port map ( Y=>nx9033, A0=>nx6507, A1=>nx3588, S0=>nx4282); ix5283 : xnor2 port map ( Y=>nx5282, A0=>nx16408, A1=>nx6522); ix5281 : ao21 port map ( Y=>nx6522, A0=>C_MUX2_4_SEL, A1=>reg_25_q_c_5, B0=>nx5238); REG_25_reg_q_5 : dff port map ( Q=>reg_25_q_c_5, QB=>OPEN, D=>nx5270, CLK =>CLK); ix5271 : xnor2 port map ( Y=>nx5270, A0=>nx5248, A1=>nx9045); ix5249 : mux21 port map ( Y=>nx5248, A0=>nx8609, A1=>reg_29_q_c_4, S0=> nx8611); REG_29_reg_q_5 : dff port map ( Q=>OPEN, QB=>nx9057, D=>nx5260, CLK=>CLK ); ix5261 : xnor2 port map ( Y=>nx5260, A0=>nx5256, A1=>nx9055); ix5257 : ao21 port map ( Y=>nx5256, A0=>nx16405, A1=>PRI_OUT_2_4_EXMPLR, B0=>nx5254); ix5255 : nor02 port map ( Y=>nx5254, A0=>nx8617, A1=>nx8621); ix5239 : nor02 port map ( Y=>nx5238, A0=>C_MUX2_4_SEL, A1=>nx9061); ix9062 : mux21 port map ( Y=>nx9061, A0=>nx6525, A1=>reg_26_q_c_5, S0=> C_MUX2_22_SEL); REG_26_reg_q_5 : dff port map ( Q=>reg_26_q_c_5, QB=>OPEN, D=>nx5224, CLK =>CLK); ix5225 : xnor2 port map ( Y=>nx5224, A0=>nx9069, A1=>nx5222); ix9070 : aoi22 port map ( Y=>nx9069, A0=>nx9071, A1=>reg_30_q_c_4, B0=> nx4172, B1=>nx4222); ix5223 : xnor2 port map ( Y=>nx5222, A0=>reg_30_q_c_5, A1=>nx5220); REG_30_reg_q_5 : dff port map ( Q=>reg_30_q_c_5, QB=>OPEN, D=>nx5186, CLK =>CLK); ix5187 : xor2 port map ( Y=>nx5186, A0=>nx5182, A1=>nx5184); ix5183 : mux21 port map ( Y=>nx5182, A0=>nx6505, A1=>nx8645, S0=>nx4184); ix5185 : xnor2 port map ( Y=>nx5184, A0=>PRI_IN_4(5), A1=>nx6519); ix5197 : nor02 port map ( Y=>nx5196, A0=>C_MUX2_6_SEL, A1=>nx8841); REG_47_reg_q_5 : dff port map ( Q=>reg_47_q_c_5, QB=>OPEN, D=>nx4914, CLK =>CLK); ix4915 : xor2 port map ( Y=>nx4914, A0=>nx4910, A1=>nx4912); ix4911 : oai22 port map ( Y=>nx4910, A0=>nx8661, A1=>nx9094, B0=>nx15737, B1=>nx8673); ix4913 : xnor2 port map ( Y=>nx4912, A0=>reg_27_q_c_5, A1=>nx4816); REG_27_reg_q_5 : dff port map ( Q=>reg_27_q_c_5, QB=>OPEN, D=>nx4870, CLK =>CLK); ix4871 : xor2 port map ( Y=>nx4870, A0=>nx4866, A1=>nx4868); ix4867 : mux21 port map ( Y=>nx4866, A0=>nx6501, A1=>nx8669, S0=>nx3868); ix4869 : xnor2 port map ( Y=>nx4868, A0=>nx16408, A1=>nx9061); REG_10_reg_q_5 : dff port map ( Q=>reg_10_q_c_5, QB=>OPEN, D=>nx4682, CLK =>CLK); ix4683 : xor2 port map ( Y=>nx4682, A0=>nx4658, A1=>nx4680); ix4659 : mux21 port map ( Y=>nx4658, A0=>nx14795, A1=>nx8677, S0=>nx3680 ); REG_44_reg_q_5 : dff port map ( Q=>reg_44_q_c_5, QB=>OPEN, D=>nx4672, CLK =>CLK); ix4673 : xor2 port map ( Y=>nx4672, A0=>nx4668, A1=>nx4670); ix4669 : mux21 port map ( Y=>nx4668, A0=>nx16405, A1=>nx8683, S0=>nx3670 ); ix4891 : ao21 port map ( Y=>nx4890, A0=>C_MUX2_24_SEL, A1=>reg_17_q_c_5, B0=>nx4846); REG_17_reg_q_5 : dff port map ( Q=>reg_17_q_c_5, QB=>OPEN, D=>nx4880, CLK =>CLK); ix4881 : xnor2 port map ( Y=>nx4880, A0=>nx9131, A1=>nx4878); ix9132 : mux21 port map ( Y=>nx9131, A0=>nx8673, A1=>nx3856, S0=>nx3878); ix4879 : xnor2 port map ( Y=>nx4878, A0=>reg_27_q_c_5, A1=>nx6522); ix4847 : nor02 port map ( Y=>nx4846, A0=>C_MUX2_24_SEL, A1=>nx9136); REG_8_reg_q_5 : dff port map ( Q=>reg_8_q_c_5, QB=>OPEN, D=>nx4832, CLK=> CLK); ix4833 : xnor2 port map ( Y=>nx4832, A0=>nx4828, A1=>nx9143); ix4829 : oai22 port map ( Y=>nx4828, A0=>nx8709, A1=>nx8713, B0=>nx8723, B1=>nx8876); ix9144 : xnor2 port map ( Y=>nx9143, A0=>PRI_IN_5(5), A1=>reg_2_q_c_5); REG_2_reg_q_5 : dff port map ( Q=>reg_2_q_c_5, QB=>OPEN, D=>nx4988, CLK=> CLK); ix4989 : xor2 port map ( Y=>nx4988, A0=>nx4616, A1=>nx4986); ix4617 : oai22 port map ( Y=>nx4616, A0=>nx8719, A1=>nx9149, B0=> PRI_IN_0(4), B1=>nx8726); ix5011 : nor02 port map ( Y=>nx5010, A0=>C_MUX2_2_SEL, A1=>nx8783); ix9164 : mux21 port map ( Y=>nx9163, A0=>PRI_IN_5(5), A1=>reg_18_q_c_5, S0=>C_MUX2_1_SEL); REG_18_reg_q_5 : dff port map ( Q=>reg_18_q_c_5, QB=>OPEN, D=>nx4572, CLK =>CLK); ix4573 : xor2 port map ( Y=>nx4572, A0=>nx4568, A1=>nx4570); ix4569 : mux21 port map ( Y=>nx4568, A0=>PRI_IN_5(4), A1=>nx8741, S0=> nx3570); ix4571 : xnor2 port map ( Y=>nx4570, A0=>PRI_IN_5(5), A1=>nx15748); REG_11_reg_q_5 : dff port map ( Q=>reg_11_q_c_5, QB=>OPEN, D=>nx5334, CLK =>CLK); ix5335 : xnor2 port map ( Y=>nx5334, A0=>nx5310, A1=>nx9187); ix5311 : oai22 port map ( Y=>nx5310, A0=>nx8756, A1=>nx8759, B0=>nx8767, B1=>nx8631); ix9188 : xnor2 port map ( Y=>nx9187, A0=>PRI_IN_8(5), A1=>reg_45_q_c_5); REG_45_reg_q_5 : dff port map ( Q=>reg_45_q_c_5, QB=>OPEN, D=>nx5324, CLK =>CLK); ix5325 : xor2 port map ( Y=>nx5324, A0=>nx5320, A1=>nx5322); ix5321 : mux21 port map ( Y=>nx5320, A0=>nx15737, A1=>nx8764, S0=>nx4322 ); ix5323 : xnor2 port map ( Y=>nx5322, A0=>PRI_IN_8(5), A1=>nx16155); ix9198 : mux21 port map ( Y=>nx9197, A0=>reg_7_q_c_5, A1=>reg_18_q_c_5, S0=>C_MUX2_9_SEL); REG_28_reg_q_6 : dff port map ( Q=>PRI_OUT_2_dup0_6, QB=>OPEN, D=>nx6418, CLK=>CLK); ix6419 : xor2 port map ( Y=>nx6418, A0=>nx9205, A1=>nx9219); ix9206 : mux21 port map ( Y=>nx9205, A0=>nx4548, A1=>nx14837, S0=>nx8781 ); ix9220 : xnor2 port map ( Y=>nx9219, A0=>nx9221, A1=>nx14799); ix9222 : mux21 port map ( Y=>nx9221, A0=>reg_35_q_c_6, A1=>PRI_IN_0(6), S0=>C_MUX2_23_SEL); REG_35_reg_q_6 : dff port map ( Q=>reg_35_q_c_6, QB=>OPEN, D=>nx6400, CLK =>CLK); ix6401 : xnor2 port map ( Y=>nx6400, A0=>nx9227, A1=>nx6398); ix9228 : aoi22 port map ( Y=>nx9227, A0=>nx9229, A1=>nx14669, B0=>nx4558, B1=>nx5398); ix9230 : inv02 port map ( Y=>nx9229, A=>PRI_IN_0(5)); ix6399 : xnor2 port map ( Y=>nx6398, A0=>PRI_IN_0(6), A1=> PRI_OUT_3_6_EXMPLR); REG_13_reg_q_6 : dff port map ( Q=>reg_13_q_c_6, QB=>OPEN, D=>nx6378, CLK =>CLK); ix6379 : xor2 port map ( Y=>nx6378, A0=>nx9239, A1=>nx9243); ix9240 : aoi22 port map ( Y=>nx9239, A0=>reg_49_q_c_5, A1=>PRI_IN_4(5), B0=>nx5354, B1=>nx5376); REG_49_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9255, D=>nx6368, CLK=>CLK ); ix6369 : xnor2 port map ( Y=>nx6368, A0=>nx9249, A1=>nx6366); ix9250 : aoi22 port map ( Y=>nx9249, A0=>nx9251, A1=>nx14669, B0=>nx5364, B1=>nx5366); ix9252 : inv02 port map ( Y=>nx9251, A=>PRI_IN_5(5)); ix6367 : xnor2 port map ( Y=>nx6366, A0=>PRI_IN_5(6), A1=> PRI_OUT_3_6_EXMPLR); ix6347 : nor02 port map ( Y=>nx6346, A0=>nx14765, A1=>nx9259); ix9260 : mux21 port map ( Y=>nx9259, A0=>nx14711, A1=>reg_11_q_c_6, S0=> C_MUX2_18_SEL); ix5779 : ao21 port map ( Y=>nx6533, A0=>nx14775, A1=>reg_6_q_c_6, B0=> nx5776); REG_6_reg_q_6 : dff port map ( Q=>reg_6_q_c_6, QB=>OPEN, D=>nx5802, CLK=> CLK); ix5803 : xnor2 port map ( Y=>nx5802, A0=>nx9265, A1=>nx5800); ix9266 : aoi22 port map ( Y=>nx9265, A0=>nx8837, A1=>PRI_IN_2(5), B0=> nx4706, B1=>nx4800); ix5801 : xnor2 port map ( Y=>nx5800, A0=>PRI_IN_2(6), A1=>reg_40_q_c_6); REG_40_reg_q_6 : dff port map ( Q=>reg_40_q_c_6, QB=>OPEN, D=>nx5792, CLK =>CLK); ix5793 : xor2 port map ( Y=>nx5792, A0=>nx9271, A1=>nx9274); ix9272 : aoi22 port map ( Y=>nx9271, A0=>reg_41_q_c_5, A1=>PRI_IN_1(5), B0=>nx4714, B1=>nx4790); REG_41_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9288, D=>nx5782, CLK=>CLK ); ix5783 : xnor2 port map ( Y=>nx5782, A0=>nx9279, A1=>nx5780); ix9280 : aoi22 port map ( Y=>nx9279, A0=>nx9281, A1=>PRI_IN_6(5), B0=> nx4724, B1=>nx4780); ix5781 : xnor2 port map ( Y=>nx5780, A0=>PRI_IN_6(6), A1=>nx14711); ix5777 : nor02 port map ( Y=>nx5776, A0=>nx14775, A1=>nx9292); ix9293 : mux21 port map ( Y=>nx9292, A0=>reg_16_q_c_6, A1=>PRI_IN_2(6), S0=>C_MUX2_25_SEL); REG_16_reg_q_6 : dff port map ( Q=>reg_16_q_c_6, QB=>OPEN, D=>nx5760, CLK =>CLK); ix5761 : xnor2 port map ( Y=>nx5760, A0=>nx9297, A1=>nx5758); ix9298 : mux21 port map ( Y=>nx9297, A0=>nx9179, A1=>nx4738, S0=>nx4758); ix5759 : xnor2 port map ( Y=>nx5758, A0=>reg_31_q_c_6, A1=>nx14711); REG_31_reg_q_6 : dff port map ( Q=>reg_31_q_c_6, QB=>OPEN, D=>nx5750, CLK =>CLK); ix5751 : xor2 port map ( Y=>nx5750, A0=>nx9303, A1=>nx9307); ix9304 : aoi22 port map ( Y=>nx9303, A0=>reg_39_q_c_5, A1=>PRI_IN_9(5), B0=>nx4746, B1=>nx4748); REG_39_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9644, D=>nx5940, CLK=>CLK ); ix5941 : xor2 port map ( Y=>nx5940, A0=>nx9313, A1=>nx9315); ix9314 : mux21 port map ( Y=>nx9313, A0=>nx4650, A1=>nx14837, S0=>nx8865 ); ix9316 : xnor2 port map ( Y=>nx9315, A0=>nx9317, A1=>nx14799_XX0_XREP35); REG_24_reg_q_6 : dff port map ( Q=>reg_24_q_c_6, QB=>OPEN, D=>nx6144, CLK =>CLK); ix6145 : xnor2 port map ( Y=>nx6144, A0=>nx9323, A1=>nx6142); ix9324 : aoi22 port map ( Y=>nx9323, A0=>nx9325, A1=>PRI_IN_5(5), B0=> nx4606, B1=>nx5142); ix9326 : mux21 port map ( Y=>nx9325, A0=>reg_2_q_c_5, A1=>reg_1_q_c_5, S0 =>C_MUX2_10_SEL); ix6143 : xnor2 port map ( Y=>nx6142, A0=>PRI_IN_5(6), A1=> PRI_OUT_0_6_EXMPLR); ix6141 : ao21 port map ( Y=>PRI_OUT_0_6_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_1_q_c_6, B0=>nx5998); REG_1_reg_q_6 : dff port map ( Q=>reg_1_q_c_6, QB=>OPEN, D=>nx6130, CLK=> CLK); ix6131 : xor2 port map ( Y=>nx6130, A0=>nx6006, A1=>nx6128); ix6007 : mux21 port map ( Y=>nx6006, A0=>nx9163, A1=>nx8884, S0=>nx5128); ix6129 : xnor2 port map ( Y=>nx6128, A0=>nx6118, A1=>nx9629); ix6119 : ao21 port map ( Y=>nx6118, A0=>C_MUX2_2_SEL, A1=>reg_33_q_c_6, B0=>nx6010); REG_33_reg_q_6 : dff port map ( Q=>reg_33_q_c_6, QB=>OPEN, D=>nx6108, CLK =>CLK); ix6109 : xor2 port map ( Y=>nx6108, A0=>nx9338, A1=>nx9341); ix9339 : aoi22 port map ( Y=>nx9338, A0=>reg_46_q_c_5, A1=>PRI_IN_8(5), B0=>nx5018, B1=>nx5106); REG_46_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9625, D=>nx6098, CLK=>CLK ); ix6099 : xor2 port map ( Y=>nx6098, A0=>nx9347, A1=>nx9355); ix9348 : aoi22 port map ( Y=>nx9347, A0=>nx6525, A1=>reg_50_q_c_5, B0=> nx5026, B1=>nx5096); ix9356 : xnor2 port map ( Y=>nx9355, A0=>reg_50_q_c_6, A1=>nx6541); REG_50_reg_q_6 : dff port map ( Q=>reg_50_q_c_6, QB=>OPEN, D=>nx6088, CLK =>CLK); ix6089 : xor2 port map ( Y=>nx6088, A0=>nx9359, A1=>nx9363); ix9360 : mux21 port map ( Y=>nx9359, A0=>nx5036, A1=>nx9361, S0=>nx8915); ix9362 : inv02 port map ( Y=>nx9361, A=>PRI_IN_9(5)); ix9364 : xnor2 port map ( Y=>nx9363, A0=>PRI_IN_9(6), A1=>nx9365); ix9366 : mux21 port map ( Y=>nx9365, A0=>reg_15_q_c_6, A1=> nx14663_XX0_XREP175, S0=>C_MUX2_16_SEL); REG_15_reg_q_6 : dff port map ( Q=>reg_15_q_c_6, QB=>OPEN, D=>nx6070, CLK =>CLK); ix6071 : xnor2 port map ( Y=>nx6070, A0=>nx9371, A1=>nx6068); ix9372 : aoi22 port map ( Y=>nx9371, A0=>nx9157, A1=>PRI_IN_6(5), B0=> nx5046, B1=>nx5068); ix6069 : xnor2 port map ( Y=>nx6068, A0=>PRI_IN_6(6), A1=>reg_36_q_c_6); REG_36_reg_q_6 : dff port map ( Q=>reg_36_q_c_6, QB=>OPEN, D=>nx6060, CLK =>CLK); ix6061 : xnor2 port map ( Y=>nx6060, A0=>nx9377, A1=>nx6058); ix9378 : aoi22 port map ( Y=>nx9377, A0=>nx9155, A1=>PRI_IN_2(5), B0=> nx5056, B1=>nx5058); REG_37_reg_q_6 : dff port map ( Q=>reg_37_q_c_6, QB=>nx9618, D=>nx5978, CLK=>CLK); ix5979 : xor2 port map ( Y=>nx5978, A0=>nx9383, A1=>nx9386); ix9387 : xnor2 port map ( Y=>nx9386, A0=>PRI_IN_7(6), A1=> PRI_OUT_5_6_XX0_XREP253); ix5961 : xor2 port map ( Y=>nx5960, A0=>nx9393, A1=>nx9397); ix9394 : aoi22 port map ( Y=>nx9393, A0=>reg_42_q_c_5, A1=>PRI_IN_5(5), B0=>nx4632, B1=>nx4958); REG_42_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9408, D=>nx5950, CLK=>CLK ); ix5951 : xnor2 port map ( Y=>nx5950, A0=>nx9403, A1=>nx5948); ix9404 : aoi22 port map ( Y=>nx9403, A0=>nx9405, A1=>reg_39_q_c_5, B0=> nx4642, B1=>nx4948); ix9406 : inv02 port map ( Y=>nx9405, A=>PRI_IN_1(5)); REG_12_reg_q_6 : dff port map ( Q=>reg_12_q_c_6, QB=>OPEN, D=>nx5924, CLK =>CLK); ix5925 : xor2 port map ( Y=>nx5924, A0=>nx9417, A1=>nx9421); ix9418 : aoi22 port map ( Y=>nx9417, A0=>reg_47_q_c_5, A1=> PRI_OUT_9_5_EXMPLR, B0=>nx4900, B1=>nx4922); ix9422 : xnor2 port map ( Y=>nx9421, A0=>PRI_OUT_9_6_EXMPLR, A1=> reg_47_q_c_6); REG_23_reg_q_6 : dff port map ( Q=>PRI_OUT_9_6_EXMPLR, QB=>OPEN, D=> nx6488, CLK=>CLK); ix6489 : xor2 port map ( Y=>nx6488, A0=>nx5502, A1=>nx6486); ix5503 : oai22 port map ( Y=>nx5502, A0=>nx8968, A1=>nx9427, B0=>nx8977, B1=>nx9229); ix6487 : xnor2 port map ( Y=>nx6486, A0=>PRI_IN_0(6), A1=>nx9435); ix9436 : mux21 port map ( Y=>nx9435, A0=>reg_34_q_c_6, A1=>PRI_IN_0(6), S0=>C_MUX2_19_SEL); REG_34_reg_q_6 : dff port map ( Q=>reg_34_q_c_6, QB=>OPEN, D=>nx6470, CLK =>CLK); ix6471 : xor2 port map ( Y=>nx6470, A0=>nx5512, A1=>nx6468); ix5513 : mux21 port map ( Y=>nx5512, A0=>reg_38_q_c_5, A1=>nx8982, S0=> nx5468); REG_38_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9474, D=>nx6460, CLK=>CLK ); ix6461 : xor2 port map ( Y=>nx6460, A0=>nx9445, A1=>nx9447); ix6443 : xnor2 port map ( Y=>nx6442, A0=>nx9453, A1=>nx6440); ix9454 : aoi22 port map ( Y=>nx9453, A0=>nx4812, A1=>reg_43_q_c_5, B0=> nx4528, B1=>nx5440); ix6441 : xnor2 port map ( Y=>nx6440, A0=>reg_43_q_c_6, A1=>nx9471); REG_43_reg_q_6 : dff port map ( Q=>reg_43_q_c_6, QB=>OPEN, D=>nx6432, CLK =>CLK); ix6433 : xor2 port map ( Y=>nx6432, A0=>nx9461, A1=>nx9464); ix9462 : aoi22 port map ( Y=>nx9461, A0=>nx5428, A1=>reg_38_q_c_5, B0=> nx4536, B1=>nx5430); ix6429 : ao21 port map ( Y=>nx6428, A0=>C_MUX2_7_SEL, A1=> PRI_OUT_2_6_EXMPLR, B0=>nx5540); ix5541 : nor02 port map ( Y=>nx5540, A0=>C_MUX2_7_SEL, A1=>nx16411); ix9472 : mux21 port map ( Y=>nx9471, A0=>PRI_IN_3(6), A1=>reg_6_q_c_6, S0 =>C_MUX2_5_SEL); ix6221 : ao21 port map ( Y=>nx6220, A0=>C_MUX2_6_SEL, A1=>reg_14_q_c_6, B0=>nx6196); REG_14_reg_q_6 : dff port map ( Q=>reg_14_q_c_6, QB=>OPEN, D=>nx6210, CLK =>CLK); ix6211 : xnor2 port map ( Y=>nx6210, A0=>nx6206, A1=>nx9479); ix6207 : oai22 port map ( Y=>nx6206, A0=>nx9023, A1=>nx9027, B0=>nx6527, B1=>nx9085); ix9480 : xnor2 port map ( Y=>nx9479, A0=>reg_7_q_c_6, A1=>nx9435); REG_7_reg_q_6 : dff port map ( Q=>reg_7_q_c_6, QB=>OPEN, D=>nx6284, CLK=> CLK); ix6285 : xor2 port map ( Y=>nx6284, A0=>nx5588, A1=>nx6282); ix5589 : mux21 port map ( Y=>nx5588, A0=>nx9487, A1=>nx9033, S0=>nx5282); ix6283 : xnor2 port map ( Y=>nx6282, A0=>nx16411, A1=>nx6537); ix6281 : ao21 port map ( Y=>nx6537, A0=>C_MUX2_4_SEL, A1=>reg_25_q_c_6, B0=>nx6238); REG_25_reg_q_6 : dff port map ( Q=>reg_25_q_c_6, QB=>OPEN, D=>nx6270, CLK =>CLK); ix6271 : xor2 port map ( Y=>nx6270, A0=>nx9494, A1=>nx9496); ix9495 : mux21 port map ( Y=>nx9494, A0=>nx5248, A1=>nx9057, S0=>nx9045); ix9498 : xnor2 port map ( Y=>nx9496, A0=>reg_29_q_c_6, A1=>nx9292); REG_29_reg_q_6 : dff port map ( Q=>reg_29_q_c_6, QB=>OPEN, D=>nx6260, CLK =>CLK); ix6261 : xor2 port map ( Y=>nx6260, A0=>nx9503, A1=>nx9507); ix9508 : xnor2 port map ( Y=>nx9507, A0=>PRI_OUT_2_6_EXMPLR, A1=> nx14663_XX0_XREP175); ix6239 : nor02 port map ( Y=>nx6238, A0=>C_MUX2_4_SEL, A1=>nx9513); ix9514 : mux21 port map ( Y=>nx9513, A0=>nx6541_XX0_XREP311, A1=> reg_26_q_c_6, S0=>C_MUX2_22_SEL); REG_26_reg_q_6 : dff port map ( Q=>reg_26_q_c_6, QB=>OPEN, D=>nx6224, CLK =>CLK); ix6225 : xor2 port map ( Y=>nx6224, A0=>nx6172, A1=>nx6222); ix6173 : mux21 port map ( Y=>nx6172, A0=>nx5220, A1=>nx9069, S0=>nx5222); ix6223 : xnor2 port map ( Y=>nx6222, A0=>reg_30_q_c_6, A1=>nx6220); REG_30_reg_q_6 : dff port map ( Q=>reg_30_q_c_6, QB=>OPEN, D=>nx6186, CLK =>CLK); ix6187 : xnor2 port map ( Y=>nx6186, A0=>nx9531, A1=>nx6184); ix9532 : aoi22 port map ( Y=>nx9531, A0=>nx9281, A1=>PRI_IN_4(5), B0=> nx5182, B1=>nx5184); ix6185 : xnor2 port map ( Y=>nx6184, A0=>PRI_IN_4(6), A1=>nx14711); ix6197 : nor02 port map ( Y=>nx6196, A0=>C_MUX2_6_SEL, A1=>nx9292); REG_47_reg_q_6 : dff port map ( Q=>reg_47_q_c_6, QB=>OPEN, D=>nx5914, CLK =>CLK); ix5915 : xnor2 port map ( Y=>nx5914, A0=>nx9547, A1=>nx5912); ix9548 : aoi22 port map ( Y=>nx9547, A0=>nx9215, A1=>reg_27_q_c_5, B0=> nx4910, B1=>nx4912); REG_27_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9559, D=>nx5870, CLK=>CLK ); ix5871 : xnor2 port map ( Y=>nx5870, A0=>nx9555, A1=>nx5868); ix9556 : mux21 port map ( Y=>nx9555, A0=>nx16408, A1=>nx4866, S0=>nx4868 ); ix5869 : xnor2 port map ( Y=>nx5868, A0=>nx16411, A1=>nx9513); ix5683 : xnor2 port map ( Y=>nx5682, A0=>nx9565, A1=>nx5680); ix9566 : aoi22 port map ( Y=>nx9565, A0=>nx14837, A1=>reg_44_q_c_5, B0=> nx4658, B1=>nx4680); ix5681 : xnor2 port map ( Y=>nx5680, A0=>reg_44_q_c_6, A1=>nx14799); REG_44_reg_q_6 : dff port map ( Q=>reg_44_q_c_6, QB=>OPEN, D=>nx5672, CLK =>CLK); ix5673 : xnor2 port map ( Y=>nx5672, A0=>nx9573, A1=>nx5670); ix9574 : aoi22 port map ( Y=>nx9573, A0=>nx14851, A1=>PRI_IN_3(5), B0=> nx4668, B1=>nx4670); ix5671 : xnor2 port map ( Y=>nx5670, A0=>PRI_IN_3(6), A1=> PRI_OUT_3_6_EXMPLR); ix5891 : ao21 port map ( Y=>nx5890, A0=>C_MUX2_24_SEL, A1=>reg_17_q_c_6, B0=>nx5846); REG_17_reg_q_6 : dff port map ( Q=>reg_17_q_c_6, QB=>OPEN, D=>nx5880, CLK =>CLK); ix5881 : xor2 port map ( Y=>nx5880, A0=>nx5856, A1=>nx5878); ix5857 : mux21 port map ( Y=>nx5856, A0=>reg_27_q_c_5, A1=>nx9131, S0=> nx4878); ix5847 : nor02 port map ( Y=>nx5846, A0=>C_MUX2_24_SEL, A1=>nx9595); ix9596 : mux21 port map ( Y=>nx9595, A0=>nx5816, A1=>reg_8_q_c_6, S0=> C_MUX2_13_SEL); REG_8_reg_q_6 : dff port map ( Q=>reg_8_q_c_6, QB=>OPEN, D=>nx5832, CLK=> CLK); ix5833 : xor2 port map ( Y=>nx5832, A0=>nx9601, A1=>nx9605); ix9602 : aoi22 port map ( Y=>nx9601, A0=>reg_2_q_c_5, A1=>PRI_IN_5(5), B0 =>nx4828, B1=>nx4830); REG_2_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9615, D=>nx5988, CLK=>CLK); ix5989 : xnor2 port map ( Y=>nx5988, A0=>nx9610, A1=>nx5986); ix9612 : aoi22 port map ( Y=>nx9610, A0=>nx9229, A1=>reg_37_q_c_5, B0=> nx4616, B1=>nx4986); ix6011 : nor02 port map ( Y=>nx6010, A0=>C_MUX2_2_SEL, A1=>nx9221); ix9630 : mux21 port map ( Y=>nx9629, A0=>PRI_IN_5(6), A1=>reg_18_q_c_6, S0=>C_MUX2_1_SEL); REG_18_reg_q_6 : dff port map ( Q=>reg_18_q_c_6, QB=>OPEN, D=>nx5572, CLK =>CLK); ix5573 : xnor2 port map ( Y=>nx5572, A0=>nx9635, A1=>nx5570); ix9636 : aoi22 port map ( Y=>nx9635, A0=>nx9251, A1=>nx15748, B0=>nx4568, B1=>nx4570); ix5571 : xnor2 port map ( Y=>nx5570, A0=>PRI_IN_5(6), A1=> PRI_OUT_2_6_EXMPLR); ix5999 : nor02 port map ( Y=>nx5998, A0=>C_MUX2_10_SEL, A1=>nx9615); REG_11_reg_q_6 : dff port map ( Q=>reg_11_q_c_6, QB=>OPEN, D=>nx6334, CLK =>CLK); ix6335 : xor2 port map ( Y=>nx6334, A0=>nx9651, A1=>nx9655); ix9652 : aoi22 port map ( Y=>nx9651, A0=>reg_45_q_c_5, A1=>PRI_IN_8(5), B0=>nx5310, B1=>nx5332); REG_45_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9665, D=>nx6324, CLK=>CLK ); ix6325 : xnor2 port map ( Y=>nx6324, A0=>nx9661, A1=>nx6322); ix9662 : aoi22 port map ( Y=>nx9661, A0=>nx9215, A1=>PRI_IN_8(5), B0=> nx5320, B1=>nx5322); ix6323 : xnor2 port map ( Y=>nx6322, A0=>PRI_IN_8(6), A1=>nx15736); ix9670 : mux21 port map ( Y=>nx9669, A0=>reg_7_q_c_6, A1=>reg_18_q_c_6, S0=>C_MUX2_9_SEL); REG_28_reg_q_7 : dff port map ( Q=>PRI_OUT_2_7_EXMPLR, QB=>OPEN, D=> nx7418, CLK=>CLK); ix7419 : xnor2 port map ( Y=>nx7418, A0=>nx6548, A1=>nx9677); ix6549 : mux21 port map ( Y=>nx6548, A0=>nx9205, A1=>nx9411, S0=>nx9219); ix9680 : mux21 port map ( Y=>nx9679, A0=>reg_35_q_c_7, A1=>PRI_IN_0(7), S0=>C_MUX2_23_SEL); REG_35_reg_q_7 : dff port map ( Q=>reg_35_q_c_7, QB=>OPEN, D=>nx7400, CLK =>CLK); ix7401 : xor2 port map ( Y=>nx7400, A0=>nx6558, A1=>nx7398); ix6559 : mux21 port map ( Y=>nx6558, A0=>PRI_IN_0(6), A1=>nx9227, S0=> nx6398); ix7391 : ao21 port map ( Y=>nx7390, A0=>nx14765, A1=>reg_13_q_c_7, B0=> nx7346); REG_13_reg_q_7 : dff port map ( Q=>reg_13_q_c_7, QB=>OPEN, D=>nx7378, CLK =>CLK); ix7379 : xnor2 port map ( Y=>nx7378, A0=>nx7354, A1=>nx9695); ix7355 : oai22 port map ( Y=>nx7354, A0=>nx9239, A1=>nx9243, B0=>nx9255, B1=>nx9693); ix9694 : inv02 port map ( Y=>nx9693, A=>PRI_IN_4(6)); ix9696 : xnor2 port map ( Y=>nx9695, A0=>PRI_IN_4(7), A1=>reg_49_q_c_7); REG_49_reg_q_7 : dff port map ( Q=>reg_49_q_c_7, QB=>OPEN, D=>nx7368, CLK =>CLK); ix7369 : xor2 port map ( Y=>nx7368, A0=>nx7364, A1=>nx7366); ix7365 : mux21 port map ( Y=>nx7364, A0=>PRI_IN_5(6), A1=>nx9249, S0=> nx6366); ix7347 : nor02 port map ( Y=>nx7346, A0=>nx14765, A1=>nx9709); ix9710 : mux21 port map ( Y=>nx9709, A0=>nx6549, A1=>reg_11_q_c_7, S0=> C_MUX2_18_SEL); ix6779 : ao21 port map ( Y=>nx6549, A0=>nx14775, A1=>reg_6_q_c_7, B0=> nx6776); REG_6_reg_q_7 : dff port map ( Q=>reg_6_q_c_7, QB=>OPEN, D=>nx6802, CLK=> CLK); ix6803 : xor2 port map ( Y=>nx6802, A0=>nx6706, A1=>nx6800); ix6707 : mux21 port map ( Y=>nx6706, A0=>reg_40_q_c_6, A1=>nx9265, S0=> nx5800); REG_40_reg_q_7 : dff port map ( Q=>OPEN, QB=>nx9740, D=>nx6792, CLK=>CLK ); ix6793 : xnor2 port map ( Y=>nx6792, A0=>nx6714, A1=>nx9729); ix6715 : oai22 port map ( Y=>nx6714, A0=>nx9271, A1=>nx9274, B0=>nx9288, B1=>nx9727); ix9728 : inv02 port map ( Y=>nx9727, A=>PRI_IN_1(6)); ix9730 : xnor2 port map ( Y=>nx9729, A0=>PRI_IN_1(7), A1=>reg_41_q_c_7); REG_41_reg_q_7 : dff port map ( Q=>reg_41_q_c_7, QB=>OPEN, D=>nx6782, CLK =>CLK); ix6783 : xor2 port map ( Y=>nx6782, A0=>nx6724, A1=>nx6780); ix6725 : mux21 port map ( Y=>nx6724, A0=>nx6533, A1=>nx9279, S0=>nx5780); ix6781 : xnor2 port map ( Y=>nx6780, A0=>PRI_IN_6(7), A1=>nx6549); ix6777 : nor02 port map ( Y=>nx6776, A0=>nx14775, A1=>nx9743); ix9744 : mux21 port map ( Y=>nx9743, A0=>reg_16_q_c_7, A1=>PRI_IN_2(7), S0=>C_MUX2_25_SEL); REG_16_reg_q_7 : dff port map ( Q=>reg_16_q_c_7, QB=>OPEN, D=>nx6760, CLK =>CLK); ix6761 : xor2 port map ( Y=>nx6760, A0=>nx6738, A1=>nx6758); ix6739 : mux21 port map ( Y=>nx6738, A0=>reg_31_q_c_6, A1=>nx9297, S0=> nx5758); REG_31_reg_q_7 : dff port map ( Q=>OPEN, QB=>nx10091, D=>nx6750, CLK=>CLK ); ix6751 : xnor2 port map ( Y=>nx6750, A0=>nx6746, A1=>nx9759); ix6747 : oai22 port map ( Y=>nx6746, A0=>nx9303, A1=>nx9307, B0=>nx9644, B1=>nx9756); ix9757 : inv02 port map ( Y=>nx9756, A=>PRI_IN_9(6)); ix9760 : xnor2 port map ( Y=>nx9759, A0=>PRI_IN_9(7), A1=>reg_39_q_c_7); REG_39_reg_q_7 : dff port map ( Q=>reg_39_q_c_7, QB=>OPEN, D=>nx6940, CLK =>CLK); ix6941 : xnor2 port map ( Y=>nx6940, A0=>nx6650, A1=>nx9765); ix6651 : mux21 port map ( Y=>nx6650, A0=>nx9313, A1=>nx9411, S0=>nx9315); ix9768 : mux21 port map ( Y=>nx9767, A0=>PRI_IN_1(7), A1=>reg_24_q_c_7, S0=>C_MUX2_17_SEL); REG_24_reg_q_7 : dff port map ( Q=>reg_24_q_c_7, QB=>OPEN, D=>nx7144, CLK =>CLK); ix7145 : xor2 port map ( Y=>nx7144, A0=>nx6606, A1=>nx7142); ix6607 : oai22 port map ( Y=>nx6606, A0=>nx9323, A1=>nx9773, B0=> PRI_OUT_0_6_EXMPLR, B1=>nx9775); ix9776 : inv02 port map ( Y=>nx9775, A=>PRI_IN_5(6)); REG_1_reg_q_7 : dff port map ( Q=>reg_1_q_c_7, QB=>OPEN, D=>nx7130, CLK=> CLK); ix7131 : xnor2 port map ( Y=>nx7130, A0=>nx9785, A1=>nx7128); ix9786 : mux21 port map ( Y=>nx9785, A0=>nx6126, A1=>nx6006, S0=>nx6128); ix7129 : xnor2 port map ( Y=>nx7128, A0=>nx7118, A1=>nx10077); ix7119 : ao21 port map ( Y=>nx7118, A0=>C_MUX2_2_SEL, A1=>reg_33_q_c_7, B0=>nx7010); REG_33_reg_q_7 : dff port map ( Q=>reg_33_q_c_7, QB=>OPEN, D=>nx7108, CLK =>CLK); ix7109 : xnor2 port map ( Y=>nx7108, A0=>nx7018, A1=>nx9796); ix7019 : oai22 port map ( Y=>nx7018, A0=>nx9338, A1=>nx9341, B0=>nx9625, B1=>nx9517); ix9797 : xnor2 port map ( Y=>nx9796, A0=>PRI_IN_8(7), A1=>reg_46_q_c_7); REG_46_reg_q_7 : dff port map ( Q=>reg_46_q_c_7, QB=>OPEN, D=>nx7098, CLK =>CLK); ix7099 : xnor2 port map ( Y=>nx7098, A0=>nx7026, A1=>nx9803); ix7027 : ao21 port map ( Y=>nx7026, A0=>nx6541, A1=>reg_50_q_c_6, B0=> nx7024); ix7025 : nor02 port map ( Y=>nx7024, A0=>nx9347, A1=>nx9355); ix9804 : xnor2 port map ( Y=>nx9803, A0=>reg_50_q_c_7, A1=>nx6553); REG_50_reg_q_7 : dff port map ( Q=>reg_50_q_c_7, QB=>OPEN, D=>nx7088, CLK =>CLK); ix7089 : xnor2 port map ( Y=>nx7088, A0=>nx7036, A1=>nx9808); ix7037 : mux21 port map ( Y=>nx7036, A0=>nx9359, A1=>PRI_IN_9(6), S0=> nx9363); ix9809 : xnor2 port map ( Y=>nx9808, A0=>PRI_IN_9(7), A1=>nx9811); ix9812 : mux21 port map ( Y=>nx9811, A0=>reg_15_q_c_7, A1=>nx14659, S0=> C_MUX2_16_SEL); REG_15_reg_q_7 : dff port map ( Q=>reg_15_q_c_7, QB=>OPEN, D=>nx7070, CLK =>CLK); ix7071 : xor2 port map ( Y=>nx7070, A0=>nx7046, A1=>nx7068); ix7047 : mux21 port map ( Y=>nx7046, A0=>reg_36_q_c_6, A1=>nx9371, S0=> nx6068); REG_36_reg_q_7 : dff port map ( Q=>OPEN, QB=>nx10071, D=>nx7060, CLK=>CLK ); ix7061 : xor2 port map ( Y=>nx7060, A0=>nx7056, A1=>nx7058); ix7057 : mux21 port map ( Y=>nx7056, A0=>reg_37_q_c_6, A1=>nx9377, S0=> nx6058); REG_37_reg_q_7 : dff port map ( Q=>reg_37_q_c_7, QB=>nx10069, D=>nx6978, CLK=>CLK); ix6979 : xnor2 port map ( Y=>nx6978, A0=>nx6624, A1=>nx9835); ix9836 : xnor2 port map ( Y=>nx9835, A0=>PRI_IN_7(7), A1=> PRI_OUT_5_7_EXMPLR); ix6975 : ao21 port map ( Y=>PRI_OUT_5_7_EXMPLR, A0=>nx14743, A1=> reg_9_q_c_7, B0=>nx6972); REG_9_reg_q_7 : dff port map ( Q=>reg_9_q_c_7, QB=>OPEN, D=>nx6960, CLK=> CLK); ix6961 : xnor2 port map ( Y=>nx6960, A0=>nx6632, A1=>nx9841); ix6633 : oai22 port map ( Y=>nx6632, A0=>nx9393, A1=>nx9397, B0=>nx9408, B1=>nx9775); ix9842 : xnor2 port map ( Y=>nx9841, A0=>PRI_IN_5(7), A1=>reg_42_q_c_7); REG_42_reg_q_7 : dff port map ( Q=>reg_42_q_c_7, QB=>OPEN, D=>nx6950, CLK =>CLK); ix6951 : xor2 port map ( Y=>nx6950, A0=>nx6642, A1=>nx6948); ix6643 : oai22 port map ( Y=>nx6642, A0=>nx9403, A1=>nx9847, B0=> PRI_IN_1(6), B1=>nx9644); ix6949 : xnor2 port map ( Y=>nx6948, A0=>PRI_IN_1(7), A1=>reg_39_q_c_7); ix6925 : xnor2 port map ( Y=>nx6924, A0=>nx6900, A1=>nx9865); ix6901 : ao21 port map ( Y=>nx6900, A0=>reg_47_q_c_6, A1=> PRI_OUT_9_6_EXMPLR, B0=>nx6898); ix6899 : nor02 port map ( Y=>nx6898, A0=>nx9417, A1=>nx9421); ix9866 : xnor2 port map ( Y=>nx9865, A0=>PRI_OUT_9_7_EXMPLR, A1=> reg_47_q_c_7); REG_23_reg_q_7 : dff port map ( Q=>PRI_OUT_9_7_EXMPLR, QB=>OPEN, D=> nx7488, CLK=>CLK); ix7489 : xnor2 port map ( Y=>nx7488, A0=>nx9871, A1=>nx7486); ix9872 : aoi22 port map ( Y=>nx9871, A0=>nx6542, A1=>PRI_IN_0(6), B0=> nx5502, B1=>nx6486); ix7487 : xnor2 port map ( Y=>nx7486, A0=>PRI_IN_0(7), A1=>nx9879); ix9880 : mux21 port map ( Y=>nx9879, A0=>reg_34_q_c_7, A1=>PRI_IN_0(7), S0=>C_MUX2_19_SEL); REG_34_reg_q_7 : dff port map ( Q=>reg_34_q_c_7, QB=>OPEN, D=>nx7470, CLK =>CLK); ix7471 : xnor2 port map ( Y=>nx7470, A0=>nx9884, A1=>nx7468); ix9885 : mux21 port map ( Y=>nx9884, A0=>nx9474, A1=>nx5512, S0=>nx6468); ix7469 : xnor2 port map ( Y=>nx7468, A0=>reg_38_q_c_7, A1=>nx7220); REG_38_reg_q_7 : dff port map ( Q=>reg_38_q_c_7, QB=>OPEN, D=>nx7460, CLK =>CLK); ix7461 : xnor2 port map ( Y=>nx7460, A0=>nx6520, A1=>nx9891); ix9894 : mux21 port map ( Y=>nx9893, A0=>reg_5_q_c_7, A1=>PRI_IN_4(7), S0 =>C_MUX2_8_SEL); REG_5_reg_q_7 : dff port map ( Q=>reg_5_q_c_7, QB=>OPEN, D=>nx7442, CLK=> CLK); ix7443 : xor2 port map ( Y=>nx7442, A0=>nx6528, A1=>nx7440); ix6529 : mux21 port map ( Y=>nx6528, A0=>nx9471, A1=>nx9453, S0=>nx6440); ix7441 : xnor2 port map ( Y=>nx7440, A0=>reg_43_q_c_7, A1=>nx9920); REG_43_reg_q_7 : dff port map ( Q=>reg_43_q_c_7, QB=>OPEN, D=>nx7432, CLK =>CLK); ix7433 : xnor2 port map ( Y=>nx7432, A0=>nx6536, A1=>nx9915); ix6537 : oai22 port map ( Y=>nx6536, A0=>nx9461, A1=>nx9464, B0=>nx9909, B1=>nx9474); ix9916 : xnor2 port map ( Y=>nx9915, A0=>reg_38_q_c_7, A1=>nx7428); ix7429 : ao21 port map ( Y=>nx7428, A0=>C_MUX2_7_SEL, A1=>nx16418, B0=> nx6540); ix6541 : nor02 port map ( Y=>nx6540, A0=>C_MUX2_7_SEL, A1=>nx16416); ix9921 : mux21 port map ( Y=>nx9920, A0=>PRI_IN_3(7), A1=>reg_6_q_c_7, S0 =>C_MUX2_5_SEL); ix7221 : ao21 port map ( Y=>nx7220, A0=>C_MUX2_6_SEL, A1=>reg_14_q_c_7, B0=>nx7196); REG_14_reg_q_7 : dff port map ( Q=>reg_14_q_c_7, QB=>OPEN, D=>nx7210, CLK =>CLK); ix7211 : xor2 port map ( Y=>nx7210, A0=>nx9929, A1=>nx9932); ix9930 : aoi22 port map ( Y=>nx9929, A0=>nx9435, A1=>reg_7_q_c_6, B0=> nx6206, B1=>nx6208); REG_7_reg_q_7 : dff port map ( Q=>reg_7_q_c_7, QB=>nx9985, D=>nx7284, CLK =>CLK); ix7285 : xnor2 port map ( Y=>nx7284, A0=>nx9937, A1=>nx7282); ix9938 : mux21 port map ( Y=>nx9937, A0=>nx6537, A1=>nx5588, S0=>nx6282); ix7283 : xnor2 port map ( Y=>nx7282, A0=>nx16416, A1=>nx6551); ix7281 : ao21 port map ( Y=>nx6551, A0=>C_MUX2_4_SEL, A1=>reg_25_q_c_7, B0=>nx7238); REG_25_reg_q_7 : dff port map ( Q=>reg_25_q_c_7, QB=>OPEN, D=>nx7270, CLK =>CLK); ix7271 : xnor2 port map ( Y=>nx7270, A0=>nx7248, A1=>nx9946); ix7249 : mux21 port map ( Y=>nx7248, A0=>nx9494, A1=>reg_29_q_c_6, S0=> nx9496); REG_29_reg_q_7 : dff port map ( Q=>OPEN, QB=>nx9956, D=>nx7260, CLK=>CLK ); ix7261 : xnor2 port map ( Y=>nx7260, A0=>nx7256, A1=>nx9954); ix7239 : nor02 port map ( Y=>nx7238, A0=>C_MUX2_4_SEL, A1=>nx9959); ix9960 : mux21 port map ( Y=>nx9959, A0=>nx6553, A1=>reg_26_q_c_7, S0=> C_MUX2_22_SEL); ix7159 : mux21 port map ( Y=>nx6553, A0=>nx9963, A1=>nx9767, S0=> C_MUX2_21_SEL); ix9964 : inv02 port map ( Y=>nx9963, A=>PRI_IN_8(7)); REG_26_reg_q_7 : dff port map ( Q=>reg_26_q_c_7, QB=>OPEN, D=>nx7224, CLK =>CLK); ix7225 : xnor2 port map ( Y=>nx7224, A0=>nx9967, A1=>nx7222); ix9968 : aoi22 port map ( Y=>nx9967, A0=>nx9969, A1=>reg_30_q_c_6, B0=> nx6172, B1=>nx6222); ix7223 : xnor2 port map ( Y=>nx7222, A0=>reg_30_q_c_7, A1=>nx7220); REG_30_reg_q_7 : dff port map ( Q=>reg_30_q_c_7, QB=>OPEN, D=>nx7186, CLK =>CLK); ix7187 : xor2 port map ( Y=>nx7186, A0=>nx7182, A1=>nx7184); ix7183 : mux21 port map ( Y=>nx7182, A0=>nx6533, A1=>nx9531, S0=>nx6184); ix7185 : xnor2 port map ( Y=>nx7184, A0=>PRI_IN_4(7), A1=>nx6549); ix7197 : nor02 port map ( Y=>nx7196, A0=>C_MUX2_6_SEL, A1=>nx9743); REG_47_reg_q_7 : dff port map ( Q=>reg_47_q_c_7, QB=>OPEN, D=>nx6914, CLK =>CLK); ix6915 : xor2 port map ( Y=>nx6914, A0=>nx6910, A1=>nx6912); ix6911 : oai22 port map ( Y=>nx6910, A0=>nx9547, A1=>nx9994, B0=>nx15736, B1=>nx9559); ix6913 : xnor2 port map ( Y=>nx6912, A0=>reg_27_q_c_7, A1=>nx6816); REG_27_reg_q_7 : dff port map ( Q=>reg_27_q_c_7, QB=>OPEN, D=>nx6870, CLK =>CLK); ix6871 : xor2 port map ( Y=>nx6870, A0=>nx6866, A1=>nx6868); ix6867 : mux21 port map ( Y=>nx6866, A0=>nx6529, A1=>nx9555, S0=>nx5868); ix6869 : xnor2 port map ( Y=>nx6868, A0=>nx16416, A1=>nx9959); ix6817 : ao21 port map ( Y=>nx6816, A0=>nx14727, A1=>reg_10_q_c_7, B0=> nx6814); REG_10_reg_q_7 : dff port map ( Q=>reg_10_q_c_7, QB=>OPEN, D=>nx6682, CLK =>CLK); ix6683 : xor2 port map ( Y=>nx6682, A0=>nx6658, A1=>nx6680); ix6659 : mux21 port map ( Y=>nx6658, A0=>nx9411, A1=>nx9565, S0=>nx5680); REG_44_reg_q_7 : dff port map ( Q=>reg_44_q_c_7, QB=>OPEN, D=>nx6672, CLK =>CLK); ix6673 : xor2 port map ( Y=>nx6672, A0=>nx6668, A1=>nx6670); ix6669 : mux21 port map ( Y=>nx6668, A0=>PRI_OUT_3_6_EXMPLR, A1=>nx9573, S0=>nx5670); ix6815 : nor02 port map ( Y=>nx6814, A0=>nx14729, A1=>nx9920); REG_17_reg_q_7 : dff port map ( Q=>reg_17_q_c_7, QB=>OPEN, D=>nx6880, CLK =>CLK); ix6881 : xnor2 port map ( Y=>nx6880, A0=>nx10041, A1=>nx6878); ix10042 : mux21 port map ( Y=>nx10041, A0=>nx9559, A1=>nx5856, S0=>nx5878 ); ix6879 : xnor2 port map ( Y=>nx6878, A0=>reg_27_q_c_7, A1=>nx6551); ix6847 : nor02 port map ( Y=>nx6846, A0=>C_MUX2_24_SEL, A1=>nx10047); ix10048 : mux21 port map ( Y=>nx10047, A0=>nx6816, A1=>reg_8_q_c_7, S0=> C_MUX2_13_SEL); REG_8_reg_q_7 : dff port map ( Q=>reg_8_q_c_7, QB=>OPEN, D=>nx6832, CLK=> CLK); ix6833 : xnor2 port map ( Y=>nx6832, A0=>nx6828, A1=>nx10055); ix6829 : oai22 port map ( Y=>nx6828, A0=>nx9601, A1=>nx9605, B0=>nx9615, B1=>nx9775); ix10056 : xnor2 port map ( Y=>nx10055, A0=>PRI_IN_5(7), A1=>reg_2_q_c_7); REG_2_reg_q_7 : dff port map ( Q=>reg_2_q_c_7, QB=>OPEN, D=>nx6988, CLK=> CLK); ix6989 : xor2 port map ( Y=>nx6988, A0=>nx6616, A1=>nx6986); ix6617 : oai22 port map ( Y=>nx6616, A0=>nx9610, A1=>nx10063, B0=> PRI_IN_0(6), B1=>nx9618); ix7011 : nor02 port map ( Y=>nx7010, A0=>C_MUX2_2_SEL, A1=>nx9679); ix10078 : mux21 port map ( Y=>nx10077, A0=>PRI_IN_5(7), A1=>reg_18_q_c_7, S0=>C_MUX2_1_SEL); REG_18_reg_q_7 : dff port map ( Q=>reg_18_q_c_7, QB=>OPEN, D=>nx6572, CLK =>CLK); ix6573 : xor2 port map ( Y=>nx6572, A0=>nx6568, A1=>nx6570); ix6569 : mux21 port map ( Y=>nx6568, A0=>PRI_IN_5(6), A1=>nx9635, S0=> nx5570); ix6571 : xnor2 port map ( Y=>nx6570, A0=>PRI_IN_5(7), A1=>nx16418); REG_11_reg_q_7 : dff port map ( Q=>reg_11_q_c_7, QB=>OPEN, D=>nx7334, CLK =>CLK); ix7335 : xnor2 port map ( Y=>nx7334, A0=>nx7310, A1=>nx10097); ix7311 : oai22 port map ( Y=>nx7310, A0=>nx9651, A1=>nx9655, B0=>nx9665, B1=>nx9517); ix10098 : xnor2 port map ( Y=>nx10097, A0=>PRI_IN_8(7), A1=>reg_45_q_c_7 ); REG_45_reg_q_7 : dff port map ( Q=>reg_45_q_c_7, QB=>OPEN, D=>nx7324, CLK =>CLK); ix7325 : xor2 port map ( Y=>nx7324, A0=>nx7320, A1=>nx7322); ix7321 : mux21 port map ( Y=>nx7320, A0=>nx15736, A1=>nx9661, S0=>nx6322 ); ix7323 : xnor2 port map ( Y=>nx7322, A0=>PRI_IN_8(7), A1=>nx6816); ix7299 : nor02 port map ( Y=>nx7298, A0=>nx14755, A1=>nx10107); ix10108 : mux21 port map ( Y=>nx10107, A0=>reg_7_q_c_7, A1=>reg_18_q_c_7, S0=>C_MUX2_9_SEL); REG_28_reg_q_8 : dff port map ( Q=>PRI_OUT_2_dup0_8, QB=>OPEN, D=>nx8418, CLK=>CLK); ix8419 : xor2 port map ( Y=>nx8418, A0=>nx10113, A1=>nx10129); ix10114 : mux21 port map ( Y=>nx10113, A0=>nx6548, A1=>nx14839, S0=> nx9677); ix10130 : xnor2 port map ( Y=>nx10129, A0=>nx10131, A1=>nx16420); ix10132 : mux21 port map ( Y=>nx10131, A0=>reg_35_q_c_8, A1=>PRI_IN_0(8), S0=>C_MUX2_23_SEL); REG_35_reg_q_8 : dff port map ( Q=>reg_35_q_c_8, QB=>OPEN, D=>nx8400, CLK =>CLK); ix8401 : xnor2 port map ( Y=>nx8400, A0=>nx10135, A1=>nx8398); ix10136 : aoi22 port map ( Y=>nx10135, A0=>nx10137, A1=>nx14661, B0=> nx6558, B1=>nx7398); ix10138 : inv02 port map ( Y=>nx10137, A=>PRI_IN_0(7)); ix8399 : xnor2 port map ( Y=>nx8398, A0=>PRI_IN_0(8), A1=>nx14655); REG_13_reg_q_8 : dff port map ( Q=>reg_13_q_c_8, QB=>OPEN, D=>nx8378, CLK =>CLK); ix8379 : xor2 port map ( Y=>nx8378, A0=>nx10148, A1=>nx10151); ix10149 : aoi22 port map ( Y=>nx10148, A0=>reg_49_q_c_7, A1=>PRI_IN_4(7), B0=>nx7354, B1=>nx7376); REG_49_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10163, D=>nx8368, CLK=>CLK ); ix8369 : xnor2 port map ( Y=>nx8368, A0=>nx10157, A1=>nx8366); ix10158 : aoi22 port map ( Y=>nx10157, A0=>nx10159, A1=>nx14661, B0=> nx7364, B1=>nx7366); ix10160 : inv02 port map ( Y=>nx10159, A=>PRI_IN_5(7)); ix8367 : xnor2 port map ( Y=>nx8366, A0=>PRI_IN_5(8), A1=>nx14655); ix8347 : nor02 port map ( Y=>nx8346, A0=>nx14767, A1=>nx10167); ix10168 : mux21 port map ( Y=>nx10167, A0=>nx14713, A1=>reg_11_q_c_8, S0 =>C_MUX2_18_SEL); ix7779 : ao21 port map ( Y=>nx6561, A0=>nx14775, A1=>reg_6_q_c_8, B0=> nx7776); REG_6_reg_q_8 : dff port map ( Q=>reg_6_q_c_8, QB=>OPEN, D=>nx7802, CLK=> CLK); ix7803 : xnor2 port map ( Y=>nx7802, A0=>nx10175, A1=>nx7800); ix10176 : aoi22 port map ( Y=>nx10175, A0=>nx9740, A1=>PRI_IN_2(7), B0=> nx6706, B1=>nx6800); ix7801 : xnor2 port map ( Y=>nx7800, A0=>PRI_IN_2(8), A1=>reg_40_q_c_8); REG_40_reg_q_8 : dff port map ( Q=>reg_40_q_c_8, QB=>OPEN, D=>nx7792, CLK =>CLK); ix7793 : xor2 port map ( Y=>nx7792, A0=>nx10183, A1=>nx10187); ix10184 : aoi22 port map ( Y=>nx10183, A0=>reg_41_q_c_7, A1=>PRI_IN_1(7), B0=>nx6714, B1=>nx6790); REG_41_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10199, D=>nx7782, CLK=>CLK ); ix7783 : xnor2 port map ( Y=>nx7782, A0=>nx10191, A1=>nx7780); ix10192 : aoi22 port map ( Y=>nx10191, A0=>nx10193, A1=>PRI_IN_6(7), B0=> nx6724, B1=>nx6780); ix7781 : xnor2 port map ( Y=>nx7780, A0=>PRI_IN_6(8), A1=>nx14713); ix7777 : nor02 port map ( Y=>nx7776, A0=>nx14777, A1=>nx10203); ix10204 : mux21 port map ( Y=>nx10203, A0=>reg_16_q_c_8, A1=>PRI_IN_2(8), S0=>C_MUX2_25_SEL); REG_16_reg_q_8 : dff port map ( Q=>reg_16_q_c_8, QB=>OPEN, D=>nx7760, CLK =>CLK); ix7761 : xnor2 port map ( Y=>nx7760, A0=>nx10209, A1=>nx7758); ix10210 : mux21 port map ( Y=>nx10209, A0=>nx10091, A1=>nx6738, S0=> nx6758); ix7759 : xnor2 port map ( Y=>nx7758, A0=>reg_31_q_c_8, A1=>nx14713); REG_31_reg_q_8 : dff port map ( Q=>reg_31_q_c_8, QB=>OPEN, D=>nx7750, CLK =>CLK); ix7751 : xor2 port map ( Y=>nx7750, A0=>nx10215, A1=>nx10219); ix10216 : aoi22 port map ( Y=>nx10215, A0=>reg_39_q_c_7, A1=>PRI_IN_9(7), B0=>nx6746, B1=>nx6748); REG_39_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10547, D=>nx7940, CLK=>CLK ); ix7941 : xor2 port map ( Y=>nx7940, A0=>nx10225, A1=>nx16423); ix10226 : mux21 port map ( Y=>nx10225, A0=>nx6650, A1=>nx14839, S0=> nx9765); ix10228 : xnor2 port map ( Y=>nx10227, A0=>nx10229, A1=> nx14805_XX0_XREP29); ix10230 : mux21 port map ( Y=>nx10229, A0=>PRI_IN_1(8), A1=>reg_24_q_c_8, S0=>C_MUX2_17_SEL); REG_24_reg_q_8 : dff port map ( Q=>reg_24_q_c_8, QB=>OPEN, D=>nx8144, CLK =>CLK); ix8145 : xnor2 port map ( Y=>nx8144, A0=>nx10233, A1=>nx8142); ix10234 : aoi22 port map ( Y=>nx10233, A0=>nx10235, A1=>PRI_IN_5(7), B0=> nx6606, B1=>nx7142); ix10236 : mux21 port map ( Y=>nx10235, A0=>reg_2_q_c_7, A1=>reg_1_q_c_7, S0=>C_MUX2_10_SEL); ix8143 : xnor2 port map ( Y=>nx8142, A0=>PRI_IN_5(8), A1=> PRI_OUT_0_8_EXMPLR); ix8141 : ao21 port map ( Y=>PRI_OUT_0_8_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_1_q_c_8, B0=>nx7998); REG_1_reg_q_8 : dff port map ( Q=>reg_1_q_c_8, QB=>OPEN, D=>nx8130, CLK=> CLK); ix8131 : xor2 port map ( Y=>nx8130, A0=>nx8006, A1=>nx8128); ix8007 : mux21 port map ( Y=>nx8006, A0=>nx10077, A1=>nx9785, S0=>nx7128 ); ix8129 : xnor2 port map ( Y=>nx8128, A0=>nx8118, A1=>nx10531); ix8119 : ao21 port map ( Y=>nx8118, A0=>C_MUX2_2_SEL, A1=>reg_33_q_c_8, B0=>nx8010); REG_33_reg_q_8 : dff port map ( Q=>reg_33_q_c_8, QB=>OPEN, D=>nx8108, CLK =>CLK); ix8109 : xor2 port map ( Y=>nx8108, A0=>nx10253, A1=>nx10257); ix10254 : aoi22 port map ( Y=>nx10253, A0=>reg_46_q_c_7, A1=>PRI_IN_8(7), B0=>nx7018, B1=>nx7106); REG_46_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10527, D=>nx8098, CLK=>CLK ); ix8099 : xor2 port map ( Y=>nx8098, A0=>nx10263, A1=>nx10269); ix10264 : aoi22 port map ( Y=>nx10263, A0=>nx6553, A1=>reg_50_q_c_7, B0=> nx7026, B1=>nx7096); REG_50_reg_q_8 : dff port map ( Q=>reg_50_q_c_8, QB=>OPEN, D=>nx8088, CLK =>CLK); ix8089 : xor2 port map ( Y=>nx8088, A0=>nx10274, A1=>nx10279); ix10275 : mux21 port map ( Y=>nx10274, A0=>nx7036, A1=>nx10276, S0=> nx9808); ix10277 : inv02 port map ( Y=>nx10276, A=>PRI_IN_9(7)); ix10280 : xnor2 port map ( Y=>nx10279, A0=>PRI_IN_9(8), A1=>nx10281); ix10282 : mux21 port map ( Y=>nx10281, A0=>reg_15_q_c_8, A1=> nx14655_XX0_XREP205, S0=>C_MUX2_16_SEL); REG_15_reg_q_8 : dff port map ( Q=>reg_15_q_c_8, QB=>OPEN, D=>nx8070, CLK =>CLK); ix8071 : xnor2 port map ( Y=>nx8070, A0=>nx10287, A1=>nx8068); ix10288 : aoi22 port map ( Y=>nx10287, A0=>nx10071, A1=>PRI_IN_6(7), B0=> nx7046, B1=>nx7068); ix8069 : xnor2 port map ( Y=>nx8068, A0=>PRI_IN_6(8), A1=>reg_36_q_c_8); REG_36_reg_q_8 : dff port map ( Q=>reg_36_q_c_8, QB=>OPEN, D=>nx8060, CLK =>CLK); ix8061 : xnor2 port map ( Y=>nx8060, A0=>nx10292, A1=>nx8058); ix10293 : aoi22 port map ( Y=>nx10292, A0=>nx10069, A1=>PRI_IN_2(7), B0=> nx7056, B1=>nx7058); REG_37_reg_q_8 : dff port map ( Q=>reg_37_q_c_8, QB=>nx10521, D=>nx7978, CLK=>CLK); ix7979 : xor2 port map ( Y=>nx7978, A0=>nx10299, A1=>nx10303); ix10304 : xnor2 port map ( Y=>nx10303, A0=>PRI_IN_7(8), A1=> PRI_OUT_5_8_EXMPLR); ix7975 : ao21 port map ( Y=>PRI_OUT_5_8_EXMPLR, A0=>nx14745, A1=> reg_9_q_c_8, B0=>nx7972); REG_9_reg_q_8 : dff port map ( Q=>reg_9_q_c_8, QB=>OPEN, D=>nx7960, CLK=> CLK); ix7961 : xor2 port map ( Y=>nx7960, A0=>nx10311, A1=>nx10314); ix10312 : aoi22 port map ( Y=>nx10311, A0=>reg_42_q_c_7, A1=>PRI_IN_5(7), B0=>nx6632, B1=>nx6958); REG_42_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10327, D=>nx7950, CLK=>CLK ); ix7951 : xnor2 port map ( Y=>nx7950, A0=>nx10321, A1=>nx7948); ix10322 : aoi22 port map ( Y=>nx10321, A0=>nx10323, A1=>reg_39_q_c_7, B0 =>nx6642, B1=>nx6948); ix10324 : inv02 port map ( Y=>nx10323, A=>PRI_IN_1(7)); ix7973 : nor02 port map ( Y=>nx7972, A0=>nx14745, A1=>nx14805_XX0_XREP29 ); ix7925 : xor2 port map ( Y=>nx7924, A0=>nx10335, A1=>nx10338); ix10336 : aoi22 port map ( Y=>nx10335, A0=>reg_47_q_c_7, A1=> PRI_OUT_9_7_EXMPLR, B0=>nx6900, B1=>nx6922); ix10339 : xnor2 port map ( Y=>nx10338, A0=>PRI_OUT_9_8_EXMPLR, A1=> reg_47_q_c_8); REG_23_reg_q_8 : dff port map ( Q=>PRI_OUT_9_8_EXMPLR, QB=>OPEN, D=> nx8488, CLK=>CLK); ix8489 : xor2 port map ( Y=>nx8488, A0=>nx7502, A1=>nx8486); ix7503 : oai22 port map ( Y=>nx7502, A0=>nx9871, A1=>nx10343, B0=>nx9879, B1=>nx10137); ix8487 : xnor2 port map ( Y=>nx8486, A0=>PRI_IN_0(8), A1=>nx10351); ix10352 : mux21 port map ( Y=>nx10351, A0=>reg_34_q_c_8, A1=>PRI_IN_0(8), S0=>C_MUX2_19_SEL); REG_34_reg_q_8 : dff port map ( Q=>reg_34_q_c_8, QB=>OPEN, D=>nx8470, CLK =>CLK); ix8471 : xor2 port map ( Y=>nx8470, A0=>nx7512, A1=>nx8468); ix7513 : mux21 port map ( Y=>nx7512, A0=>reg_38_q_c_7, A1=>nx9884, S0=> nx7468); REG_38_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10391, D=>nx8460, CLK=>CLK ); ix8461 : xor2 port map ( Y=>nx8460, A0=>nx10363, A1=>nx10365); REG_5_reg_q_8 : dff port map ( Q=>reg_5_q_c_8, QB=>OPEN, D=>nx8442, CLK=> CLK); ix8443 : xnor2 port map ( Y=>nx8442, A0=>nx10372, A1=>nx8440); ix10373 : aoi22 port map ( Y=>nx10372, A0=>nx6812, A1=>reg_43_q_c_7, B0=> nx6528, B1=>nx7440); ix8441 : xnor2 port map ( Y=>nx8440, A0=>reg_43_q_c_8, A1=>nx10386); REG_43_reg_q_8 : dff port map ( Q=>reg_43_q_c_8, QB=>OPEN, D=>nx8432, CLK =>CLK); ix8433 : xor2 port map ( Y=>nx8432, A0=>nx10377, A1=>nx10381); ix10378 : aoi22 port map ( Y=>nx10377, A0=>nx7428, A1=>reg_38_q_c_7, B0=> nx6536, B1=>nx7430); ix8429 : ao21 port map ( Y=>nx8428, A0=>C_MUX2_7_SEL, A1=> PRI_OUT_2_8_EXMPLR, B0=>nx7540); ix7541 : nor02 port map ( Y=>nx7540, A0=>C_MUX2_7_SEL, A1=>nx10367); ix10387 : mux21 port map ( Y=>nx10386, A0=>PRI_IN_3(8), A1=>reg_6_q_c_8, S0=>C_MUX2_5_SEL); ix8221 : ao21 port map ( Y=>nx8220, A0=>C_MUX2_6_SEL, A1=>reg_14_q_c_8, B0=>nx8196); REG_14_reg_q_8 : dff port map ( Q=>reg_14_q_c_8, QB=>OPEN, D=>nx8210, CLK =>CLK); ix8211 : xnor2 port map ( Y=>nx8210, A0=>nx8206, A1=>nx10397); ix8207 : oai22 port map ( Y=>nx8206, A0=>nx9929, A1=>nx9932, B0=>nx6555, B1=>nx9985); ix10398 : xnor2 port map ( Y=>nx10397, A0=>reg_7_q_c_8, A1=>nx10351); REG_7_reg_q_8 : dff port map ( Q=>reg_7_q_c_8, QB=>OPEN, D=>nx8284, CLK=> CLK); ix8285 : xor2 port map ( Y=>nx8284, A0=>nx7588, A1=>nx8282); ix7589 : mux21 port map ( Y=>nx7588, A0=>nx10404, A1=>nx9937, S0=>nx7282 ); ix8283 : xnor2 port map ( Y=>nx8282, A0=>nx10367, A1=>nx6563); ix8281 : ao21 port map ( Y=>nx6563, A0=>C_MUX2_4_SEL, A1=>reg_25_q_c_8, B0=>nx8238); REG_25_reg_q_8 : dff port map ( Q=>reg_25_q_c_8, QB=>OPEN, D=>nx8270, CLK =>CLK); ix8271 : xor2 port map ( Y=>nx8270, A0=>nx10411, A1=>nx10413); ix10412 : mux21 port map ( Y=>nx10411, A0=>nx7248, A1=>nx9956, S0=>nx9946 ); ix10414 : xnor2 port map ( Y=>nx10413, A0=>reg_29_q_c_8, A1=>nx10203); REG_29_reg_q_8 : dff port map ( Q=>reg_29_q_c_8, QB=>OPEN, D=>nx8260, CLK =>CLK); ix8261 : xor2 port map ( Y=>nx8260, A0=>nx10419, A1=>nx10422); ix10423 : xnor2 port map ( Y=>nx10422, A0=>PRI_OUT_2_8_EXMPLR, A1=> nx14655_XX0_XREP205); ix8239 : nor02 port map ( Y=>nx8238, A0=>C_MUX2_4_SEL, A1=>nx10427); ix10428 : mux21 port map ( Y=>nx10427, A0=>nx6567, A1=>reg_26_q_c_8, S0=> C_MUX2_22_SEL); ix10432 : inv02 port map ( Y=>nx10431, A=>PRI_IN_8(8)); REG_26_reg_q_8 : dff port map ( Q=>reg_26_q_c_8, QB=>OPEN, D=>nx8224, CLK =>CLK); ix8225 : xor2 port map ( Y=>nx8224, A0=>nx8172, A1=>nx8222); ix8173 : mux21 port map ( Y=>nx8172, A0=>nx7220, A1=>nx9967, S0=>nx7222); ix8223 : xnor2 port map ( Y=>nx8222, A0=>reg_30_q_c_8, A1=>nx8220); REG_30_reg_q_8 : dff port map ( Q=>reg_30_q_c_8, QB=>OPEN, D=>nx8186, CLK =>CLK); ix8187 : xnor2 port map ( Y=>nx8186, A0=>nx10441, A1=>nx8184); ix10442 : aoi22 port map ( Y=>nx10441, A0=>nx10193, A1=>PRI_IN_4(7), B0=> nx7182, B1=>nx7184); ix8185 : xnor2 port map ( Y=>nx8184, A0=>PRI_IN_4(8), A1=>nx14713); ix8197 : nor02 port map ( Y=>nx8196, A0=>C_MUX2_6_SEL, A1=>nx10203); REG_47_reg_q_8 : dff port map ( Q=>reg_47_q_c_8, QB=>OPEN, D=>nx7914, CLK =>CLK); ix7915 : xnor2 port map ( Y=>nx7914, A0=>nx10455, A1=>nx7912); ix10456 : aoi22 port map ( Y=>nx10455, A0=>nx10123, A1=>reg_27_q_c_7, B0 =>nx6910, B1=>nx6912); REG_27_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10466, D=>nx7870, CLK=>CLK ); ix7871 : xnor2 port map ( Y=>nx7870, A0=>nx10463, A1=>nx7868); ix10464 : mux21 port map ( Y=>nx10463, A0=>nx16416, A1=>nx6866, S0=> nx6868); ix7869 : xnor2 port map ( Y=>nx7868, A0=>nx10367, A1=>nx10427); ix7683 : xnor2 port map ( Y=>nx7682, A0=>nx10471, A1=>nx7680); ix10472 : aoi22 port map ( Y=>nx10471, A0=>nx14839, A1=>reg_44_q_c_7, B0 =>nx6658, B1=>nx6680); ix7681 : xnor2 port map ( Y=>nx7680, A0=>reg_44_q_c_8, A1=>nx16420); REG_44_reg_q_8 : dff port map ( Q=>reg_44_q_c_8, QB=>OPEN, D=>nx7672, CLK =>CLK); ix7673 : xnor2 port map ( Y=>nx7672, A0=>nx10476, A1=>nx7670); ix10477 : aoi22 port map ( Y=>nx10476, A0=>nx14853, A1=>PRI_IN_3(7), B0=> nx6668, B1=>nx6670); ix7671 : xnor2 port map ( Y=>nx7670, A0=>PRI_IN_3(8), A1=>nx14655); REG_17_reg_q_8 : dff port map ( Q=>reg_17_q_c_8, QB=>OPEN, D=>nx7880, CLK =>CLK); ix7881 : xor2 port map ( Y=>nx7880, A0=>nx7856, A1=>nx7878); ix7857 : mux21 port map ( Y=>nx7856, A0=>reg_27_q_c_7, A1=>nx10041, S0=> nx6878); ix7847 : nor02 port map ( Y=>nx7846, A0=>C_MUX2_24_SEL, A1=>nx10496); ix10498 : mux21 port map ( Y=>nx10496, A0=>nx7816, A1=>reg_8_q_c_8, S0=> C_MUX2_13_SEL); REG_8_reg_q_8 : dff port map ( Q=>reg_8_q_c_8, QB=>OPEN, D=>nx7832, CLK=> CLK); ix7833 : xor2 port map ( Y=>nx7832, A0=>nx10503, A1=>nx10507); ix10504 : aoi22 port map ( Y=>nx10503, A0=>reg_2_q_c_7, A1=>PRI_IN_5(7), B0=>nx6828, B1=>nx6830); REG_2_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10517, D=>nx7988, CLK=>CLK ); ix7989 : xnor2 port map ( Y=>nx7988, A0=>nx10513, A1=>nx7986); ix10514 : aoi22 port map ( Y=>nx10513, A0=>nx10137, A1=>reg_37_q_c_7, B0 =>nx6616, B1=>nx6986); ix8011 : nor02 port map ( Y=>nx8010, A0=>C_MUX2_2_SEL, A1=>nx10131); ix10532 : mux21 port map ( Y=>nx10531, A0=>PRI_IN_5(8), A1=>reg_18_q_c_8, S0=>C_MUX2_1_SEL); REG_18_reg_q_8 : dff port map ( Q=>reg_18_q_c_8, QB=>OPEN, D=>nx7572, CLK =>CLK); ix7573 : xnor2 port map ( Y=>nx7572, A0=>nx10537, A1=>nx7570); ix10538 : aoi22 port map ( Y=>nx10537, A0=>nx10159, A1=>nx16418, B0=> nx6568, B1=>nx6570); ix7571 : xnor2 port map ( Y=>nx7570, A0=>PRI_IN_5(8), A1=> PRI_OUT_2_8_EXMPLR); ix7999 : nor02 port map ( Y=>nx7998, A0=>C_MUX2_10_SEL, A1=>nx10517); REG_11_reg_q_8 : dff port map ( Q=>reg_11_q_c_8, QB=>OPEN, D=>nx8334, CLK =>CLK); ix8335 : xor2 port map ( Y=>nx8334, A0=>nx10555, A1=>nx10559); ix10556 : aoi22 port map ( Y=>nx10555, A0=>reg_45_q_c_7, A1=>PRI_IN_8(7), B0=>nx7310, B1=>nx7332); REG_45_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10569, D=>nx8324, CLK=>CLK ); ix8325 : xnor2 port map ( Y=>nx8324, A0=>nx10565, A1=>nx8322); ix10566 : aoi22 port map ( Y=>nx10565, A0=>nx10123, A1=>PRI_IN_8(7), B0=> nx7320, B1=>nx7322); ix8323 : xnor2 port map ( Y=>nx8322, A0=>PRI_IN_8(8), A1=>nx15735); ix10574 : mux21 port map ( Y=>nx10573, A0=>reg_7_q_c_8, A1=>reg_18_q_c_8, S0=>C_MUX2_9_SEL); REG_28_reg_q_9 : dff port map ( Q=>PRI_OUT_2_9_EXMPLR, QB=>OPEN, D=> nx9418, CLK=>CLK); ix9419 : xnor2 port map ( Y=>nx9418, A0=>nx8548, A1=>nx10581); ix8549 : mux21 port map ( Y=>nx8548, A0=>nx10113, A1=>nx16420, S0=> nx10129); ix10584 : mux21 port map ( Y=>nx10583, A0=>reg_35_q_c_9, A1=>PRI_IN_0(9), S0=>C_MUX2_23_SEL); REG_35_reg_q_9 : dff port map ( Q=>reg_35_q_c_9, QB=>OPEN, D=>nx9400, CLK =>CLK); ix9401 : xor2 port map ( Y=>nx9400, A0=>nx8558, A1=>nx9398); ix9391 : ao21 port map ( Y=>nx9390, A0=>nx14767, A1=>reg_13_q_c_9, B0=> nx9346); REG_13_reg_q_9 : dff port map ( Q=>reg_13_q_c_9, QB=>OPEN, D=>nx9378, CLK =>CLK); ix9379 : xnor2 port map ( Y=>nx9378, A0=>nx9354, A1=>nx10601); ix9355 : oai22 port map ( Y=>nx9354, A0=>nx10148, A1=>nx10151, B0=> nx10163, B1=>nx10599); ix10600 : inv02 port map ( Y=>nx10599, A=>PRI_IN_4(8)); ix10602 : xnor2 port map ( Y=>nx10601, A0=>PRI_IN_4(9), A1=>reg_49_q_c_9 ); REG_49_reg_q_9 : dff port map ( Q=>reg_49_q_c_9, QB=>OPEN, D=>nx9368, CLK =>CLK); ix9369 : xor2 port map ( Y=>nx9368, A0=>nx9364, A1=>nx9366); ix9365 : mux21 port map ( Y=>nx9364, A0=>PRI_IN_5(8), A1=>nx10157, S0=> nx8366); ix9347 : nor02 port map ( Y=>nx9346, A0=>nx14767, A1=>nx10613); ix10614 : mux21 port map ( Y=>nx10613, A0=>nx6575, A1=>reg_11_q_c_9, S0=> C_MUX2_18_SEL); ix8803 : xor2 port map ( Y=>nx8802, A0=>nx8706, A1=>nx8800); ix8707 : mux21 port map ( Y=>nx8706, A0=>reg_40_q_c_8, A1=>nx10175, S0=> nx7800); REG_40_reg_q_9 : dff port map ( Q=>OPEN, QB=>nx10644, D=>nx8792, CLK=>CLK ); ix8793 : xnor2 port map ( Y=>nx8792, A0=>nx8714, A1=>nx10633); ix8715 : oai22 port map ( Y=>nx8714, A0=>nx10183, A1=>nx10187, B0=> nx10199, B1=>nx10631); ix10632 : inv02 port map ( Y=>nx10631, A=>PRI_IN_1(8)); ix10634 : xnor2 port map ( Y=>nx10633, A0=>PRI_IN_1(9), A1=>reg_41_q_c_9 ); REG_41_reg_q_9 : dff port map ( Q=>reg_41_q_c_9, QB=>OPEN, D=>nx8782, CLK =>CLK); ix8783 : xor2 port map ( Y=>nx8782, A0=>nx8724, A1=>nx8780); ix8725 : mux21 port map ( Y=>nx8724, A0=>nx6561, A1=>nx10191, S0=>nx7780 ); ix8781 : xnor2 port map ( Y=>nx8780, A0=>PRI_IN_6(9), A1=>nx15729); ix10648 : mux21 port map ( Y=>nx10647, A0=>reg_16_q_c_9, A1=>PRI_IN_2(9), S0=>C_MUX2_25_SEL); REG_16_reg_q_9 : dff port map ( Q=>reg_16_q_c_9, QB=>OPEN, D=>nx8760, CLK =>CLK); ix8761 : xor2 port map ( Y=>nx8760, A0=>nx8738, A1=>nx8758); ix8739 : mux21 port map ( Y=>nx8738, A0=>reg_31_q_c_8, A1=>nx10209, S0=> nx7758); REG_31_reg_q_9 : dff port map ( Q=>OPEN, QB=>nx10990, D=>nx8750, CLK=>CLK ); ix8751 : xnor2 port map ( Y=>nx8750, A0=>nx8746, A1=>nx10665); ix8747 : oai22 port map ( Y=>nx8746, A0=>nx10215, A1=>nx10219, B0=> nx10547, B1=>nx10663); ix10664 : inv02 port map ( Y=>nx10663, A=>PRI_IN_9(8)); ix10666 : xnor2 port map ( Y=>nx10665, A0=>PRI_IN_9(9), A1=>reg_39_q_c_9 ); REG_39_reg_q_9 : dff port map ( Q=>reg_39_q_c_9, QB=>OPEN, D=>nx8940, CLK =>CLK); ix8941 : xnor2 port map ( Y=>nx8940, A0=>nx8650, A1=>nx16280); ix10676 : mux21 port map ( Y=>nx10675, A0=>PRI_IN_1(9), A1=>reg_24_q_c_9, S0=>C_MUX2_17_SEL); REG_24_reg_q_9 : dff port map ( Q=>reg_24_q_c_9, QB=>OPEN, D=>nx9144, CLK =>CLK); ix9145 : xor2 port map ( Y=>nx9144, A0=>nx8606, A1=>nx9142); ix8607 : oai22 port map ( Y=>nx8606, A0=>nx10233, A1=>nx10681, B0=> PRI_OUT_0_8_EXMPLR, B1=>nx10683); ix10684 : inv02 port map ( Y=>nx10683, A=>PRI_IN_5(8)); REG_1_reg_q_9 : dff port map ( Q=>reg_1_q_c_9, QB=>OPEN, D=>nx9130, CLK=> CLK); ix9131 : xnor2 port map ( Y=>nx9130, A0=>nx10689, A1=>nx9128); ix10690 : mux21 port map ( Y=>nx10689, A0=>nx8126, A1=>nx8006, S0=>nx8128 ); ix9129 : xnor2 port map ( Y=>nx9128, A0=>nx9118, A1=>nx10975); ix9119 : ao21 port map ( Y=>nx9118, A0=>C_MUX2_2_SEL, A1=>reg_33_q_c_9, B0=>nx9010); REG_33_reg_q_9 : dff port map ( Q=>reg_33_q_c_9, QB=>OPEN, D=>nx9108, CLK =>CLK); ix9109 : xnor2 port map ( Y=>nx9108, A0=>nx9018, A1=>nx10701); ix9019 : oai22 port map ( Y=>nx9018, A0=>nx10253, A1=>nx10257, B0=> nx10527, B1=>nx10431); ix10702 : xnor2 port map ( Y=>nx10701, A0=>PRI_IN_8(9), A1=>reg_46_q_c_9 ); REG_46_reg_q_9 : dff port map ( Q=>reg_46_q_c_9, QB=>OPEN, D=>nx9098, CLK =>CLK); ix9099 : xnor2 port map ( Y=>nx9098, A0=>nx9026, A1=>nx10711); ix10712 : xnor2 port map ( Y=>nx10711, A0=>reg_50_q_c_9, A1=>nx6579); REG_50_reg_q_9 : dff port map ( Q=>reg_50_q_c_9, QB=>OPEN, D=>nx9088, CLK =>CLK); ix9089 : xnor2 port map ( Y=>nx9088, A0=>nx9036, A1=>nx10719); ix9037 : mux21 port map ( Y=>nx9036, A0=>nx10274, A1=>PRI_IN_9(8), S0=> nx10279); ix10720 : xnor2 port map ( Y=>nx10719, A0=>PRI_IN_9(9), A1=>nx10721); ix10722 : mux21 port map ( Y=>nx10721, A0=>reg_15_q_c_9, A1=>nx14651, S0 =>C_MUX2_16_SEL); REG_15_reg_q_9 : dff port map ( Q=>reg_15_q_c_9, QB=>OPEN, D=>nx9070, CLK =>CLK); ix9071 : xor2 port map ( Y=>nx9070, A0=>nx9046, A1=>nx9068); ix9047 : mux21 port map ( Y=>nx9046, A0=>reg_36_q_c_8, A1=>nx10287, S0=> nx8068); REG_36_reg_q_9 : dff port map ( Q=>OPEN, QB=>nx10967, D=>nx9060, CLK=>CLK ); ix9061 : xor2 port map ( Y=>nx9060, A0=>nx9056, A1=>nx9058); ix9057 : mux21 port map ( Y=>nx9056, A0=>reg_37_q_c_8, A1=>nx10292, S0=> nx8058); REG_37_reg_q_9 : dff port map ( Q=>reg_37_q_c_9, QB=>nx10966, D=>nx8978, CLK=>CLK); ix8979 : xnor2 port map ( Y=>nx8978, A0=>nx8624, A1=>nx10745); ix10746 : xnor2 port map ( Y=>nx10745, A0=>PRI_IN_7(9), A1=> PRI_OUT_5_9_EXMPLR); ix8975 : ao21 port map ( Y=>PRI_OUT_5_9_EXMPLR, A0=>nx14745, A1=> reg_9_q_c_9, B0=>nx8972); REG_9_reg_q_9 : dff port map ( Q=>reg_9_q_c_9, QB=>OPEN, D=>nx8960, CLK=> CLK); ix8961 : xnor2 port map ( Y=>nx8960, A0=>nx8632, A1=>nx10754); ix8633 : oai22 port map ( Y=>nx8632, A0=>nx10311, A1=>nx10314, B0=> nx10327, B1=>nx10683); ix10755 : xnor2 port map ( Y=>nx10754, A0=>PRI_IN_5(9), A1=>reg_42_q_c_9 ); REG_42_reg_q_9 : dff port map ( Q=>reg_42_q_c_9, QB=>OPEN, D=>nx8950, CLK =>CLK); ix8951 : xor2 port map ( Y=>nx8950, A0=>nx8642, A1=>nx8948); ix8643 : oai22 port map ( Y=>nx8642, A0=>nx10321, A1=>nx10759, B0=> PRI_IN_1(8), B1=>nx10547); ix8949 : xnor2 port map ( Y=>nx8948, A0=>PRI_IN_1(9), A1=>reg_39_q_c_9); REG_12_reg_q_9 : dff port map ( Q=>reg_12_q_c_9, QB=>OPEN, D=>nx8924, CLK =>CLK); ix8925 : xnor2 port map ( Y=>nx8924, A0=>nx8900, A1=>nx10773); ix8901 : ao21 port map ( Y=>nx8900, A0=>reg_47_q_c_8, A1=> PRI_OUT_9_8_EXMPLR, B0=>nx8898); ix8899 : nor02 port map ( Y=>nx8898, A0=>nx10335, A1=>nx10338); ix10774 : xnor2 port map ( Y=>nx10773, A0=>PRI_OUT_9_9_EXMPLR, A1=> reg_47_q_c_9); REG_23_reg_q_9 : dff port map ( Q=>PRI_OUT_9_9_EXMPLR, QB=>OPEN, D=> nx9488, CLK=>CLK); ix9489 : xnor2 port map ( Y=>nx9488, A0=>nx10779, A1=>nx9486); ix10780 : aoi22 port map ( Y=>nx10779, A0=>nx6569, A1=>PRI_IN_0(8), B0=> nx7502, B1=>nx8486); ix9487 : xnor2 port map ( Y=>nx9486, A0=>PRI_IN_0(9), A1=>nx10788); ix10789 : mux21 port map ( Y=>nx10788, A0=>reg_34_q_c_9, A1=>PRI_IN_0(9), S0=>C_MUX2_19_SEL); REG_34_reg_q_9 : dff port map ( Q=>reg_34_q_c_9, QB=>OPEN, D=>nx9470, CLK =>CLK); ix9471 : xnor2 port map ( Y=>nx9470, A0=>nx10793, A1=>nx9468); ix10794 : mux21 port map ( Y=>nx10793, A0=>nx10391, A1=>nx7512, S0=> nx8468); ix9469 : xnor2 port map ( Y=>nx9468, A0=>reg_38_q_c_9, A1=>nx9220); REG_38_reg_q_9 : dff port map ( Q=>reg_38_q_c_9, QB=>OPEN, D=>nx9460, CLK =>CLK); ix9461 : xnor2 port map ( Y=>nx9460, A0=>nx8520, A1=>nx10799); ix10802 : mux21 port map ( Y=>nx10801, A0=>reg_5_q_c_9, A1=>PRI_IN_4(9), S0=>C_MUX2_8_SEL); REG_5_reg_q_9 : dff port map ( Q=>reg_5_q_c_9, QB=>OPEN, D=>nx9442, CLK=> CLK); ix9443 : xor2 port map ( Y=>nx9442, A0=>nx8528, A1=>nx9440); ix8529 : mux21 port map ( Y=>nx8528, A0=>nx10386, A1=>nx10372, S0=>nx8440 ); ix9441 : xnor2 port map ( Y=>nx9440, A0=>reg_43_q_c_9, A1=>nx10822); REG_43_reg_q_9 : dff port map ( Q=>reg_43_q_c_9, QB=>OPEN, D=>nx9432, CLK =>CLK); ix9433 : xnor2 port map ( Y=>nx9432, A0=>nx8536, A1=>nx10817); ix8537 : oai22 port map ( Y=>nx8536, A0=>nx10377, A1=>nx10381, B0=> nx10811, B1=>nx10391); ix10818 : xnor2 port map ( Y=>nx10817, A0=>reg_38_q_c_9, A1=>nx9428); ix9429 : ao21 port map ( Y=>nx9428, A0=>C_MUX2_7_SEL, A1=>nx16429, B0=> nx8540); ix8541 : nor02 port map ( Y=>nx8540, A0=>C_MUX2_7_SEL, A1=>nx16427); ix10824 : mux21 port map ( Y=>nx10822, A0=>PRI_IN_3(9), A1=>reg_6_q_c_9, S0=>C_MUX2_5_SEL); ix9221 : ao21 port map ( Y=>nx9220, A0=>C_MUX2_6_SEL, A1=>reg_14_q_c_9, B0=>nx9196); REG_14_reg_q_9 : dff port map ( Q=>reg_14_q_c_9, QB=>OPEN, D=>nx9210, CLK =>CLK); ix9211 : xor2 port map ( Y=>nx9210, A0=>nx10833, A1=>nx10836); ix10834 : aoi22 port map ( Y=>nx10833, A0=>nx10351, A1=>reg_7_q_c_8, B0=> nx8206, B1=>nx8208); REG_7_reg_q_9 : dff port map ( Q=>reg_7_q_c_9, QB=>nx10893, D=>nx9284, CLK=>CLK); ix9285 : xnor2 port map ( Y=>nx9284, A0=>nx10841, A1=>nx9282); ix10842 : mux21 port map ( Y=>nx10841, A0=>nx6563, A1=>nx7588, S0=>nx8282 ); ix9283 : xnor2 port map ( Y=>nx9282, A0=>nx16427, A1=>nx6577); ix9281 : ao21 port map ( Y=>nx6577, A0=>C_MUX2_4_SEL, A1=>reg_25_q_c_9, B0=>nx9238); REG_25_reg_q_9 : dff port map ( Q=>reg_25_q_c_9, QB=>OPEN, D=>nx9270, CLK =>CLK); ix9271 : xnor2 port map ( Y=>nx9270, A0=>nx9248, A1=>nx10851); ix9249 : mux21 port map ( Y=>nx9248, A0=>nx10411, A1=>reg_29_q_c_8, S0=> nx10413); REG_29_reg_q_9 : dff port map ( Q=>OPEN, QB=>nx10863, D=>nx9260, CLK=>CLK ); ix9261 : xnor2 port map ( Y=>nx9260, A0=>nx9256, A1=>nx10861); ix9239 : nor02 port map ( Y=>nx9238, A0=>C_MUX2_4_SEL, A1=>nx10867); ix10868 : mux21 port map ( Y=>nx10867, A0=>nx6579, A1=>reg_26_q_c_9, S0=> C_MUX2_22_SEL); ix10872 : inv02 port map ( Y=>nx10871, A=>PRI_IN_8(9)); REG_26_reg_q_9 : dff port map ( Q=>reg_26_q_c_9, QB=>OPEN, D=>nx9224, CLK =>CLK); ix9225 : xnor2 port map ( Y=>nx9224, A0=>nx10875, A1=>nx9222); ix10876 : aoi22 port map ( Y=>nx10875, A0=>nx10877, A1=>reg_30_q_c_8, B0 =>nx8172, B1=>nx8222); ix9223 : xnor2 port map ( Y=>nx9222, A0=>reg_30_q_c_9, A1=>nx9220); REG_30_reg_q_9 : dff port map ( Q=>reg_30_q_c_9, QB=>OPEN, D=>nx9186, CLK =>CLK); ix9187 : xor2 port map ( Y=>nx9186, A0=>nx9182, A1=>nx9184); ix9183 : mux21 port map ( Y=>nx9182, A0=>nx6561, A1=>nx10441, S0=>nx8184 ); ix9185 : xnor2 port map ( Y=>nx9184, A0=>PRI_IN_4(9), A1=>nx15729); ix9197 : nor02 port map ( Y=>nx9196, A0=>C_MUX2_6_SEL, A1=>nx10647); REG_47_reg_q_9 : dff port map ( Q=>reg_47_q_c_9, QB=>OPEN, D=>nx8914, CLK =>CLK); ix8915 : xor2 port map ( Y=>nx8914, A0=>nx8910, A1=>nx8912); ix8911 : oai22 port map ( Y=>nx8910, A0=>nx10455, A1=>nx10905, B0=> nx15735, B1=>nx10466); ix8913 : xnor2 port map ( Y=>nx8912, A0=>reg_27_q_c_9, A1=>nx15734); REG_27_reg_q_9 : dff port map ( Q=>reg_27_q_c_9, QB=>OPEN, D=>nx8870, CLK =>CLK); ix8871 : xor2 port map ( Y=>nx8870, A0=>nx8866, A1=>nx8868); ix8867 : mux21 port map ( Y=>nx8866, A0=>nx6557, A1=>nx10463, S0=>nx7868 ); ix8869 : xnor2 port map ( Y=>nx8868, A0=>nx16427, A1=>nx10867); ix8683 : xor2 port map ( Y=>nx8682, A0=>nx8658, A1=>nx8680); ix8659 : mux21 port map ( Y=>nx8658, A0=>nx16420, A1=>nx10471, S0=>nx7680 ); REG_44_reg_q_9 : dff port map ( Q=>reg_44_q_c_9, QB=>OPEN, D=>nx8672, CLK =>CLK); ix8673 : xor2 port map ( Y=>nx8672, A0=>nx8668, A1=>nx8670); ix8669 : mux21 port map ( Y=>nx8668, A0=>nx14657, A1=>nx10476, S0=>nx7670 ); ix8891 : ao21 port map ( Y=>nx8890, A0=>C_MUX2_24_SEL, A1=>reg_17_q_c_9, B0=>nx8846); REG_17_reg_q_9 : dff port map ( Q=>reg_17_q_c_9, QB=>OPEN, D=>nx8880, CLK =>CLK); ix8881 : xnor2 port map ( Y=>nx8880, A0=>nx10943, A1=>nx8878); ix10944 : mux21 port map ( Y=>nx10943, A0=>nx10466, A1=>nx7856, S0=> nx7878); ix8879 : xnor2 port map ( Y=>nx8878, A0=>reg_27_q_c_9, A1=>nx6577); ix8847 : nor02 port map ( Y=>nx8846, A0=>C_MUX2_24_SEL, A1=>nx10949); ix10950 : mux21 port map ( Y=>nx10949, A0=>nx8816, A1=>reg_8_q_c_9, S0=> C_MUX2_13_SEL); REG_8_reg_q_9 : dff port map ( Q=>reg_8_q_c_9, QB=>OPEN, D=>nx8832, CLK=> CLK); ix8833 : xnor2 port map ( Y=>nx8832, A0=>nx8828, A1=>nx10955); ix8829 : oai22 port map ( Y=>nx8828, A0=>nx10503, A1=>nx10507, B0=> nx10517, B1=>nx10683); ix10956 : xnor2 port map ( Y=>nx10955, A0=>PRI_IN_5(9), A1=>reg_2_q_c_9); REG_2_reg_q_9 : dff port map ( Q=>reg_2_q_c_9, QB=>OPEN, D=>nx8988, CLK=> CLK); ix8989 : xor2 port map ( Y=>nx8988, A0=>nx8616, A1=>nx8986); ix8617 : oai22 port map ( Y=>nx8616, A0=>nx10513, A1=>nx10961, B0=> PRI_IN_0(8), B1=>nx10521); ix9011 : nor02 port map ( Y=>nx9010, A0=>C_MUX2_2_SEL, A1=>nx10583); ix10976 : mux21 port map ( Y=>nx10975, A0=>PRI_IN_5(9), A1=>reg_18_q_c_9, S0=>C_MUX2_1_SEL); REG_18_reg_q_9 : dff port map ( Q=>reg_18_q_c_9, QB=>OPEN, D=>nx8572, CLK =>CLK); ix8573 : xor2 port map ( Y=>nx8572, A0=>nx8568, A1=>nx8570); ix8569 : mux21 port map ( Y=>nx8568, A0=>PRI_IN_5(8), A1=>nx10537, S0=> nx7570); ix8571 : xnor2 port map ( Y=>nx8570, A0=>PRI_IN_5(9), A1=>nx16429); REG_11_reg_q_9 : dff port map ( Q=>reg_11_q_c_9, QB=>OPEN, D=>nx9334, CLK =>CLK); ix9335 : xnor2 port map ( Y=>nx9334, A0=>nx9310, A1=>nx10995); ix9311 : oai22 port map ( Y=>nx9310, A0=>nx10555, A1=>nx10559, B0=> nx10569, B1=>nx10431); ix10996 : xnor2 port map ( Y=>nx10995, A0=>PRI_IN_8(9), A1=>reg_45_q_c_9 ); REG_45_reg_q_9 : dff port map ( Q=>reg_45_q_c_9, QB=>OPEN, D=>nx9324, CLK =>CLK); ix9325 : xor2 port map ( Y=>nx9324, A0=>nx9320, A1=>nx9322); ix9321 : mux21 port map ( Y=>nx9320, A0=>nx15735, A1=>nx10565, S0=>nx8322 ); ix9323 : xnor2 port map ( Y=>nx9322, A0=>PRI_IN_8(9), A1=>nx15734); ix9299 : nor02 port map ( Y=>nx9298, A0=>nx14757, A1=>nx11007); ix11008 : mux21 port map ( Y=>nx11007, A0=>reg_7_q_c_9, A1=>reg_18_q_c_9, S0=>C_MUX2_9_SEL); REG_28_reg_q_10 : dff port map ( Q=>PRI_OUT_2_dup0_10, QB=>OPEN, D=> nx10418, CLK=>CLK); ix10419 : xor2 port map ( Y=>nx10418, A0=>nx11015, A1=>nx11033); ix11016 : mux21 port map ( Y=>nx11015, A0=>nx8548, A1=>nx14841, S0=> nx10581); ix11034 : xnor2 port map ( Y=>nx11033, A0=>nx11035, A1=>nx16431); ix11036 : mux21 port map ( Y=>nx11035, A0=>reg_35_q_c_10, A1=> PRI_IN_0(10), S0=>C_MUX2_23_SEL); REG_35_reg_q_10 : dff port map ( Q=>reg_35_q_c_10, QB=>OPEN, D=>nx10400, CLK=>CLK); ix10401 : xnor2 port map ( Y=>nx10400, A0=>nx11041, A1=>nx16185); ix10399 : xnor2 port map ( Y=>nx10398, A0=>PRI_IN_0(10), A1=>nx14647); ix10391 : ao21 port map ( Y=>nx10390, A0=>nx14767, A1=>reg_13_q_c_10, B0 =>nx10346); REG_13_reg_q_10 : dff port map ( Q=>reg_13_q_c_10, QB=>OPEN, D=>nx10378, CLK=>CLK); ix10379 : xor2 port map ( Y=>nx10378, A0=>nx11055, A1=>nx11059); ix11056 : aoi22 port map ( Y=>nx11055, A0=>reg_49_q_c_9, A1=>PRI_IN_4(9), B0=>nx9354, B1=>nx9376); REG_49_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11069, D=>nx10368, CLK=> CLK); ix10369 : xnor2 port map ( Y=>nx10368, A0=>nx11064, A1=>nx10366); ix11065 : aoi22 port map ( Y=>nx11064, A0=>nx11066, A1=>nx16433, B0=> nx9364, B1=>nx9366); ix11067 : inv02 port map ( Y=>nx11066, A=>PRI_IN_5(9)); ix10367 : xnor2 port map ( Y=>nx10366, A0=>PRI_IN_5(10), A1=>nx14647); ix10347 : nor02 port map ( Y=>nx10346, A0=>nx14767, A1=>nx11073); ix11074 : mux21 port map ( Y=>nx11073, A0=>nx14715, A1=>reg_11_q_c_10, S0 =>C_MUX2_18_SEL); ix9779 : ao21 port map ( Y=>nx6587, A0=>nx14777, A1=>reg_6_q_c_10, B0=> nx9776); ix9803 : xnor2 port map ( Y=>nx9802, A0=>nx11078, A1=>nx9800); ix11079 : aoi22 port map ( Y=>nx11078, A0=>nx10644, A1=>PRI_IN_2(9), B0=> nx8706, B1=>nx8800); ix9801 : xnor2 port map ( Y=>nx9800, A0=>PRI_IN_2(10), A1=>reg_40_q_c_10 ); REG_40_reg_q_10 : dff port map ( Q=>reg_40_q_c_10, QB=>OPEN, D=>nx9792, CLK=>CLK); ix9793 : xor2 port map ( Y=>nx9792, A0=>nx11085, A1=>nx11089); ix11086 : aoi22 port map ( Y=>nx11085, A0=>reg_41_q_c_9, A1=>PRI_IN_1(9), B0=>nx8714, B1=>nx8790); REG_41_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11102, D=>nx9782, CLK=> CLK); ix9783 : xnor2 port map ( Y=>nx9782, A0=>nx11093, A1=>nx9780); ix11094 : aoi22 port map ( Y=>nx11093, A0=>nx11095, A1=>PRI_IN_6(9), B0=> nx8724, B1=>nx8780); ix9781 : xnor2 port map ( Y=>nx9780, A0=>PRI_IN_6(10), A1=>nx15727); ix11108 : mux21 port map ( Y=>nx11107, A0=>reg_16_q_c_10, A1=> PRI_IN_2(10), S0=>C_MUX2_25_SEL); REG_16_reg_q_10 : dff port map ( Q=>reg_16_q_c_10, QB=>OPEN, D=>nx9760, CLK=>CLK); ix9761 : xnor2 port map ( Y=>nx9760, A0=>nx11112, A1=>nx9758); ix11113 : mux21 port map ( Y=>nx11112, A0=>nx10990, A1=>nx8738, S0=> nx8758); ix9759 : xnor2 port map ( Y=>nx9758, A0=>reg_31_q_c_10, A1=>nx15727); REG_31_reg_q_10 : dff port map ( Q=>reg_31_q_c_10, QB=>OPEN, D=>nx9750, CLK=>CLK); ix9751 : xor2 port map ( Y=>nx9750, A0=>nx11117, A1=>nx11121); ix11118 : aoi22 port map ( Y=>nx11117, A0=>reg_39_q_c_9, A1=>PRI_IN_9(9), B0=>nx8746, B1=>nx8748); REG_39_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11449, D=>nx9940, CLK=> CLK); ix9941 : xor2 port map ( Y=>nx9940, A0=>nx11127, A1=>nx11129); ix11130 : xnor2 port map ( Y=>nx11129, A0=>nx11131, A1=>nx16431); ix11132 : mux21 port map ( Y=>nx11131, A0=>PRI_IN_1(10), A1=> reg_24_q_c_10, S0=>C_MUX2_17_SEL); REG_24_reg_q_10 : dff port map ( Q=>reg_24_q_c_10, QB=>OPEN, D=>nx10144, CLK=>CLK); ix10145 : xnor2 port map ( Y=>nx10144, A0=>nx11135, A1=>nx10142); ix11136 : aoi22 port map ( Y=>nx11135, A0=>nx11137, A1=>PRI_IN_5(9), B0=> nx8606, B1=>nx9142); ix11138 : mux21 port map ( Y=>nx11137, A0=>reg_2_q_c_9, A1=>reg_1_q_c_9, S0=>C_MUX2_10_SEL); ix10143 : xnor2 port map ( Y=>nx10142, A0=>PRI_IN_5(10), A1=> PRI_OUT_0_10_EXMPLR); ix10141 : ao21 port map ( Y=>PRI_OUT_0_10_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_1_q_c_10, B0=>nx9998); REG_1_reg_q_10 : dff port map ( Q=>reg_1_q_c_10, QB=>OPEN, D=>nx10130, CLK=>CLK); ix10131 : xor2 port map ( Y=>nx10130, A0=>nx10006, A1=>nx10128); ix10007 : mux21 port map ( Y=>nx10006, A0=>nx10975, A1=>nx10689, S0=> nx9128); ix10129 : xnor2 port map ( Y=>nx10128, A0=>nx10118, A1=>nx11437); ix10119 : ao21 port map ( Y=>nx10118, A0=>C_MUX2_2_SEL, A1=>reg_33_q_c_10, B0=>nx10010); REG_33_reg_q_10 : dff port map ( Q=>reg_33_q_c_10, QB=>OPEN, D=>nx10108, CLK=>CLK); ix10109 : xor2 port map ( Y=>nx10108, A0=>nx11153, A1=>nx11157); ix11154 : aoi22 port map ( Y=>nx11153, A0=>reg_46_q_c_9, A1=>PRI_IN_8(9), B0=>nx9018, B1=>nx9106); REG_46_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11434, D=>nx10098, CLK=> CLK); ix10099 : xor2 port map ( Y=>nx10098, A0=>nx16069, A1=>nx11171); REG_50_reg_q_10 : dff port map ( Q=>reg_50_q_c_10, QB=>OPEN, D=>nx10088, CLK=>CLK); ix10089 : xor2 port map ( Y=>nx10088, A0=>nx11176, A1=>nx11181); ix11178 : mux21 port map ( Y=>nx11176, A0=>nx9036, A1=>nx11179, S0=> nx10719); ix11180 : inv02 port map ( Y=>nx11179, A=>PRI_IN_9(9)); ix11182 : xnor2 port map ( Y=>nx11181, A0=>PRI_IN_9(10), A1=>nx11183); ix11184 : mux21 port map ( Y=>nx11183, A0=>reg_15_q_c_10, A1=>nx14647, S0 =>C_MUX2_16_SEL); REG_15_reg_q_10 : dff port map ( Q=>reg_15_q_c_10, QB=>OPEN, D=>nx10070, CLK=>CLK); ix10071 : xnor2 port map ( Y=>nx10070, A0=>nx11189, A1=>nx10068); ix11190 : aoi22 port map ( Y=>nx11189, A0=>nx10967, A1=>PRI_IN_6(9), B0=> nx9046, B1=>nx9068); ix10069 : xnor2 port map ( Y=>nx10068, A0=>PRI_IN_6(10), A1=> reg_36_q_c_10); REG_36_reg_q_10 : dff port map ( Q=>reg_36_q_c_10, QB=>OPEN, D=>nx10060, CLK=>CLK); ix10061 : xnor2 port map ( Y=>nx10060, A0=>nx11194, A1=>nx10058); ix11195 : aoi22 port map ( Y=>nx11194, A0=>nx10966, A1=>PRI_IN_2(9), B0=> nx9056, B1=>nx9058); REG_37_reg_q_10 : dff port map ( Q=>reg_37_q_c_10, QB=>nx11427, D=>nx9978, CLK=>CLK); ix9979 : xor2 port map ( Y=>nx9978, A0=>nx11201, A1=>nx11205); ix11206 : xnor2 port map ( Y=>nx11205, A0=>PRI_IN_7(10), A1=> PRI_OUT_5_10_EXMPLR); ix9975 : ao21 port map ( Y=>PRI_OUT_5_10_EXMPLR, A0=>nx14747, A1=> reg_9_q_c_10, B0=>nx9972); REG_9_reg_q_10 : dff port map ( Q=>reg_9_q_c_10, QB=>OPEN, D=>nx9960, CLK =>CLK); ix9961 : xor2 port map ( Y=>nx9960, A0=>nx11213, A1=>nx11216); ix11214 : aoi22 port map ( Y=>nx11213, A0=>reg_42_q_c_9, A1=>PRI_IN_5(9), B0=>nx8632, B1=>nx8958); REG_42_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11227, D=>nx9950, CLK=> CLK); ix9951 : xnor2 port map ( Y=>nx9950, A0=>nx11221, A1=>nx9948); ix11222 : aoi22 port map ( Y=>nx11221, A0=>nx11223, A1=>reg_39_q_c_9, B0 =>nx8642, B1=>nx8948); ix11224 : inv02 port map ( Y=>nx11223, A=>PRI_IN_1(9)); ix9973 : nor02 port map ( Y=>nx9972, A0=>nx14747, A1=>nx16431); REG_12_reg_q_10 : dff port map ( Q=>reg_12_q_c_10, QB=>OPEN, D=>nx9924, CLK=>CLK); ix9925 : xor2 port map ( Y=>nx9924, A0=>nx11235, A1=>nx11239); ix11236 : aoi22 port map ( Y=>nx11235, A0=>reg_47_q_c_9, A1=> PRI_OUT_9_9_EXMPLR, B0=>nx8900, B1=>nx8922); ix11240 : xnor2 port map ( Y=>nx11239, A0=>PRI_OUT_9_10_EXMPLR, A1=> reg_47_q_c_10); REG_23_reg_q_10 : dff port map ( Q=>PRI_OUT_9_10_EXMPLR, QB=>OPEN, D=> nx10488, CLK=>CLK); ix10489 : xor2 port map ( Y=>nx10488, A0=>nx9502, A1=>nx10486); ix9503 : oai22 port map ( Y=>nx9502, A0=>nx10779, A1=>nx11247, B0=> nx10788, B1=>nx11043); ix10487 : xnor2 port map ( Y=>nx10486, A0=>PRI_IN_0(10), A1=>nx11255); ix11256 : mux21 port map ( Y=>nx11255, A0=>reg_34_q_c_10, A1=> PRI_IN_0(10), S0=>C_MUX2_19_SEL); REG_34_reg_q_10 : dff port map ( Q=>reg_34_q_c_10, QB=>OPEN, D=>nx10470, CLK=>CLK); ix10471 : xor2 port map ( Y=>nx10470, A0=>nx9512, A1=>nx10468); ix9513 : mux21 port map ( Y=>nx9512, A0=>reg_38_q_c_9, A1=>nx10793, S0=> nx9468); REG_38_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11293, D=>nx10460, CLK=> CLK); ix10461 : xor2 port map ( Y=>nx10460, A0=>nx11266, A1=>nx11269); REG_5_reg_q_10 : dff port map ( Q=>reg_5_q_c_10, QB=>OPEN, D=>nx10442, CLK=>CLK); ix10443 : xnor2 port map ( Y=>nx10442, A0=>nx11275, A1=>nx10440); ix11276 : aoi22 port map ( Y=>nx11275, A0=>nx8812, A1=>reg_43_q_c_9, B0=> nx8528, B1=>nx9440); ix10441 : xnor2 port map ( Y=>nx10440, A0=>reg_43_q_c_10, A1=>nx11290); REG_43_reg_q_10 : dff port map ( Q=>reg_43_q_c_10, QB=>OPEN, D=>nx10432, CLK=>CLK); ix10433 : xor2 port map ( Y=>nx10432, A0=>nx11281, A1=>nx11285); ix11282 : aoi22 port map ( Y=>nx11281, A0=>nx9428, A1=>reg_38_q_c_9, B0=> nx8536, B1=>nx9430); ix10429 : ao21 port map ( Y=>nx10428, A0=>C_MUX2_7_SEL, A1=> PRI_OUT_2_10_EXMPLR, B0=>nx9540); ix9541 : nor02 port map ( Y=>nx9540, A0=>C_MUX2_7_SEL, A1=>nx11271); ix11291 : mux21 port map ( Y=>nx11290, A0=>PRI_IN_3(10), A1=>reg_6_q_c_10, S0=>C_MUX2_5_SEL); ix10221 : ao21 port map ( Y=>nx10220, A0=>C_MUX2_6_SEL, A1=>reg_14_q_c_10, B0=>nx10196); REG_14_reg_q_10 : dff port map ( Q=>reg_14_q_c_10, QB=>OPEN, D=>nx10210, CLK=>CLK); ix10211 : xnor2 port map ( Y=>nx10210, A0=>nx10206, A1=>nx11301); ix10207 : oai22 port map ( Y=>nx10206, A0=>nx10833, A1=>nx10836, B0=> nx6581, B1=>nx10893); ix11302 : xnor2 port map ( Y=>nx11301, A0=>reg_7_q_c_10, A1=>nx11255); REG_7_reg_q_10 : dff port map ( Q=>reg_7_q_c_10, QB=>OPEN, D=>nx10284, CLK=>CLK); ix10285 : xor2 port map ( Y=>nx10284, A0=>nx9588, A1=>nx10282); ix9589 : mux21 port map ( Y=>nx9588, A0=>nx11309, A1=>nx10841, S0=>nx9282 ); ix10283 : xnor2 port map ( Y=>nx10282, A0=>nx11271, A1=>nx6591); ix10281 : ao21 port map ( Y=>nx6591, A0=>C_MUX2_4_SEL, A1=>reg_25_q_c_10, B0=>nx10238); REG_25_reg_q_10 : dff port map ( Q=>reg_25_q_c_10, QB=>OPEN, D=>nx10270, CLK=>CLK); ix10271 : xor2 port map ( Y=>nx10270, A0=>nx11317, A1=>nx11319); ix11318 : mux21 port map ( Y=>nx11317, A0=>nx9248, A1=>nx10863, S0=> nx10851); ix11320 : xnor2 port map ( Y=>nx11319, A0=>reg_29_q_c_10, A1=>nx11107); REG_29_reg_q_10 : dff port map ( Q=>reg_29_q_c_10, QB=>OPEN, D=>nx10260, CLK=>CLK); ix10261 : xor2 port map ( Y=>nx10260, A0=>nx11325, A1=>nx11328); ix11329 : xnor2 port map ( Y=>nx11328, A0=>PRI_OUT_2_10_EXMPLR, A1=> nx14647); ix10239 : nor02 port map ( Y=>nx10238, A0=>C_MUX2_4_SEL, A1=>nx11333); ix11334 : mux21 port map ( Y=>nx11333, A0=>nx6595, A1=>reg_26_q_c_10, S0 =>C_MUX2_22_SEL); ix11338 : inv02 port map ( Y=>nx11337, A=>PRI_IN_8(10)); REG_26_reg_q_10 : dff port map ( Q=>reg_26_q_c_10, QB=>OPEN, D=>nx10224, CLK=>CLK); ix10225 : xor2 port map ( Y=>nx10224, A0=>nx10172, A1=>nx10222); ix10173 : mux21 port map ( Y=>nx10172, A0=>nx9220, A1=>nx10875, S0=> nx9222); ix10223 : xnor2 port map ( Y=>nx10222, A0=>reg_30_q_c_10, A1=>nx10220); REG_30_reg_q_10 : dff port map ( Q=>reg_30_q_c_10, QB=>OPEN, D=>nx10186, CLK=>CLK); ix10187 : xnor2 port map ( Y=>nx10186, A0=>nx11347, A1=>nx10184); ix11348 : aoi22 port map ( Y=>nx11347, A0=>nx11095, A1=>PRI_IN_4(9), B0=> nx9182, B1=>nx9184); ix10185 : xnor2 port map ( Y=>nx10184, A0=>PRI_IN_4(10), A1=>nx15727); ix10197 : nor02 port map ( Y=>nx10196, A0=>C_MUX2_6_SEL, A1=>nx11107); REG_47_reg_q_10 : dff port map ( Q=>reg_47_q_c_10, QB=>OPEN, D=>nx9914, CLK=>CLK); ix9915 : xnor2 port map ( Y=>nx9914, A0=>nx11363, A1=>nx9912); ix11364 : aoi22 port map ( Y=>nx11363, A0=>nx11027, A1=>reg_27_q_c_9, B0 =>nx8910, B1=>nx8912); REG_27_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11374, D=>nx9870, CLK=> CLK); ix9871 : xnor2 port map ( Y=>nx9870, A0=>nx11371, A1=>nx9868); ix11372 : mux21 port map ( Y=>nx11371, A0=>nx16427, A1=>nx8866, S0=> nx8868); ix9869 : xnor2 port map ( Y=>nx9868, A0=>nx11271, A1=>nx11333); ix9683 : xnor2 port map ( Y=>nx9682, A0=>nx11379, A1=>nx9680); ix11380 : aoi22 port map ( Y=>nx11379, A0=>nx14841, A1=>reg_44_q_c_9, B0 =>nx8658, B1=>nx8680); ix9681 : xnor2 port map ( Y=>nx9680, A0=>reg_44_q_c_10, A1=>nx16432); REG_44_reg_q_10 : dff port map ( Q=>reg_44_q_c_10, QB=>OPEN, D=>nx9672, CLK=>CLK); ix9673 : xnor2 port map ( Y=>nx9672, A0=>nx11384, A1=>nx9670); ix11385 : aoi22 port map ( Y=>nx11384, A0=>nx14855, A1=>PRI_IN_3(9), B0=> nx8668, B1=>nx8670); ix9671 : xnor2 port map ( Y=>nx9670, A0=>PRI_IN_3(10), A1=>nx14647); ix9891 : ao21 port map ( Y=>nx9890, A0=>C_MUX2_24_SEL, A1=>reg_17_q_c_10, B0=>nx9846); REG_17_reg_q_10 : dff port map ( Q=>reg_17_q_c_10, QB=>OPEN, D=>nx9880, CLK=>CLK); ix9881 : xor2 port map ( Y=>nx9880, A0=>nx9856, A1=>nx16436); ix9857 : mux21 port map ( Y=>nx9856, A0=>reg_27_q_c_9, A1=>nx10943, S0=> nx8878); ix9847 : nor02 port map ( Y=>nx9846, A0=>C_MUX2_24_SEL, A1=>nx11406); ix11407 : mux21 port map ( Y=>nx11406, A0=>nx9816_XX0_XREP23, A1=> reg_8_q_c_10, S0=>C_MUX2_13_SEL); REG_8_reg_q_10 : dff port map ( Q=>reg_8_q_c_10, QB=>OPEN, D=>nx9832, CLK =>CLK); ix9833 : xor2 port map ( Y=>nx9832, A0=>nx11411, A1=>nx11415); ix11412 : aoi22 port map ( Y=>nx11411, A0=>reg_2_q_c_9, A1=>PRI_IN_5(9), B0=>nx8828, B1=>nx8830); REG_2_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11424, D=>nx9988, CLK=>CLK ); ix9989 : xnor2 port map ( Y=>nx9988, A0=>nx11421, A1=>nx9986); ix11422 : aoi22 port map ( Y=>nx11421, A0=>nx11043, A1=>reg_37_q_c_9, B0 =>nx8616, B1=>nx8986); ix10011 : nor02 port map ( Y=>nx10010, A0=>C_MUX2_2_SEL, A1=>nx11035); ix11438 : mux21 port map ( Y=>nx11437, A0=>PRI_IN_5(10), A1=> reg_18_q_c_10, S0=>C_MUX2_1_SEL); REG_18_reg_q_10 : dff port map ( Q=>reg_18_q_c_10, QB=>OPEN, D=>nx9572, CLK=>CLK); ix9573 : xnor2 port map ( Y=>nx9572, A0=>nx11441, A1=>nx9570); ix11442 : aoi22 port map ( Y=>nx11441, A0=>nx11066, A1=>nx16429, B0=> nx8568, B1=>nx8570); ix9571 : xnor2 port map ( Y=>nx9570, A0=>PRI_IN_5(10), A1=> PRI_OUT_2_10_EXMPLR); ix9999 : nor02 port map ( Y=>nx9998, A0=>C_MUX2_10_SEL, A1=>nx11424); REG_11_reg_q_10 : dff port map ( Q=>reg_11_q_c_10, QB=>OPEN, D=>nx10334, CLK=>CLK); ix10335 : xor2 port map ( Y=>nx10334, A0=>nx11455, A1=>nx11459); ix11456 : aoi22 port map ( Y=>nx11455, A0=>reg_45_q_c_9, A1=>PRI_IN_8(9), B0=>nx9310, B1=>nx9332); REG_45_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11467, D=>nx10324, CLK=> CLK); ix10325 : xnor2 port map ( Y=>nx10324, A0=>nx11464, A1=>nx10322); ix11465 : aoi22 port map ( Y=>nx11464, A0=>nx11027, A1=>PRI_IN_8(9), B0=> nx9320, B1=>nx9322); ix10323 : xnor2 port map ( Y=>nx10322, A0=>PRI_IN_8(10), A1=>nx9816); ix10299 : nor02 port map ( Y=>nx10298, A0=>nx14757, A1=>nx11471); ix11472 : mux21 port map ( Y=>nx11471, A0=>reg_7_q_c_10, A1=> reg_18_q_c_10, S0=>C_MUX2_9_SEL); REG_28_reg_q_11 : dff port map ( Q=>PRI_OUT_2_11_EXMPLR, QB=>OPEN, D=> nx11418, CLK=>CLK); ix11419 : xnor2 port map ( Y=>nx11418, A0=>nx10548, A1=>nx11478); ix10549 : mux21 port map ( Y=>nx10548, A0=>nx11015, A1=>nx11230, S0=> nx11033); ix11482 : mux21 port map ( Y=>nx11481, A0=>reg_35_q_c_11, A1=> PRI_IN_0(11), S0=>C_MUX2_23_SEL); REG_35_reg_q_11 : dff port map ( Q=>reg_35_q_c_11, QB=>OPEN, D=>nx11400, CLK=>CLK); ix11401 : xor2 port map ( Y=>nx11400, A0=>nx10558, A1=>nx11398); ix11397 : ao21 port map ( Y=>PRI_OUT_3_11_EXMPLR, A0=>nx14759, A1=> nx11390, B0=>nx11298); ix11391 : ao21 port map ( Y=>nx11390, A0=>nx14769, A1=>reg_13_q_c_11, B0 =>nx11346); REG_13_reg_q_11 : dff port map ( Q=>reg_13_q_c_11, QB=>OPEN, D=>nx11378, CLK=>CLK); ix11379 : xnor2 port map ( Y=>nx11378, A0=>nx11354, A1=>nx11499); ix11355 : oai22 port map ( Y=>nx11354, A0=>nx11055, A1=>nx11059, B0=> nx11069, B1=>nx11496); ix11498 : inv02 port map ( Y=>nx11496, A=>PRI_IN_4(10)); ix11500 : xnor2 port map ( Y=>nx11499, A0=>PRI_IN_4(11), A1=> reg_49_q_c_11); REG_49_reg_q_11 : dff port map ( Q=>reg_49_q_c_11, QB=>OPEN, D=>nx11368, CLK=>CLK); ix11369 : xor2 port map ( Y=>nx11368, A0=>nx11364, A1=>nx11366); ix11365 : mux21 port map ( Y=>nx11364, A0=>PRI_IN_5(10), A1=>nx11064, S0 =>nx10366); ix11347 : nor02 port map ( Y=>nx11346, A0=>nx14769, A1=>nx11513); ix11514 : mux21 port map ( Y=>nx11513, A0=>nx6601, A1=>reg_11_q_c_11, S0 =>C_MUX2_18_SEL); ix10803 : xor2 port map ( Y=>nx10802, A0=>nx10706, A1=>nx10800); ix10707 : mux21 port map ( Y=>nx10706, A0=>reg_40_q_c_10, A1=>nx11078, S0 =>nx9800); REG_40_reg_q_11 : dff port map ( Q=>OPEN, QB=>nx11542, D=>nx10792, CLK=> CLK); ix10793 : xnor2 port map ( Y=>nx10792, A0=>nx10714, A1=>nx11533); ix10715 : oai22 port map ( Y=>nx10714, A0=>nx11085, A1=>nx11089, B0=> nx11102, B1=>nx11531); ix11532 : inv02 port map ( Y=>nx11531, A=>PRI_IN_1(10)); ix11534 : xnor2 port map ( Y=>nx11533, A0=>PRI_IN_1(11), A1=> reg_41_q_c_11); REG_41_reg_q_11 : dff port map ( Q=>reg_41_q_c_11, QB=>OPEN, D=>nx10782, CLK=>CLK); ix10783 : xor2 port map ( Y=>nx10782, A0=>nx10724, A1=>nx10780); ix10725 : mux21 port map ( Y=>nx10724, A0=>nx6587, A1=>nx11093, S0=> nx9780); ix10781 : xnor2 port map ( Y=>nx10780, A0=>PRI_IN_6(11), A1=>nx15725); ix11546 : mux21 port map ( Y=>nx11545, A0=>reg_16_q_c_11, A1=> PRI_IN_2(11), S0=>C_MUX2_25_SEL); REG_16_reg_q_11 : dff port map ( Q=>reg_16_q_c_11, QB=>OPEN, D=>nx10760, CLK=>CLK); ix10761 : xor2 port map ( Y=>nx10760, A0=>nx10738, A1=>nx10758); ix10739 : mux21 port map ( Y=>nx10738, A0=>reg_31_q_c_10, A1=>nx11112, S0 =>nx9758); REG_31_reg_q_11 : dff port map ( Q=>OPEN, QB=>nx11905, D=>nx10750, CLK=> CLK); ix10751 : xnor2 port map ( Y=>nx10750, A0=>nx10746, A1=>nx11563); ix10747 : oai22 port map ( Y=>nx10746, A0=>nx11117, A1=>nx11121, B0=> nx11449, B1=>nx11561); ix11562 : inv02 port map ( Y=>nx11561, A=>PRI_IN_9(10)); ix11564 : xnor2 port map ( Y=>nx11563, A0=>PRI_IN_9(11), A1=> reg_39_q_c_11); REG_39_reg_q_11 : dff port map ( Q=>reg_39_q_c_11, QB=>OPEN, D=>nx10940, CLK=>CLK); ix10941 : xnor2 port map ( Y=>nx10940, A0=>nx10650, A1=>nx16439); ix11574 : mux21 port map ( Y=>nx11573, A0=>PRI_IN_1(11), A1=> reg_24_q_c_11, S0=>C_MUX2_17_SEL); REG_24_reg_q_11 : dff port map ( Q=>reg_24_q_c_11, QB=>OPEN, D=>nx11144, CLK=>CLK); ix11145 : xor2 port map ( Y=>nx11144, A0=>nx10606, A1=>nx11142); ix10607 : oai22 port map ( Y=>nx10606, A0=>nx11135, A1=>nx11578, B0=> PRI_OUT_0_10_EXMPLR, B1=>nx11581); ix11582 : inv02 port map ( Y=>nx11581, A=>PRI_IN_5(10)); REG_1_reg_q_11 : dff port map ( Q=>reg_1_q_c_11, QB=>OPEN, D=>nx11130, CLK=>CLK); ix11131 : xnor2 port map ( Y=>nx11130, A0=>nx11591, A1=>nx11128); ix11592 : mux21 port map ( Y=>nx11591, A0=>nx10126, A1=>nx10006, S0=> nx10128); ix11129 : xnor2 port map ( Y=>nx11128, A0=>nx11118, A1=>nx11889); ix11119 : ao21 port map ( Y=>nx11118, A0=>C_MUX2_2_SEL, A1=>reg_33_q_c_11, B0=>nx11010); REG_33_reg_q_11 : dff port map ( Q=>reg_33_q_c_11, QB=>OPEN, D=>nx11108, CLK=>CLK); ix11109 : xnor2 port map ( Y=>nx11108, A0=>nx11018, A1=>nx11605); ix11019 : oai22 port map ( Y=>nx11018, A0=>nx11153, A1=>nx11157, B0=> nx11434, B1=>nx11337); ix11606 : xnor2 port map ( Y=>nx11605, A0=>PRI_IN_8(11), A1=> reg_46_q_c_11); REG_46_reg_q_11 : dff port map ( Q=>reg_46_q_c_11, QB=>OPEN, D=>nx11098, CLK=>CLK); ix11099 : xnor2 port map ( Y=>nx11098, A0=>nx11026, A1=>nx11613); ix11614 : xnor2 port map ( Y=>nx11613, A0=>reg_50_q_c_11, A1=>nx6609); REG_50_reg_q_11 : dff port map ( Q=>reg_50_q_c_11, QB=>OPEN, D=>nx11088, CLK=>CLK); ix11089 : xnor2 port map ( Y=>nx11088, A0=>nx11036, A1=>nx16441); ix11622 : xnor2 port map ( Y=>nx11621, A0=>PRI_IN_9(11), A1=>nx11623); ix11624 : mux21 port map ( Y=>nx11623, A0=>reg_15_q_c_11, A1=>nx14643, S0 =>C_MUX2_16_SEL); REG_15_reg_q_11 : dff port map ( Q=>reg_15_q_c_11, QB=>OPEN, D=>nx11070, CLK=>CLK); ix11071 : xor2 port map ( Y=>nx11070, A0=>nx11046, A1=>nx11068); ix11047 : mux21 port map ( Y=>nx11046, A0=>reg_36_q_c_10, A1=>nx11189, S0 =>nx10068); REG_36_reg_q_11 : dff port map ( Q=>OPEN, QB=>nx11882, D=>nx11060, CLK=> CLK); ix11061 : xor2 port map ( Y=>nx11060, A0=>nx11056, A1=>nx11058); ix11057 : mux21 port map ( Y=>nx11056, A0=>reg_37_q_c_10, A1=>nx11194, S0 =>nx10058); REG_37_reg_q_11 : dff port map ( Q=>reg_37_q_c_11, QB=>nx11881, D=> nx10978, CLK=>CLK); ix10979 : xnor2 port map ( Y=>nx10978, A0=>nx10624, A1=>nx11649); ix11650 : xnor2 port map ( Y=>nx11649, A0=>PRI_IN_7(11), A1=> PRI_OUT_5_11_EXMPLR); ix10975 : ao21 port map ( Y=>PRI_OUT_5_11_EXMPLR, A0=>nx14747, A1=> reg_9_q_c_11, B0=>nx10972); REG_9_reg_q_11 : dff port map ( Q=>reg_9_q_c_11, QB=>OPEN, D=>nx10960, CLK=>CLK); ix10961 : xnor2 port map ( Y=>nx10960, A0=>nx10632, A1=>nx11659); ix10633 : oai22 port map ( Y=>nx10632, A0=>nx11213, A1=>nx11216, B0=> nx11227, B1=>nx11581); ix11660 : xnor2 port map ( Y=>nx11659, A0=>PRI_IN_5(11), A1=> reg_42_q_c_11); REG_42_reg_q_11 : dff port map ( Q=>reg_42_q_c_11, QB=>OPEN, D=>nx10950, CLK=>CLK); ix10951 : xor2 port map ( Y=>nx10950, A0=>nx10642, A1=>nx10948); ix10643 : oai22 port map ( Y=>nx10642, A0=>nx11221, A1=>nx11667, B0=> PRI_IN_1(10), B1=>nx11449); ix10949 : xnor2 port map ( Y=>nx10948, A0=>PRI_IN_1(11), A1=> reg_39_q_c_11); REG_12_reg_q_11 : dff port map ( Q=>reg_12_q_c_11, QB=>OPEN, D=>nx10924, CLK=>CLK); ix10925 : xnor2 port map ( Y=>nx10924, A0=>nx10900, A1=>nx11681); ix10901 : ao21 port map ( Y=>nx10900, A0=>reg_47_q_c_10, A1=> PRI_OUT_9_10_EXMPLR, B0=>nx10898); ix10899 : nor02 port map ( Y=>nx10898, A0=>nx11235, A1=>nx11239); ix11682 : xnor2 port map ( Y=>nx11681, A0=>PRI_OUT_9_11_EXMPLR, A1=> reg_47_q_c_11); REG_23_reg_q_11 : dff port map ( Q=>PRI_OUT_9_11_EXMPLR, QB=>OPEN, D=> nx11488, CLK=>CLK); ix11489 : xnor2 port map ( Y=>nx11488, A0=>nx11686, A1=>nx11486); ix11687 : aoi22 port map ( Y=>nx11686, A0=>nx6597, A1=>PRI_IN_0(10), B0=> nx9502, B1=>nx10486); ix11487 : xnor2 port map ( Y=>nx11486, A0=>PRI_IN_0(11), A1=>nx11693); ix11694 : mux21 port map ( Y=>nx11693, A0=>reg_34_q_c_11, A1=> PRI_IN_0(11), S0=>C_MUX2_19_SEL); REG_34_reg_q_11 : dff port map ( Q=>reg_34_q_c_11, QB=>OPEN, D=>nx11470, CLK=>CLK); ix11471 : xnor2 port map ( Y=>nx11470, A0=>nx11697, A1=>nx11468); ix11698 : mux21 port map ( Y=>nx11697, A0=>nx11293, A1=>nx9512, S0=> nx10468); ix11469 : xnor2 port map ( Y=>nx11468, A0=>reg_38_q_c_11, A1=>nx11220); REG_38_reg_q_11 : dff port map ( Q=>reg_38_q_c_11, QB=>OPEN, D=>nx11460, CLK=>CLK); ix11461 : xnor2 port map ( Y=>nx11460, A0=>nx10520, A1=>nx11707); ix11710 : mux21 port map ( Y=>nx11709, A0=>reg_5_q_c_11, A1=>PRI_IN_4(11), S0=>C_MUX2_8_SEL); REG_5_reg_q_11 : dff port map ( Q=>reg_5_q_c_11, QB=>OPEN, D=>nx11442, CLK=>CLK); ix11443 : xor2 port map ( Y=>nx11442, A0=>nx10528, A1=>nx11440); ix10529 : mux21 port map ( Y=>nx10528, A0=>nx11290, A1=>nx11275, S0=> nx10440); ix11441 : xnor2 port map ( Y=>nx11440, A0=>reg_43_q_c_11, A1=>nx11737); REG_43_reg_q_11 : dff port map ( Q=>reg_43_q_c_11, QB=>OPEN, D=>nx11432, CLK=>CLK); ix11433 : xnor2 port map ( Y=>nx11432, A0=>nx10536, A1=>nx11729); ix10537 : oai22 port map ( Y=>nx10536, A0=>nx11281, A1=>nx11285, B0=> nx11725, B1=>nx11293); ix11730 : xnor2 port map ( Y=>nx11729, A0=>reg_38_q_c_11, A1=>nx11428); ix11429 : ao21 port map ( Y=>nx11428, A0=>C_MUX2_7_SEL, A1=>nx14693, B0=> nx10540); ix10541 : nor02 port map ( Y=>nx10540, A0=>C_MUX2_7_SEL, A1=>nx11709); ix11738 : mux21 port map ( Y=>nx11737, A0=>PRI_IN_3(11), A1=>reg_6_q_c_11, S0=>C_MUX2_5_SEL); ix11221 : ao21 port map ( Y=>nx11220, A0=>C_MUX2_6_SEL, A1=>reg_14_q_c_11, B0=>nx11196); REG_14_reg_q_11 : dff port map ( Q=>reg_14_q_c_11, QB=>OPEN, D=>nx11210, CLK=>CLK); ix11211 : xor2 port map ( Y=>nx11210, A0=>nx11747, A1=>nx11751); ix11748 : aoi22 port map ( Y=>nx11747, A0=>nx11255, A1=>reg_7_q_c_10, B0 =>nx10206, B1=>nx10208); REG_7_reg_q_11 : dff port map ( Q=>reg_7_q_c_11, QB=>nx11803, D=>nx11284, CLK=>CLK); ix11285 : xnor2 port map ( Y=>nx11284, A0=>nx11755, A1=>nx11282); ix11756 : mux21 port map ( Y=>nx11755, A0=>nx6591, A1=>nx9588, S0=> nx10282); ix11283 : xnor2 port map ( Y=>nx11282, A0=>nx11709, A1=>nx6605); ix11281 : ao21 port map ( Y=>nx6605, A0=>C_MUX2_4_SEL, A1=>reg_25_q_c_11, B0=>nx11238); REG_25_reg_q_11 : dff port map ( Q=>reg_25_q_c_11, QB=>OPEN, D=>nx11270, CLK=>CLK); ix11271 : xnor2 port map ( Y=>nx11270, A0=>nx11248, A1=>nx11764); ix11249 : mux21 port map ( Y=>nx11248, A0=>nx11317, A1=>reg_29_q_c_10, S0 =>nx11319); REG_29_reg_q_11 : dff port map ( Q=>OPEN, QB=>nx11773, D=>nx11260, CLK=> CLK); ix11261 : xnor2 port map ( Y=>nx11260, A0=>nx11256, A1=>nx11771); ix11239 : nor02 port map ( Y=>nx11238, A0=>C_MUX2_4_SEL, A1=>nx11777); ix11778 : mux21 port map ( Y=>nx11777, A0=>nx6609, A1=>reg_26_q_c_11, S0 =>C_MUX2_22_SEL); ix11782 : inv02 port map ( Y=>nx11781, A=>PRI_IN_8(11)); REG_26_reg_q_11 : dff port map ( Q=>reg_26_q_c_11, QB=>OPEN, D=>nx11224, CLK=>CLK); ix11225 : xnor2 port map ( Y=>nx11224, A0=>nx11786, A1=>nx11222); ix11787 : aoi22 port map ( Y=>nx11786, A0=>nx11788, A1=>reg_30_q_c_10, B0 =>nx10172, B1=>nx10222); ix11223 : xnor2 port map ( Y=>nx11222, A0=>reg_30_q_c_11, A1=>nx11220); REG_30_reg_q_11 : dff port map ( Q=>reg_30_q_c_11, QB=>OPEN, D=>nx11186, CLK=>CLK); ix11187 : xor2 port map ( Y=>nx11186, A0=>nx11182, A1=>nx11184); ix11183 : mux21 port map ( Y=>nx11182, A0=>nx6587, A1=>nx11347, S0=> nx10184); ix11185 : xnor2 port map ( Y=>nx11184, A0=>PRI_IN_4(11), A1=>nx15725); ix11197 : nor02 port map ( Y=>nx11196, A0=>C_MUX2_6_SEL, A1=>nx11545); REG_47_reg_q_11 : dff port map ( Q=>reg_47_q_c_11, QB=>OPEN, D=>nx10914, CLK=>CLK); ix10915 : xor2 port map ( Y=>nx10914, A0=>nx10910, A1=>nx10912); ix10911 : oai22 port map ( Y=>nx10910, A0=>nx11363, A1=>nx11811, B0=> nx9816, B1=>nx11374); ix10913 : xnor2 port map ( Y=>nx10912, A0=>reg_27_q_c_11, A1=>nx10816); REG_27_reg_q_11 : dff port map ( Q=>reg_27_q_c_11, QB=>OPEN, D=>nx10870, CLK=>CLK); ix10871 : xor2 port map ( Y=>nx10870, A0=>nx10866, A1=>nx10868); ix10867 : mux21 port map ( Y=>nx10866, A0=>nx6582, A1=>nx11371, S0=> nx9868); ix10869 : xnor2 port map ( Y=>nx10868, A0=>nx11709, A1=>nx11777); ix10683 : xor2 port map ( Y=>nx10682, A0=>nx10658, A1=>nx10680); ix10659 : mux21 port map ( Y=>nx10658, A0=>nx11230, A1=>nx11379, S0=> nx9680); REG_44_reg_q_11 : dff port map ( Q=>reg_44_q_c_11, QB=>OPEN, D=>nx10672, CLK=>CLK); ix10673 : xor2 port map ( Y=>nx10672, A0=>nx10668, A1=>nx10670); ix10669 : mux21 port map ( Y=>nx10668, A0=>nx14649, A1=>nx11384, S0=> nx9670); ix10891 : ao21 port map ( Y=>nx10890, A0=>C_MUX2_24_SEL, A1=> reg_17_q_c_11, B0=>nx10846); REG_17_reg_q_11 : dff port map ( Q=>reg_17_q_c_11, QB=>OPEN, D=>nx10880, CLK=>CLK); ix10881 : xnor2 port map ( Y=>nx10880, A0=>nx11853, A1=>nx16443); ix10879 : xnor2 port map ( Y=>nx10878, A0=>reg_27_q_c_11, A1=>nx6605); ix10847 : nor02 port map ( Y=>nx10846, A0=>C_MUX2_24_SEL, A1=>nx11859); ix11860 : mux21 port map ( Y=>nx11859, A0=>nx15733, A1=>reg_8_q_c_11, S0 =>C_MUX2_13_SEL); REG_8_reg_q_11 : dff port map ( Q=>reg_8_q_c_11, QB=>OPEN, D=>nx10832, CLK=>CLK); ix10833 : xnor2 port map ( Y=>nx10832, A0=>nx10828, A1=>nx11867); ix10829 : oai22 port map ( Y=>nx10828, A0=>nx11411, A1=>nx11415, B0=> nx11424, B1=>nx11581); ix11868 : xnor2 port map ( Y=>nx11867, A0=>PRI_IN_5(11), A1=>reg_2_q_c_11 ); REG_2_reg_q_11 : dff port map ( Q=>reg_2_q_c_11, QB=>OPEN, D=>nx10988, CLK=>CLK); ix10989 : xor2 port map ( Y=>nx10988, A0=>nx10616, A1=>nx10986); ix10617 : oai22 port map ( Y=>nx10616, A0=>nx11421, A1=>nx11874, B0=> PRI_IN_0(10), B1=>nx11427); ix11011 : nor02 port map ( Y=>nx11010, A0=>C_MUX2_2_SEL, A1=>nx11481); ix11890 : mux21 port map ( Y=>nx11889, A0=>PRI_IN_5(11), A1=> reg_18_q_c_11, S0=>C_MUX2_1_SEL); REG_18_reg_q_11 : dff port map ( Q=>reg_18_q_c_11, QB=>OPEN, D=>nx10572, CLK=>CLK); ix10573 : xor2 port map ( Y=>nx10572, A0=>nx10568, A1=>nx10570); ix10569 : mux21 port map ( Y=>nx10568, A0=>PRI_IN_5(10), A1=>nx11441, S0 =>nx9570); ix10571 : xnor2 port map ( Y=>nx10570, A0=>PRI_IN_5(11), A1=>nx14693); REG_11_reg_q_11 : dff port map ( Q=>reg_11_q_c_11, QB=>OPEN, D=>nx11334, CLK=>CLK); ix11335 : xnor2 port map ( Y=>nx11334, A0=>nx11310, A1=>nx11913); ix11311 : oai22 port map ( Y=>nx11310, A0=>nx11455, A1=>nx11459, B0=> nx11467, B1=>nx11337); ix11914 : xnor2 port map ( Y=>nx11913, A0=>PRI_IN_8(11), A1=> reg_45_q_c_11); REG_45_reg_q_11 : dff port map ( Q=>reg_45_q_c_11, QB=>OPEN, D=>nx11324, CLK=>CLK); ix11325 : xor2 port map ( Y=>nx11324, A0=>nx11320, A1=>nx11322); ix11321 : mux21 port map ( Y=>nx11320, A0=>nx9816, A1=>nx11464, S0=> nx10322); ix11323 : xnor2 port map ( Y=>nx11322, A0=>PRI_IN_8(11), A1=>nx15733); ix11299 : nor02 port map ( Y=>nx11298, A0=>nx14759, A1=>nx11923); ix11924 : mux21 port map ( Y=>nx11923, A0=>reg_7_q_c_11, A1=> reg_18_q_c_11, S0=>C_MUX2_9_SEL); REG_28_reg_q_12 : dff port map ( Q=>PRI_OUT_2_dup0_12, QB=>OPEN, D=> nx12418, CLK=>CLK); ix12419 : xor2 port map ( Y=>nx12418, A0=>nx11929, A1=>nx11944); ix11930 : mux21 port map ( Y=>nx11929, A0=>nx10548, A1=>nx14843, S0=> nx11478); ix11945 : xnor2 port map ( Y=>nx11944, A0=>nx11946, A1=>nx14817); ix11947 : mux21 port map ( Y=>nx11946, A0=>reg_35_q_c_12, A1=> PRI_IN_0(12), S0=>C_MUX2_23_SEL); REG_35_reg_q_12 : dff port map ( Q=>reg_35_q_c_12, QB=>OPEN, D=>nx12400, CLK=>CLK); ix12401 : xnor2 port map ( Y=>nx12400, A0=>nx11951, A1=>nx12398); ix12399 : xnor2 port map ( Y=>nx12398, A0=>PRI_IN_0(12), A1=>nx14639); ix12397 : ao21 port map ( Y=>PRI_OUT_3_12_EXMPLR, A0=>nx14759, A1=> nx12390, B0=>nx12298); ix12391 : ao21 port map ( Y=>nx12390, A0=>nx14769, A1=>reg_13_q_c_12, B0 =>nx12346); REG_13_reg_q_12 : dff port map ( Q=>reg_13_q_c_12, QB=>OPEN, D=>nx12378, CLK=>CLK); ix12379 : xor2 port map ( Y=>nx12378, A0=>nx11961, A1=>nx11964); ix11962 : aoi22 port map ( Y=>nx11961, A0=>reg_49_q_c_11, A1=> PRI_IN_4(11), B0=>nx11354, B1=>nx11376); REG_49_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx11975, D=>nx12368, CLK=> CLK); ix12369 : xnor2 port map ( Y=>nx12368, A0=>nx11968, A1=>nx12366); ix11969 : aoi22 port map ( Y=>nx11968, A0=>nx11971, A1=>nx14645, B0=> nx11364, B1=>nx11366); ix11972 : inv02 port map ( Y=>nx11971, A=>PRI_IN_5(11)); ix12367 : xnor2 port map ( Y=>nx12366, A0=>PRI_IN_5(12), A1=>nx14639); ix11779 : ao21 port map ( Y=>nx6617, A0=>nx16445, A1=>reg_6_q_c_12, B0=> nx11776); REG_6_reg_q_12 : dff port map ( Q=>reg_6_q_c_12, QB=>OPEN, D=>nx11802, CLK=>CLK); ix11803 : xnor2 port map ( Y=>nx11802, A0=>nx11984, A1=>nx11800); ix11985 : aoi22 port map ( Y=>nx11984, A0=>nx11542, A1=>PRI_IN_2(11), B0 =>nx10706, B1=>nx10800); ix11801 : xnor2 port map ( Y=>nx11800, A0=>PRI_IN_2(12), A1=> reg_40_q_c_12); REG_40_reg_q_12 : dff port map ( Q=>reg_40_q_c_12, QB=>OPEN, D=>nx11792, CLK=>CLK); ix11793 : xor2 port map ( Y=>nx11792, A0=>nx11991, A1=>nx11994); ix11992 : aoi22 port map ( Y=>nx11991, A0=>reg_41_q_c_11, A1=> PRI_IN_1(11), B0=>nx10714, B1=>nx10790); REG_41_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12009, D=>nx11782, CLK=> CLK); ix11783 : xnor2 port map ( Y=>nx11782, A0=>nx11999, A1=>nx11780); ix12000 : aoi22 port map ( Y=>nx11999, A0=>nx12001, A1=>PRI_IN_6(11), B0 =>nx10724, B1=>nx10780); ix11781 : xnor2 port map ( Y=>nx11780, A0=>PRI_IN_6(12), A1=>nx14717); REG_16_reg_q_12 : dff port map ( Q=>reg_16_q_c_12, QB=>OPEN, D=>nx11760, CLK=>CLK); ix11761 : xnor2 port map ( Y=>nx11760, A0=>nx12021, A1=>nx11758); ix12022 : mux21 port map ( Y=>nx12021, A0=>nx11905, A1=>nx10738, S0=> nx10758); ix11759 : xnor2 port map ( Y=>nx11758, A0=>reg_31_q_c_12, A1=>nx16373); REG_31_reg_q_12 : dff port map ( Q=>reg_31_q_c_12, QB=>OPEN, D=>nx11750, CLK=>CLK); ix11751 : xor2 port map ( Y=>nx11750, A0=>nx12029, A1=>nx12033); ix12030 : aoi22 port map ( Y=>nx12029, A0=>reg_39_q_c_11, A1=> PRI_IN_9(11), B0=>nx10746, B1=>nx10748); REG_39_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12353, D=>nx11940, CLK=> CLK); ix11941 : xor2 port map ( Y=>nx11940, A0=>nx12039, A1=>nx12041); ix12042 : xnor2 port map ( Y=>nx12041, A0=>nx12043, A1=>nx14817); ix12044 : mux21 port map ( Y=>nx12043, A0=>PRI_IN_1(12), A1=> reg_24_q_c_12, S0=>C_MUX2_17_SEL); REG_24_reg_q_12 : dff port map ( Q=>reg_24_q_c_12, QB=>OPEN, D=>nx12144, CLK=>CLK); ix12145 : xnor2 port map ( Y=>nx12144, A0=>nx12049, A1=>nx12142); ix12050 : aoi22 port map ( Y=>nx12049, A0=>nx12051, A1=>PRI_IN_5(11), B0 =>nx10606, B1=>nx11142); ix12052 : mux21 port map ( Y=>nx12051, A0=>reg_2_q_c_11, A1=>reg_1_q_c_11, S0=>C_MUX2_10_SEL); ix12143 : xnor2 port map ( Y=>nx12142, A0=>PRI_IN_5(12), A1=> PRI_OUT_0_12_EXMPLR); ix12141 : ao21 port map ( Y=>PRI_OUT_0_12_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_1_q_c_12, B0=>nx11998); REG_1_reg_q_12 : dff port map ( Q=>reg_1_q_c_12, QB=>OPEN, D=>nx12130, CLK=>CLK); ix12131 : xor2 port map ( Y=>nx12130, A0=>nx12006, A1=>nx12128); ix12007 : mux21 port map ( Y=>nx12006, A0=>nx11889, A1=>nx11591, S0=> nx11128); ix12129 : xnor2 port map ( Y=>nx12128, A0=>nx12118, A1=>nx12339); ix12119 : ao21 port map ( Y=>nx12118, A0=>C_MUX2_2_SEL, A1=>reg_33_q_c_12, B0=>nx12010); REG_33_reg_q_12 : dff port map ( Q=>reg_33_q_c_12, QB=>OPEN, D=>nx12108, CLK=>CLK); ix12109 : xor2 port map ( Y=>nx12108, A0=>nx12067, A1=>nx12071); ix12068 : aoi22 port map ( Y=>nx12067, A0=>reg_46_q_c_11, A1=> PRI_IN_8(11), B0=>nx11018, B1=>nx11106); REG_46_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12336, D=>nx12098, CLK=> CLK); ix12099 : xor2 port map ( Y=>nx12098, A0=>nx12075, A1=>nx12081); ix12082 : xnor2 port map ( Y=>nx12081, A0=>reg_50_q_c_12, A1=>nx6623); REG_50_reg_q_12 : dff port map ( Q=>reg_50_q_c_12, QB=>OPEN, D=>nx12088, CLK=>CLK); ix12089 : xor2 port map ( Y=>nx12088, A0=>nx12087, A1=>nx16447); ix12092 : xnor2 port map ( Y=>nx12091, A0=>PRI_IN_9(12), A1=>nx12093); ix12094 : mux21 port map ( Y=>nx12093, A0=>reg_15_q_c_12, A1=>nx14639, S0 =>C_MUX2_16_SEL); REG_15_reg_q_12 : dff port map ( Q=>reg_15_q_c_12, QB=>OPEN, D=>nx12070, CLK=>CLK); ix12071 : xnor2 port map ( Y=>nx12070, A0=>nx12097, A1=>nx12068); ix12098 : aoi22 port map ( Y=>nx12097, A0=>nx11882, A1=>PRI_IN_6(11), B0 =>nx11046, B1=>nx11068); ix12069 : xnor2 port map ( Y=>nx12068, A0=>PRI_IN_6(12), A1=> reg_36_q_c_12); REG_36_reg_q_12 : dff port map ( Q=>reg_36_q_c_12, QB=>OPEN, D=>nx12060, CLK=>CLK); ix12061 : xnor2 port map ( Y=>nx12060, A0=>nx12103, A1=>nx12058); ix12104 : aoi22 port map ( Y=>nx12103, A0=>nx11881, A1=>PRI_IN_2(11), B0 =>nx11056, B1=>nx11058); REG_37_reg_q_12 : dff port map ( Q=>reg_37_q_c_12, QB=>nx12330, D=> nx11978, CLK=>CLK); ix11979 : xor2 port map ( Y=>nx11978, A0=>nx12109, A1=>nx12112); ix12113 : xnor2 port map ( Y=>nx12112, A0=>PRI_IN_7(12), A1=> PRI_OUT_5_12_EXMPLR); ix11975 : ao21 port map ( Y=>PRI_OUT_5_12_EXMPLR, A0=>nx14747, A1=> reg_9_q_c_12, B0=>nx11972); REG_9_reg_q_12 : dff port map ( Q=>reg_9_q_c_12, QB=>OPEN, D=>nx11960, CLK=>CLK); ix11961 : xor2 port map ( Y=>nx11960, A0=>nx12117, A1=>nx12121); ix12118 : aoi22 port map ( Y=>nx12117, A0=>reg_42_q_c_11, A1=> PRI_IN_5(11), B0=>nx10632, B1=>nx10958); REG_42_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12133, D=>nx11950, CLK=> CLK); ix11951 : xnor2 port map ( Y=>nx11950, A0=>nx12127, A1=>nx11948); ix12128 : aoi22 port map ( Y=>nx12127, A0=>nx12129, A1=>reg_39_q_c_11, B0 =>nx10642, B1=>nx10948); ix12130 : inv02 port map ( Y=>nx12129, A=>PRI_IN_1(11)); ix11973 : nor02 port map ( Y=>nx11972, A0=>nx14749, A1=>nx14817); ix12137 : mux21 port map ( Y=>nx12136, A0=>reg_12_q_c_12, A1=>nx11890, S0 =>C_MUX2_3_SEL); REG_12_reg_q_12 : dff port map ( Q=>reg_12_q_c_12, QB=>OPEN, D=>nx11924, CLK=>CLK); ix11925 : xor2 port map ( Y=>nx11924, A0=>nx12141, A1=>nx12145); ix12142 : aoi22 port map ( Y=>nx12141, A0=>reg_47_q_c_11, A1=> PRI_OUT_9_11_EXMPLR, B0=>nx10900, B1=>nx10922); ix12146 : xnor2 port map ( Y=>nx12145, A0=>PRI_OUT_9_12_EXMPLR, A1=> reg_47_q_c_12); REG_23_reg_q_12 : dff port map ( Q=>PRI_OUT_9_12_EXMPLR, QB=>OPEN, D=> nx12488, CLK=>CLK); ix12489 : xor2 port map ( Y=>nx12488, A0=>nx11502, A1=>nx12486); ix11503 : oai22 port map ( Y=>nx11502, A0=>nx11686, A1=>nx12150, B0=> nx11693, B1=>nx11953); ix12487 : xnor2 port map ( Y=>nx12486, A0=>PRI_IN_0(12), A1=>nx12157); ix12158 : mux21 port map ( Y=>nx12157, A0=>reg_34_q_c_12, A1=> PRI_IN_0(12), S0=>C_MUX2_19_SEL); REG_34_reg_q_12 : dff port map ( Q=>reg_34_q_c_12, QB=>OPEN, D=>nx12470, CLK=>CLK); ix12471 : xor2 port map ( Y=>nx12470, A0=>nx11512, A1=>nx12468); ix11513 : mux21 port map ( Y=>nx11512, A0=>reg_38_q_c_11, A1=>nx11697, S0 =>nx11468); REG_38_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12198, D=>nx12460, CLK=> CLK); ix12461 : xor2 port map ( Y=>nx12460, A0=>nx12169, A1=>nx16141); REG_5_reg_q_12 : dff port map ( Q=>reg_5_q_c_12, QB=>OPEN, D=>nx12442, CLK=>CLK); ix12443 : xnor2 port map ( Y=>nx12442, A0=>nx12177, A1=>nx12440); ix12178 : aoi22 port map ( Y=>nx12177, A0=>nx10812, A1=>reg_43_q_c_11, B0 =>nx10528, B1=>nx11440); ix12441 : xnor2 port map ( Y=>nx12440, A0=>reg_43_q_c_12, A1=>nx12194); REG_43_reg_q_12 : dff port map ( Q=>reg_43_q_c_12, QB=>OPEN, D=>nx12432, CLK=>CLK); ix12433 : xor2 port map ( Y=>nx12432, A0=>nx12185, A1=>nx12189); ix12186 : aoi22 port map ( Y=>nx12185, A0=>nx11428, A1=>reg_38_q_c_11, B0 =>nx10536, B1=>nx11430); ix12429 : ao21 port map ( Y=>nx12428, A0=>C_MUX2_7_SEL, A1=> PRI_OUT_2_12_EXMPLR, B0=>nx11540); ix11541 : nor02 port map ( Y=>nx11540, A0=>C_MUX2_7_SEL, A1=>nx12173); ix12195 : mux21 port map ( Y=>nx12194, A0=>PRI_IN_3(12), A1=>reg_6_q_c_12, S0=>C_MUX2_5_SEL); ix12221 : ao21 port map ( Y=>nx12220, A0=>C_MUX2_6_SEL, A1=>reg_14_q_c_12, B0=>nx12196); REG_14_reg_q_12 : dff port map ( Q=>reg_14_q_c_12, QB=>OPEN, D=>nx12210, CLK=>CLK); ix12211 : xnor2 port map ( Y=>nx12210, A0=>nx12206, A1=>nx12207); ix12207 : oai22 port map ( Y=>nx12206, A0=>nx11747, A1=>nx11751, B0=> nx6610, B1=>nx11803); ix12208 : xnor2 port map ( Y=>nx12207, A0=>reg_7_q_c_12, A1=>nx12157); REG_7_reg_q_12 : dff port map ( Q=>reg_7_q_c_12, QB=>OPEN, D=>nx12284, CLK=>CLK); ix12285 : xor2 port map ( Y=>nx12284, A0=>nx11588, A1=>nx12282); ix11589 : mux21 port map ( Y=>nx11588, A0=>nx12214, A1=>nx11755, S0=> nx11282); ix12283 : xnor2 port map ( Y=>nx12282, A0=>nx12173, A1=>nx6619); ix12281 : ao21 port map ( Y=>nx6619, A0=>C_MUX2_4_SEL, A1=>reg_25_q_c_12, B0=>nx12238); REG_25_reg_q_12 : dff port map ( Q=>reg_25_q_c_12, QB=>OPEN, D=>nx12270, CLK=>CLK); ix12271 : xor2 port map ( Y=>nx12270, A0=>nx12221, A1=>nx12223); ix12222 : mux21 port map ( Y=>nx12221, A0=>nx11248, A1=>nx11773, S0=> nx11764); ix12224 : xnor2 port map ( Y=>nx12223, A0=>reg_29_q_c_12, A1=>nx12015); REG_29_reg_q_12 : dff port map ( Q=>reg_29_q_c_12, QB=>OPEN, D=>nx12260, CLK=>CLK); ix12261 : xor2 port map ( Y=>nx12260, A0=>nx12228, A1=>nx12231); ix12232 : xnor2 port map ( Y=>nx12231, A0=>PRI_OUT_2_12_EXMPLR, A1=> nx14639); ix12239 : nor02 port map ( Y=>nx12238, A0=>C_MUX2_4_SEL, A1=>nx12237); ix12238 : mux21 port map ( Y=>nx12237, A0=>nx6623, A1=>reg_26_q_c_12, S0 =>C_MUX2_22_SEL); ix12242 : inv02 port map ( Y=>nx12241, A=>PRI_IN_8(12)); REG_26_reg_q_12 : dff port map ( Q=>reg_26_q_c_12, QB=>OPEN, D=>nx12224, CLK=>CLK); ix12225 : xor2 port map ( Y=>nx12224, A0=>nx12172, A1=>nx12222); ix12173 : mux21 port map ( Y=>nx12172, A0=>nx11220, A1=>nx11786, S0=> nx11222); ix12223 : xnor2 port map ( Y=>nx12222, A0=>reg_30_q_c_12, A1=>nx12220); REG_30_reg_q_12 : dff port map ( Q=>reg_30_q_c_12, QB=>OPEN, D=>nx12186, CLK=>CLK); ix12187 : xnor2 port map ( Y=>nx12186, A0=>nx12255, A1=>nx12184); ix12256 : aoi22 port map ( Y=>nx12255, A0=>nx12001, A1=>PRI_IN_4(11), B0 =>nx11182, B1=>nx11184); ix12185 : xnor2 port map ( Y=>nx12184, A0=>PRI_IN_4(12), A1=>nx16374); ix12197 : nor02 port map ( Y=>nx12196, A0=>C_MUX2_6_SEL, A1=>nx12015); REG_47_reg_q_12 : dff port map ( Q=>reg_47_q_c_12, QB=>OPEN, D=>nx11914, CLK=>CLK); ix11915 : xnor2 port map ( Y=>nx11914, A0=>nx12269, A1=>nx11912); ix12270 : aoi22 port map ( Y=>nx12269, A0=>nx11939, A1=>reg_27_q_c_11, B0 =>nx10910, B1=>nx10912); REG_27_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12279, D=>nx11870, CLK=> CLK); ix11871 : xnor2 port map ( Y=>nx11870, A0=>nx12275, A1=>nx11868); ix12276 : mux21 port map ( Y=>nx12275, A0=>nx11709, A1=>nx10866, S0=> nx10868); ix11869 : xnor2 port map ( Y=>nx11868, A0=>nx12173, A1=>nx12237); ix11817 : ao21 port map ( Y=>nx11816, A0=>nx14731, A1=>reg_10_q_c_12, B0 =>nx11814); REG_10_reg_q_12 : dff port map ( Q=>reg_10_q_c_12, QB=>OPEN, D=>nx11682, CLK=>CLK); ix11683 : xnor2 port map ( Y=>nx11682, A0=>nx12285, A1=>nx11680); ix12286 : aoi22 port map ( Y=>nx12285, A0=>nx14843, A1=>reg_44_q_c_11, B0 =>nx10658, B1=>nx10680); ix11681 : xnor2 port map ( Y=>nx11680, A0=>reg_44_q_c_12, A1=>nx14817); REG_44_reg_q_12 : dff port map ( Q=>reg_44_q_c_12, QB=>OPEN, D=>nx11672, CLK=>CLK); ix11673 : xnor2 port map ( Y=>nx11672, A0=>nx12290, A1=>nx11670); ix12291 : aoi22 port map ( Y=>nx12290, A0=>nx14857, A1=>PRI_IN_3(11), B0 =>nx10668, B1=>nx10670); ix11671 : xnor2 port map ( Y=>nx11670, A0=>PRI_IN_3(12), A1=>nx14639); ix11815 : nor02 port map ( Y=>nx11814, A0=>nx14733, A1=>nx12194); ix11891 : ao21 port map ( Y=>nx11890, A0=>C_MUX2_24_SEL, A1=> reg_17_q_c_12, B0=>nx11846); REG_17_reg_q_12 : dff port map ( Q=>reg_17_q_c_12, QB=>OPEN, D=>nx11880, CLK=>CLK); ix11881 : xor2 port map ( Y=>nx11880, A0=>nx11856, A1=>nx11878); ix11847 : nor02 port map ( Y=>nx11846, A0=>C_MUX2_24_SEL, A1=>nx12311); ix12312 : mux21 port map ( Y=>nx12311, A0=>nx11816, A1=>reg_8_q_c_12, S0 =>C_MUX2_13_SEL); REG_8_reg_q_12 : dff port map ( Q=>reg_8_q_c_12, QB=>OPEN, D=>nx11832, CLK=>CLK); ix11833 : xor2 port map ( Y=>nx11832, A0=>nx12315, A1=>nx12319); ix12316 : aoi22 port map ( Y=>nx12315, A0=>reg_2_q_c_11, A1=>PRI_IN_5(11), B0=>nx10828, B1=>nx10830); REG_2_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12328, D=>nx11988, CLK=> CLK); ix11989 : xnor2 port map ( Y=>nx11988, A0=>nx12325, A1=>nx11986); ix12326 : aoi22 port map ( Y=>nx12325, A0=>nx11953, A1=>reg_37_q_c_11, B0 =>nx10616, B1=>nx10986); ix12011 : nor02 port map ( Y=>nx12010, A0=>C_MUX2_2_SEL, A1=>nx11946); ix12340 : mux21 port map ( Y=>nx12339, A0=>PRI_IN_5(12), A1=> reg_18_q_c_12, S0=>C_MUX2_1_SEL); REG_18_reg_q_12 : dff port map ( Q=>reg_18_q_c_12, QB=>OPEN, D=>nx11572, CLK=>CLK); ix11573 : xnor2 port map ( Y=>nx11572, A0=>nx12343, A1=>nx11570); ix12344 : aoi22 port map ( Y=>nx12343, A0=>nx11971, A1=>nx14693, B0=> nx10568, B1=>nx10570); ix11571 : xnor2 port map ( Y=>nx11570, A0=>PRI_IN_5(12), A1=> PRI_OUT_2_12_EXMPLR); ix11999 : nor02 port map ( Y=>nx11998, A0=>C_MUX2_10_SEL, A1=>nx12328); REG_11_reg_q_12 : dff port map ( Q=>reg_11_q_c_12, QB=>OPEN, D=>nx12334, CLK=>CLK); ix12335 : xor2 port map ( Y=>nx12334, A0=>nx12359, A1=>nx12363); ix12360 : aoi22 port map ( Y=>nx12359, A0=>reg_45_q_c_11, A1=> PRI_IN_8(11), B0=>nx11310, B1=>nx11332); REG_45_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12372, D=>nx12324, CLK=> CLK); ix12325 : xnor2 port map ( Y=>nx12324, A0=>nx12369, A1=>nx12322); ix12370 : aoi22 port map ( Y=>nx12369, A0=>nx11939, A1=>PRI_IN_8(11), B0 =>nx11320, B1=>nx11322); ix12323 : xnor2 port map ( Y=>nx12322, A0=>PRI_IN_8(12), A1=>nx11816); ix12299 : nor02 port map ( Y=>nx12298, A0=>nx14759, A1=>nx12375); ix12376 : mux21 port map ( Y=>nx12375, A0=>reg_7_q_c_12, A1=> reg_18_q_c_12, S0=>C_MUX2_9_SEL); REG_28_reg_q_13 : dff port map ( Q=>PRI_OUT_2_13_EXMPLR, QB=>OPEN, D=> nx13418, CLK=>CLK); ix13419 : xnor2 port map ( Y=>nx13418, A0=>nx12548, A1=>nx12383); ix12549 : mux21 port map ( Y=>nx12548, A0=>nx11929, A1=>nx12136, S0=> nx11944); ix12386 : mux21 port map ( Y=>nx12385, A0=>reg_35_q_c_13, A1=> PRI_IN_0(13), S0=>C_MUX2_23_SEL); REG_35_reg_q_13 : dff port map ( Q=>reg_35_q_c_13, QB=>OPEN, D=>nx13400, CLK=>CLK); ix13401 : xor2 port map ( Y=>nx13400, A0=>nx12558, A1=>nx13398); ix13397 : ao21 port map ( Y=>PRI_OUT_3_13_EXMPLR, A0=>nx14759, A1=> nx13390, B0=>nx13298); ix13391 : ao21 port map ( Y=>nx13390, A0=>nx14769, A1=>reg_13_q_c_13, B0 =>nx13346); REG_13_reg_q_13 : dff port map ( Q=>reg_13_q_c_13, QB=>OPEN, D=>nx13378, CLK=>CLK); ix13379 : xnor2 port map ( Y=>nx13378, A0=>nx13354, A1=>nx12403); ix13355 : oai22 port map ( Y=>nx13354, A0=>nx11961, A1=>nx11964, B0=> nx11975, B1=>nx12401); ix12402 : inv02 port map ( Y=>nx12401, A=>PRI_IN_4(12)); ix12404 : xnor2 port map ( Y=>nx12403, A0=>PRI_IN_4(13), A1=> reg_49_q_c_13); REG_49_reg_q_13 : dff port map ( Q=>reg_49_q_c_13, QB=>OPEN, D=>nx13368, CLK=>CLK); ix13369 : xor2 port map ( Y=>nx13368, A0=>nx13364, A1=>nx13366); ix13365 : mux21 port map ( Y=>nx13364, A0=>PRI_IN_5(12), A1=>nx11968, S0 =>nx12366); ix13347 : nor02 port map ( Y=>nx13346, A0=>nx7023, A1=>nx12413); ix12414 : mux21 port map ( Y=>nx12413, A0=>nx6631, A1=>reg_11_q_c_13, S0 =>C_MUX2_18_SEL); ix12779 : ao21 port map ( Y=>nx6631, A0=>nx16445, A1=>reg_6_q_c_13, B0=> nx12776); REG_6_reg_q_13 : dff port map ( Q=>reg_6_q_c_13, QB=>OPEN, D=>nx12802, CLK=>CLK); ix12803 : xor2 port map ( Y=>nx12802, A0=>nx12706, A1=>nx12800); ix12707 : mux21 port map ( Y=>nx12706, A0=>reg_40_q_c_12, A1=>nx11984, S0 =>nx11800); REG_40_reg_q_13 : dff port map ( Q=>OPEN, QB=>nx12438, D=>nx12792, CLK=> CLK); ix12793 : xnor2 port map ( Y=>nx12792, A0=>nx12714, A1=>nx12429); ix12715 : oai22 port map ( Y=>nx12714, A0=>nx11991, A1=>nx11994, B0=> nx12009, B1=>nx12427); ix12428 : inv02 port map ( Y=>nx12427, A=>PRI_IN_1(12)); ix12430 : xnor2 port map ( Y=>nx12429, A0=>PRI_IN_1(13), A1=> reg_41_q_c_13); REG_41_reg_q_13 : dff port map ( Q=>reg_41_q_c_13, QB=>OPEN, D=>nx12782, CLK=>CLK); ix12783 : xor2 port map ( Y=>nx12782, A0=>nx12724, A1=>nx12780); ix12725 : mux21 port map ( Y=>nx12724, A0=>nx6617, A1=>nx11999, S0=> nx11780); ix12781 : xnor2 port map ( Y=>nx12780, A0=>PRI_IN_6(13), A1=>nx6631); ix12777 : nor02 port map ( Y=>nx12776, A0=>nx14781, A1=>nx12441); ix12442 : mux21 port map ( Y=>nx12441, A0=>reg_16_q_c_13, A1=> PRI_IN_2(13), S0=>C_MUX2_25_SEL); REG_16_reg_q_13 : dff port map ( Q=>reg_16_q_c_13, QB=>OPEN, D=>nx12760, CLK=>CLK); ix12761 : xor2 port map ( Y=>nx12760, A0=>nx12738, A1=>nx12758); ix12739 : mux21 port map ( Y=>nx12738, A0=>reg_31_q_c_12, A1=>nx12021, S0 =>nx11758); REG_31_reg_q_13 : dff port map ( Q=>OPEN, QB=>nx12801, D=>nx12750, CLK=> CLK); ix12751 : xnor2 port map ( Y=>nx12750, A0=>nx12746, A1=>nx12453); ix12747 : oai22 port map ( Y=>nx12746, A0=>nx12029, A1=>nx12033, B0=> nx12353, B1=>nx12451); ix12452 : inv02 port map ( Y=>nx12451, A=>PRI_IN_9(12)); ix12454 : xnor2 port map ( Y=>nx12453, A0=>PRI_IN_9(13), A1=> reg_39_q_c_13); REG_39_reg_q_13 : dff port map ( Q=>reg_39_q_c_13, QB=>OPEN, D=>nx12940, CLK=>CLK); ix12941 : xnor2 port map ( Y=>nx12940, A0=>nx12650, A1=>nx12461); ix12464 : mux21 port map ( Y=>nx12463, A0=>PRI_IN_1(13), A1=> reg_24_q_c_13, S0=>C_MUX2_17_SEL); REG_24_reg_q_13 : dff port map ( Q=>reg_24_q_c_13, QB=>OPEN, D=>nx13144, CLK=>CLK); ix13145 : xor2 port map ( Y=>nx13144, A0=>nx12606, A1=>nx13142); ix12607 : oai22 port map ( Y=>nx12606, A0=>nx12049, A1=>nx12469, B0=> PRI_OUT_0_12_EXMPLR, B1=>nx12471); ix12472 : inv02 port map ( Y=>nx12471, A=>PRI_IN_5(12)); REG_1_reg_q_13 : dff port map ( Q=>reg_1_q_c_13, QB=>OPEN, D=>nx13130, CLK=>CLK); ix13131 : xnor2 port map ( Y=>nx13130, A0=>nx12477, A1=>nx13128); ix12478 : mux21 port map ( Y=>nx12477, A0=>nx12126, A1=>nx12006, S0=> nx12128); ix13129 : xnor2 port map ( Y=>nx13128, A0=>nx13118, A1=>nx12787); ix13119 : ao21 port map ( Y=>nx13118, A0=>C_MUX2_2_SEL, A1=>reg_33_q_c_13, B0=>nx13010); REG_33_reg_q_13 : dff port map ( Q=>reg_33_q_c_13, QB=>OPEN, D=>nx13108, CLK=>CLK); ix13109 : xnor2 port map ( Y=>nx13108, A0=>nx13018, A1=>nx12491); ix13019 : oai22 port map ( Y=>nx13018, A0=>nx12067, A1=>nx12071, B0=> nx12336, B1=>nx12241); ix12492 : xnor2 port map ( Y=>nx12491, A0=>PRI_IN_8(13), A1=> reg_46_q_c_13); REG_46_reg_q_13 : dff port map ( Q=>reg_46_q_c_13, QB=>OPEN, D=>nx13098, CLK=>CLK); ix13099 : xnor2 port map ( Y=>nx13098, A0=>nx13026, A1=>nx12497); ix13025 : nor02 port map ( Y=>nx13024, A0=>nx12075, A1=>nx12081); ix12498 : xnor2 port map ( Y=>nx12497, A0=>reg_50_q_c_13, A1=>nx6636); REG_50_reg_q_13 : dff port map ( Q=>reg_50_q_c_13, QB=>OPEN, D=>nx13088, CLK=>CLK); ix13089 : xnor2 port map ( Y=>nx13088, A0=>nx17209, A1=>nx12505); ix12506 : xnor2 port map ( Y=>nx12505, A0=>PRI_IN_9(13), A1=>nx12507); ix12508 : mux21 port map ( Y=>nx12507, A0=>reg_15_q_c_13, A1=>nx14635, S0 =>C_MUX2_16_SEL); REG_15_reg_q_13 : dff port map ( Q=>reg_15_q_c_13, QB=>OPEN, D=>nx13070, CLK=>CLK); ix13071 : xor2 port map ( Y=>nx13070, A0=>nx13046, A1=>nx13068); ix13047 : mux21 port map ( Y=>nx13046, A0=>reg_36_q_c_12, A1=>nx12097, S0 =>nx12068); REG_36_reg_q_13 : dff port map ( Q=>OPEN, QB=>nx12779, D=>nx13060, CLK=> CLK); ix13061 : xor2 port map ( Y=>nx13060, A0=>nx13056, A1=>nx13058); ix13057 : mux21 port map ( Y=>nx13056, A0=>reg_37_q_c_12, A1=>nx12103, S0 =>nx12058); REG_37_reg_q_13 : dff port map ( Q=>reg_37_q_c_13, QB=>nx12778, D=> nx12978, CLK=>CLK); ix12979 : xnor2 port map ( Y=>nx12978, A0=>nx12624, A1=>nx12533); ix12534 : xnor2 port map ( Y=>nx12533, A0=>PRI_IN_7(13), A1=> PRI_OUT_5_13_EXMPLR); ix12975 : ao21 port map ( Y=>PRI_OUT_5_13_EXMPLR, A0=>nx14749, A1=> reg_9_q_c_13, B0=>nx12972); REG_9_reg_q_13 : dff port map ( Q=>reg_9_q_c_13, QB=>OPEN, D=>nx12960, CLK=>CLK); ix12961 : xnor2 port map ( Y=>nx12960, A0=>nx12632, A1=>nx12541); ix12633 : oai22 port map ( Y=>nx12632, A0=>nx12117, A1=>nx12121, B0=> nx12133, B1=>nx12471); ix12542 : xnor2 port map ( Y=>nx12541, A0=>PRI_IN_5(13), A1=> reg_42_q_c_13); REG_42_reg_q_13 : dff port map ( Q=>reg_42_q_c_13, QB=>OPEN, D=>nx12950, CLK=>CLK); ix12951 : xor2 port map ( Y=>nx12950, A0=>nx12642, A1=>nx12948); ix12643 : oai22 port map ( Y=>nx12642, A0=>nx12127, A1=>nx12549, B0=> PRI_IN_1(12), B1=>nx12353); ix12949 : xnor2 port map ( Y=>nx12948, A0=>PRI_IN_1(13), A1=> reg_39_q_c_13); ix12558 : mux21 port map ( Y=>nx12557, A0=>reg_12_q_c_13, A1=>nx12890, S0 =>C_MUX2_3_SEL); REG_12_reg_q_13 : dff port map ( Q=>reg_12_q_c_13, QB=>OPEN, D=>nx12924, CLK=>CLK); ix12925 : xnor2 port map ( Y=>nx12924, A0=>nx12900, A1=>nx12567); ix12899 : nor02 port map ( Y=>nx12898, A0=>nx12141, A1=>nx12145); ix12568 : xnor2 port map ( Y=>nx12567, A0=>PRI_OUT_9_13_EXMPLR, A1=> reg_47_q_c_13); REG_23_reg_q_13 : dff port map ( Q=>PRI_OUT_9_13_EXMPLR, QB=>OPEN, D=> nx13488, CLK=>CLK); ix13489 : xnor2 port map ( Y=>nx13488, A0=>nx12573, A1=>nx13486); ix12574 : aoi22 port map ( Y=>nx12573, A0=>nx6625, A1=>PRI_IN_0(12), B0=> nx11502, B1=>nx12486); ix13487 : xnor2 port map ( Y=>nx13486, A0=>PRI_IN_0(13), A1=>nx12581); ix12582 : mux21 port map ( Y=>nx12581, A0=>reg_34_q_c_13, A1=> PRI_IN_0(13), S0=>C_MUX2_19_SEL); REG_34_reg_q_13 : dff port map ( Q=>reg_34_q_c_13, QB=>OPEN, D=>nx13470, CLK=>CLK); ix13471 : xnor2 port map ( Y=>nx13470, A0=>nx12587, A1=>nx13468); ix12588 : mux21 port map ( Y=>nx12587, A0=>nx12198, A1=>nx11512, S0=> nx12468); ix13469 : xnor2 port map ( Y=>nx13468, A0=>reg_38_q_c_13, A1=>nx13220); REG_38_reg_q_13 : dff port map ( Q=>reg_38_q_c_13, QB=>OPEN, D=>nx13460, CLK=>CLK); ix13461 : xnor2 port map ( Y=>nx13460, A0=>nx12520, A1=>nx12595); ix12598 : mux21 port map ( Y=>nx12597, A0=>reg_5_q_c_13, A1=>PRI_IN_4(13), S0=>C_MUX2_8_SEL); REG_5_reg_q_13 : dff port map ( Q=>reg_5_q_c_13, QB=>OPEN, D=>nx13442, CLK=>CLK); ix13443 : xor2 port map ( Y=>nx13442, A0=>nx12528, A1=>nx13440); ix12529 : mux21 port map ( Y=>nx12528, A0=>nx12194, A1=>nx12177, S0=> nx12440); ix13441 : xnor2 port map ( Y=>nx13440, A0=>reg_43_q_c_13, A1=>nx12625); REG_43_reg_q_13 : dff port map ( Q=>reg_43_q_c_13, QB=>OPEN, D=>nx13432, CLK=>CLK); ix13433 : xnor2 port map ( Y=>nx13432, A0=>nx12536, A1=>nx12617); ix12537 : oai22 port map ( Y=>nx12536, A0=>nx12185, A1=>nx12189, B0=> nx12611, B1=>nx12198); ix12618 : xnor2 port map ( Y=>nx12617, A0=>reg_38_q_c_13, A1=>nx13428); ix13429 : ao21 port map ( Y=>nx13428, A0=>C_MUX2_7_SEL, A1=>nx14691, B0=> nx12540); ix12541 : nor02 port map ( Y=>nx12540, A0=>C_MUX2_7_SEL, A1=>nx16452); ix12626 : mux21 port map ( Y=>nx12625, A0=>PRI_IN_3(13), A1=>reg_6_q_c_13, S0=>C_MUX2_5_SEL); ix13221 : ao21 port map ( Y=>nx13220, A0=>C_MUX2_6_SEL, A1=>reg_14_q_c_13, B0=>nx13196); REG_14_reg_q_13 : dff port map ( Q=>reg_14_q_c_13, QB=>OPEN, D=>nx13210, CLK=>CLK); ix13211 : xor2 port map ( Y=>nx13210, A0=>nx12635, A1=>nx12639); ix12636 : aoi22 port map ( Y=>nx12635, A0=>nx12157, A1=>reg_7_q_c_12, B0 =>nx12206, B1=>nx12208); REG_7_reg_q_13 : dff port map ( Q=>reg_7_q_c_13, QB=>nx12695, D=>nx13284, CLK=>CLK); ix13285 : xnor2 port map ( Y=>nx13284, A0=>nx12645, A1=>nx13282); ix12646 : mux21 port map ( Y=>nx12645, A0=>nx6619, A1=>nx11588, S0=> nx12282); ix13283 : xnor2 port map ( Y=>nx13282, A0=>nx16452, A1=>nx6634); ix13281 : ao21 port map ( Y=>nx6634, A0=>C_MUX2_4_SEL, A1=>reg_25_q_c_13, B0=>nx13238); REG_25_reg_q_13 : dff port map ( Q=>reg_25_q_c_13, QB=>OPEN, D=>nx13270, CLK=>CLK); ix13271 : xnor2 port map ( Y=>nx13270, A0=>nx13248, A1=>nx12657); ix13249 : mux21 port map ( Y=>nx13248, A0=>nx12221, A1=>reg_29_q_c_12, S0 =>nx12223); REG_29_reg_q_13 : dff port map ( Q=>OPEN, QB=>nx12669, D=>nx13260, CLK=> CLK); ix13261 : xnor2 port map ( Y=>nx13260, A0=>nx13256, A1=>nx12667); ix13239 : nor02 port map ( Y=>nx13238, A0=>C_MUX2_4_SEL, A1=>nx12673); ix12674 : mux21 port map ( Y=>nx12673, A0=>nx6636, A1=>reg_26_q_c_13, S0 =>C_MUX2_22_SEL); ix12677 : inv02 port map ( Y=>nx12676, A=>PRI_IN_8(13)); REG_26_reg_q_13 : dff port map ( Q=>reg_26_q_c_13, QB=>OPEN, D=>nx13224, CLK=>CLK); ix13225 : xnor2 port map ( Y=>nx13224, A0=>nx12681, A1=>nx13222); ix12682 : aoi22 port map ( Y=>nx12681, A0=>nx12683, A1=>reg_30_q_c_12, B0 =>nx12172, B1=>nx12222); ix13223 : xnor2 port map ( Y=>nx13222, A0=>reg_30_q_c_13, A1=>nx13220); REG_30_reg_q_13 : dff port map ( Q=>reg_30_q_c_13, QB=>OPEN, D=>nx13186, CLK=>CLK); ix13187 : xor2 port map ( Y=>nx13186, A0=>nx13182, A1=>nx13184); ix13183 : mux21 port map ( Y=>nx13182, A0=>nx6617, A1=>nx12255, S0=> nx12184); ix13185 : xnor2 port map ( Y=>nx13184, A0=>PRI_IN_4(13), A1=>nx6631); ix13197 : nor02 port map ( Y=>nx13196, A0=>C_MUX2_6_SEL, A1=>nx12441); REG_47_reg_q_13 : dff port map ( Q=>reg_47_q_c_13, QB=>OPEN, D=>nx12914, CLK=>CLK); ix12915 : xor2 port map ( Y=>nx12914, A0=>nx12910, A1=>nx12912); ix12911 : oai22 port map ( Y=>nx12910, A0=>nx12269, A1=>nx12707, B0=> nx11816, B1=>nx12279); ix12913 : xnor2 port map ( Y=>nx12912, A0=>reg_27_q_c_13, A1=>nx12816); REG_27_reg_q_13 : dff port map ( Q=>reg_27_q_c_13, QB=>OPEN, D=>nx12870, CLK=>CLK); ix12871 : xor2 port map ( Y=>nx12870, A0=>nx12866, A1=>nx12868); ix12867 : mux21 port map ( Y=>nx12866, A0=>nx6611, A1=>nx12275, S0=> nx11868); ix12869 : xnor2 port map ( Y=>nx12868, A0=>nx16452, A1=>nx12673); ix12817 : ao21 port map ( Y=>nx12816, A0=>nx14733, A1=>reg_10_q_c_13, B0 =>nx12814); REG_10_reg_q_13 : dff port map ( Q=>reg_10_q_c_13, QB=>OPEN, D=>nx12682, CLK=>CLK); ix12683 : xor2 port map ( Y=>nx12682, A0=>nx12658, A1=>nx12680); ix12659 : mux21 port map ( Y=>nx12658, A0=>nx12136, A1=>nx12285, S0=> nx11680); REG_44_reg_q_13 : dff port map ( Q=>reg_44_q_c_13, QB=>OPEN, D=>nx12672, CLK=>CLK); ix12673 : xor2 port map ( Y=>nx12672, A0=>nx12668, A1=>nx12670); ix12669 : mux21 port map ( Y=>nx12668, A0=>nx14641, A1=>nx12290, S0=> nx11670); ix12815 : nor02 port map ( Y=>nx12814, A0=>nx14733, A1=>nx12625); ix12891 : ao21 port map ( Y=>nx12890, A0=>C_MUX2_24_SEL, A1=> reg_17_q_c_13, B0=>nx12846); REG_17_reg_q_13 : dff port map ( Q=>reg_17_q_c_13, QB=>OPEN, D=>nx12880, CLK=>CLK); ix12881 : xnor2 port map ( Y=>nx12880, A0=>nx12753, A1=>nx12878); ix12879 : xnor2 port map ( Y=>nx12878, A0=>reg_27_q_c_13, A1=>nx6634); ix12847 : nor02 port map ( Y=>nx12846, A0=>C_MUX2_24_SEL, A1=>nx12759); ix12760 : mux21 port map ( Y=>nx12759, A0=>nx12816, A1=>reg_8_q_c_13, S0 =>C_MUX2_13_SEL); REG_8_reg_q_13 : dff port map ( Q=>reg_8_q_c_13, QB=>OPEN, D=>nx12832, CLK=>CLK); ix12833 : xnor2 port map ( Y=>nx12832, A0=>nx12828, A1=>nx12765); ix12829 : oai22 port map ( Y=>nx12828, A0=>nx12315, A1=>nx12319, B0=> nx12328, B1=>nx12471); ix12766 : xnor2 port map ( Y=>nx12765, A0=>PRI_IN_5(13), A1=>reg_2_q_c_13 ); REG_2_reg_q_13 : dff port map ( Q=>reg_2_q_c_13, QB=>OPEN, D=>nx12988, CLK=>CLK); ix12989 : xor2 port map ( Y=>nx12988, A0=>nx12616, A1=>nx12986); ix12617 : oai22 port map ( Y=>nx12616, A0=>nx12325, A1=>nx12771, B0=> PRI_IN_0(12), B1=>nx12330); ix13011 : nor02 port map ( Y=>nx13010, A0=>C_MUX2_2_SEL, A1=>nx12385); ix12788 : mux21 port map ( Y=>nx12787, A0=>PRI_IN_5(13), A1=> reg_18_q_c_13, S0=>C_MUX2_1_SEL); REG_18_reg_q_13 : dff port map ( Q=>reg_18_q_c_13, QB=>OPEN, D=>nx12572, CLK=>CLK); ix12573 : xor2 port map ( Y=>nx12572, A0=>nx12568, A1=>nx12570); ix12569 : mux21 port map ( Y=>nx12568, A0=>PRI_IN_5(12), A1=>nx12343, S0 =>nx11570); ix12571 : xnor2 port map ( Y=>nx12570, A0=>PRI_IN_5(13), A1=>nx14691); REG_11_reg_q_13 : dff port map ( Q=>reg_11_q_c_13, QB=>OPEN, D=>nx13334, CLK=>CLK); ix13335 : xnor2 port map ( Y=>nx13334, A0=>nx13310, A1=>nx12807); ix13311 : oai22 port map ( Y=>nx13310, A0=>nx12359, A1=>nx12363, B0=> nx12372, B1=>nx12241); ix12808 : xnor2 port map ( Y=>nx12807, A0=>PRI_IN_8(13), A1=> reg_45_q_c_13); REG_45_reg_q_13 : dff port map ( Q=>reg_45_q_c_13, QB=>OPEN, D=>nx13324, CLK=>CLK); ix13325 : xor2 port map ( Y=>nx13324, A0=>nx13320, A1=>nx13322); ix13321 : mux21 port map ( Y=>nx13320, A0=>nx11816, A1=>nx12369, S0=> nx12322); ix13323 : xnor2 port map ( Y=>nx13322, A0=>PRI_IN_8(13), A1=>nx12816); ix13299 : nor02 port map ( Y=>nx13298, A0=>nx7019, A1=>nx12819); ix12820 : mux21 port map ( Y=>nx12819, A0=>reg_7_q_c_13, A1=> reg_18_q_c_13, S0=>C_MUX2_9_SEL); REG_28_reg_q_14 : dff port map ( Q=>PRI_OUT_2_dup0_14, QB=>OPEN, D=> nx14418, CLK=>CLK); ix14419 : xor2 port map ( Y=>nx14418, A0=>nx12827, A1=>nx12841); ix12828 : mux21 port map ( Y=>nx12827, A0=>nx12548, A1=>nx14845, S0=> nx12383); ix12842 : xnor2 port map ( Y=>nx12841, A0=>nx12843, A1=>nx16454); ix12844 : mux21 port map ( Y=>nx12843, A0=>reg_35_q_c_14, A1=> PRI_IN_0(14), S0=>C_MUX2_23_SEL); REG_35_reg_q_14 : dff port map ( Q=>reg_35_q_c_14, QB=>OPEN, D=>nx14400, CLK=>CLK); ix14401 : xnor2 port map ( Y=>nx14400, A0=>nx12847, A1=>nx14398); ix12848 : aoi22 port map ( Y=>nx12847, A0=>nx12849, A1=>nx14637, B0=> nx16167, B1=>nx13398); ix12850 : inv02 port map ( Y=>nx12849, A=>PRI_IN_0(13)); ix14399 : xnor2 port map ( Y=>nx14398, A0=>PRI_IN_0(14), A1=>nx14631); ix14397 : ao21 port map ( Y=>PRI_OUT_3_14_EXMPLR, A0=>nx7019, A1=>nx14390, B0=>nx14298); ix14391 : ao21 port map ( Y=>nx14390, A0=>nx7023, A1=>reg_13_q_c_14, B0=> nx14346); REG_13_reg_q_14 : dff port map ( Q=>reg_13_q_c_14, QB=>OPEN, D=>nx14378, CLK=>CLK); ix14379 : xor2 port map ( Y=>nx14378, A0=>nx12861, A1=>nx12865); ix12862 : aoi22 port map ( Y=>nx12861, A0=>reg_49_q_c_13, A1=> PRI_IN_4(13), B0=>nx13354, B1=>nx13376); REG_49_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx12876, D=>nx14368, CLK=> CLK); ix14369 : xnor2 port map ( Y=>nx14368, A0=>nx12871, A1=>nx14366); ix12872 : aoi22 port map ( Y=>nx12871, A0=>nx12873, A1=>nx14637, B0=> nx13364, B1=>nx13366); ix12874 : inv02 port map ( Y=>nx12873, A=>PRI_IN_5(13)); ix14367 : xnor2 port map ( Y=>nx14366, A0=>PRI_IN_5(14), A1=>nx14631); ix14347 : nor02 port map ( Y=>nx14346, A0=>nx7023, A1=>nx12879); ix12880 : mux21 port map ( Y=>nx12879, A0=>nx14719, A1=>reg_11_q_c_14, S0 =>C_MUX2_18_SEL); ix13779 : ao21 port map ( Y=>nx6644, A0=>nx14781, A1=>reg_6_q_c_14, B0=> nx13776); REG_6_reg_q_14 : dff port map ( Q=>reg_6_q_c_14, QB=>OPEN, D=>nx13802, CLK=>CLK); ix13803 : xnor2 port map ( Y=>nx13802, A0=>nx12885, A1=>nx13800); ix12886 : aoi22 port map ( Y=>nx12885, A0=>nx12438, A1=>PRI_IN_2(13), B0 =>nx12706, B1=>nx12800); ix13801 : xnor2 port map ( Y=>nx13800, A0=>PRI_IN_2(14), A1=> reg_40_q_c_14); REG_40_reg_q_14 : dff port map ( Q=>reg_40_q_c_14, QB=>OPEN, D=>nx13792, CLK=>CLK); ix13793 : xor2 port map ( Y=>nx13792, A0=>nx12891, A1=>nx12895); ix12892 : aoi22 port map ( Y=>nx12891, A0=>reg_41_q_c_13, A1=> PRI_IN_1(13), B0=>nx12714, B1=>nx12790); REG_41_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx12911, D=>nx13782, CLK=> CLK); ix13783 : xnor2 port map ( Y=>nx13782, A0=>nx12901, A1=>nx13780); ix12902 : aoi22 port map ( Y=>nx12901, A0=>nx12903, A1=>PRI_IN_6(13), B0 =>nx12724, B1=>nx12780); ix13781 : xnor2 port map ( Y=>nx13780, A0=>PRI_IN_6(14), A1=>nx14719); ix13777 : nor02 port map ( Y=>nx13776, A0=>nx14781, A1=>nx12917); ix12918 : mux21 port map ( Y=>nx12917, A0=>reg_16_q_c_14, A1=> PRI_IN_2(14), S0=>C_MUX2_25_SEL); REG_16_reg_q_14 : dff port map ( Q=>reg_16_q_c_14, QB=>OPEN, D=>nx13760, CLK=>CLK); ix13761 : xnor2 port map ( Y=>nx13760, A0=>nx12921, A1=>nx13758); ix12922 : mux21 port map ( Y=>nx12921, A0=>nx12801, A1=>nx12738, S0=> nx12758); ix13759 : xnor2 port map ( Y=>nx13758, A0=>reg_31_q_c_14, A1=>nx14719); REG_31_reg_q_14 : dff port map ( Q=>reg_31_q_c_14, QB=>OPEN, D=>nx13750, CLK=>CLK); ix13751 : xor2 port map ( Y=>nx13750, A0=>nx12928, A1=>nx12931); ix12929 : aoi22 port map ( Y=>nx12928, A0=>reg_39_q_c_13, A1=> PRI_IN_9(13), B0=>nx12746, B1=>nx12748); REG_39_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13259, D=>nx13940, CLK=> CLK); ix13941 : xor2 port map ( Y=>nx13940, A0=>nx12935, A1=>nx12937); ix12936 : mux21 port map ( Y=>nx12935, A0=>nx16270, A1=>nx14845, S0=> nx12461); ix12938 : xnor2 port map ( Y=>nx12937, A0=>nx12939, A1=>nx16454); ix12940 : mux21 port map ( Y=>nx12939, A0=>PRI_IN_1(14), A1=> reg_24_q_c_14, S0=>C_MUX2_17_SEL); REG_24_reg_q_14 : dff port map ( Q=>reg_24_q_c_14, QB=>OPEN, D=>nx14144, CLK=>CLK); ix14145 : xnor2 port map ( Y=>nx14144, A0=>nx12944, A1=>nx14142); ix12945 : aoi22 port map ( Y=>nx12944, A0=>nx12946, A1=>PRI_IN_5(13), B0 =>nx12606, B1=>nx13142); ix12947 : mux21 port map ( Y=>nx12946, A0=>reg_2_q_c_13, A1=>reg_1_q_c_13, S0=>C_MUX2_10_SEL); ix14143 : xnor2 port map ( Y=>nx14142, A0=>PRI_IN_5(14), A1=> PRI_OUT_0_14_EXMPLR); ix14141 : ao21 port map ( Y=>PRI_OUT_0_14_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_1_q_c_14, B0=>nx13998); REG_1_reg_q_14 : dff port map ( Q=>reg_1_q_c_14, QB=>OPEN, D=>nx14130, CLK=>CLK); ix14131 : xor2 port map ( Y=>nx14130, A0=>nx14006, A1=>nx14128); ix14007 : mux21 port map ( Y=>nx14006, A0=>nx12787, A1=>nx12477, S0=> nx13128); ix14129 : xnor2 port map ( Y=>nx14128, A0=>nx14118, A1=>nx13243); ix14119 : ao21 port map ( Y=>nx14118, A0=>C_MUX2_2_SEL, A1=>reg_33_q_c_14, B0=>nx14010); REG_33_reg_q_14 : dff port map ( Q=>reg_33_q_c_14, QB=>OPEN, D=>nx14108, CLK=>CLK); ix14109 : xor2 port map ( Y=>nx14108, A0=>nx12959, A1=>nx12963); ix12960 : aoi22 port map ( Y=>nx12959, A0=>reg_46_q_c_13, A1=> PRI_IN_8(13), B0=>nx13018, B1=>nx13106); REG_46_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13239, D=>nx14098, CLK=> CLK); ix14099 : xor2 port map ( Y=>nx14098, A0=>nx15963, A1=>nx12975); REG_50_reg_q_14 : dff port map ( Q=>reg_50_q_c_14, QB=>OPEN, D=>nx14088, CLK=>CLK); ix14089 : xor2 port map ( Y=>nx14088, A0=>nx12981, A1=>nx12985); ix12986 : xnor2 port map ( Y=>nx12985, A0=>PRI_IN_9(14), A1=>nx12987); ix12988 : mux21 port map ( Y=>nx12987, A0=>reg_15_q_c_14, A1=>nx14631, S0 =>C_MUX2_16_SEL); REG_15_reg_q_14 : dff port map ( Q=>reg_15_q_c_14, QB=>OPEN, D=>nx14070, CLK=>CLK); ix14071 : xnor2 port map ( Y=>nx14070, A0=>nx12992, A1=>nx14068); ix12993 : aoi22 port map ( Y=>nx12992, A0=>nx12779, A1=>PRI_IN_6(13), B0 =>nx13046, B1=>nx13068); ix14069 : xnor2 port map ( Y=>nx14068, A0=>PRI_IN_6(14), A1=> reg_36_q_c_14); REG_36_reg_q_14 : dff port map ( Q=>reg_36_q_c_14, QB=>OPEN, D=>nx14060, CLK=>CLK); ix14061 : xnor2 port map ( Y=>nx14060, A0=>nx12997, A1=>nx14058); ix12998 : aoi22 port map ( Y=>nx12997, A0=>nx12778, A1=>PRI_IN_2(13), B0 =>nx13056, B1=>nx13058); REG_37_reg_q_14 : dff port map ( Q=>reg_37_q_c_14, QB=>nx13234, D=> nx13978, CLK=>CLK); ix13979 : xor2 port map ( Y=>nx13978, A0=>nx16338, A1=>nx13009); ix13010 : xnor2 port map ( Y=>nx13009, A0=>PRI_IN_7(14), A1=> PRI_OUT_5_14_EXMPLR); ix13975 : ao21 port map ( Y=>PRI_OUT_5_14_EXMPLR, A0=>nx14749, A1=> reg_9_q_c_14, B0=>nx13972); REG_9_reg_q_14 : dff port map ( Q=>reg_9_q_c_14, QB=>OPEN, D=>nx13960, CLK=>CLK); ix13961 : xor2 port map ( Y=>nx13960, A0=>nx13017, A1=>nx13021); ix13018 : aoi22 port map ( Y=>nx13017, A0=>reg_42_q_c_13, A1=> PRI_IN_5(13), B0=>nx12632, B1=>nx12958); REG_42_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13033, D=>nx13950, CLK=> CLK); ix13951 : xnor2 port map ( Y=>nx13950, A0=>nx13027, A1=>nx13948); ix13028 : aoi22 port map ( Y=>nx13027, A0=>nx13029, A1=>reg_39_q_c_13, B0 =>nx12642, B1=>nx12948); ix13030 : inv02 port map ( Y=>nx13029, A=>PRI_IN_1(13)); ix13973 : nor02 port map ( Y=>nx13972, A0=>nx14749, A1=>nx16454); ix13038 : mux21 port map ( Y=>nx13037, A0=>reg_12_q_c_14, A1=>nx13890, S0 =>C_MUX2_3_SEL); REG_12_reg_q_14 : dff port map ( Q=>reg_12_q_c_14, QB=>OPEN, D=>nx13924, CLK=>CLK); ix13925 : xor2 port map ( Y=>nx13924, A0=>nx13043, A1=>nx13047); REG_23_reg_q_14 : dff port map ( Q=>PRI_OUT_9_14_EXMPLR, QB=>OPEN, D=> nx14488, CLK=>CLK); ix14489 : xor2 port map ( Y=>nx14488, A0=>nx13502, A1=>nx14486); ix13503 : oai22 port map ( Y=>nx13502, A0=>nx12573, A1=>nx13055, B0=> nx12581, B1=>nx12849); ix14487 : xnor2 port map ( Y=>nx14486, A0=>PRI_IN_0(14), A1=>nx13063); ix13064 : mux21 port map ( Y=>nx13063, A0=>reg_34_q_c_14, A1=> PRI_IN_0(14), S0=>C_MUX2_19_SEL); REG_34_reg_q_14 : dff port map ( Q=>reg_34_q_c_14, QB=>OPEN, D=>nx14470, CLK=>CLK); ix14471 : xor2 port map ( Y=>nx14470, A0=>nx13512, A1=>nx14468); ix13513 : mux21 port map ( Y=>nx13512, A0=>reg_38_q_c_13, A1=>nx12587, S0 =>nx13468); REG_38_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13102, D=>nx14460, CLK=> CLK); ix13078 : mux21 port map ( Y=>nx13077, A0=>reg_5_q_c_14, A1=>PRI_IN_4(14), S0=>C_MUX2_8_SEL); REG_5_reg_q_14 : dff port map ( Q=>reg_5_q_c_14, QB=>OPEN, D=>nx14442, CLK=>CLK); ix14443 : xnor2 port map ( Y=>nx14442, A0=>nx13081, A1=>nx14440); ix13082 : aoi22 port map ( Y=>nx13081, A0=>nx12812, A1=>reg_43_q_c_13, B0 =>nx12528, B1=>nx13440); ix14441 : xnor2 port map ( Y=>nx14440, A0=>reg_43_q_c_14, A1=>nx13099); REG_43_reg_q_14 : dff port map ( Q=>reg_43_q_c_14, QB=>OPEN, D=>nx14432, CLK=>CLK); ix14433 : xor2 port map ( Y=>nx14432, A0=>nx13089, A1=>nx13092); ix13090 : aoi22 port map ( Y=>nx13089, A0=>nx13428, A1=>reg_38_q_c_13, B0 =>nx12536, B1=>nx13430); ix14429 : ao21 port map ( Y=>nx14428, A0=>C_MUX2_7_SEL, A1=> PRI_OUT_2_14_EXMPLR, B0=>nx13540); ix13541 : nor02 port map ( Y=>nx13540, A0=>C_MUX2_7_SEL, A1=>nx16456); ix13100 : mux21 port map ( Y=>nx13099, A0=>PRI_IN_3(14), A1=>reg_6_q_c_14, S0=>C_MUX2_5_SEL); ix14221 : ao21 port map ( Y=>nx14220, A0=>C_MUX2_6_SEL, A1=>reg_14_q_c_14, B0=>nx14196); REG_14_reg_q_14 : dff port map ( Q=>reg_14_q_c_14, QB=>OPEN, D=>nx14210, CLK=>CLK); ix14211 : xnor2 port map ( Y=>nx14210, A0=>nx14206, A1=>nx13107); ix14207 : oai22 port map ( Y=>nx14206, A0=>nx12635, A1=>nx12639, B0=> nx6637, B1=>nx12695); ix13108 : xnor2 port map ( Y=>nx13107, A0=>reg_7_q_c_14, A1=>nx13063); REG_7_reg_q_14 : dff port map ( Q=>reg_7_q_c_14, QB=>OPEN, D=>nx14284, CLK=>CLK); ix14285 : xor2 port map ( Y=>nx14284, A0=>nx13588, A1=>nx14282); ix13589 : mux21 port map ( Y=>nx13588, A0=>nx13113, A1=>nx12645, S0=> nx13282); ix14283 : xnor2 port map ( Y=>nx14282, A0=>nx16456, A1=>nx6647); ix14281 : ao21 port map ( Y=>nx6647, A0=>C_MUX2_4_SEL, A1=>reg_25_q_c_14, B0=>nx14238); REG_25_reg_q_14 : dff port map ( Q=>reg_25_q_c_14, QB=>OPEN, D=>nx14270, CLK=>CLK); ix14271 : xor2 port map ( Y=>nx14270, A0=>nx13121, A1=>nx13123); ix13122 : mux21 port map ( Y=>nx13121, A0=>nx13248, A1=>nx12669, S0=> nx12657); ix13124 : xnor2 port map ( Y=>nx13123, A0=>reg_29_q_c_14, A1=>nx12917); REG_29_reg_q_14 : dff port map ( Q=>reg_29_q_c_14, QB=>OPEN, D=>nx14260, CLK=>CLK); ix13134 : xnor2 port map ( Y=>nx13133, A0=>PRI_OUT_2_14_EXMPLR, A1=> nx14631); ix14239 : nor02 port map ( Y=>nx14238, A0=>C_MUX2_4_SEL, A1=>nx13139); ix13140 : mux21 port map ( Y=>nx13139, A0=>nx6651, A1=>reg_26_q_c_14, S0 =>C_MUX2_22_SEL); ix13144 : inv02 port map ( Y=>nx13143, A=>PRI_IN_8(14)); REG_26_reg_q_14 : dff port map ( Q=>reg_26_q_c_14, QB=>OPEN, D=>nx14224, CLK=>CLK); ix14225 : xor2 port map ( Y=>nx14224, A0=>nx14172, A1=>nx14222); ix14173 : mux21 port map ( Y=>nx14172, A0=>nx13220, A1=>nx12681, S0=> nx13222); ix14223 : xnor2 port map ( Y=>nx14222, A0=>reg_30_q_c_14, A1=>nx14220); REG_30_reg_q_14 : dff port map ( Q=>reg_30_q_c_14, QB=>OPEN, D=>nx14186, CLK=>CLK); ix14187 : xnor2 port map ( Y=>nx14186, A0=>nx13153, A1=>nx14184); ix13154 : aoi22 port map ( Y=>nx13153, A0=>nx12903, A1=>PRI_IN_4(13), B0 =>nx13182, B1=>nx13184); ix14185 : xnor2 port map ( Y=>nx14184, A0=>PRI_IN_4(14), A1=>nx14719); ix14197 : nor02 port map ( Y=>nx14196, A0=>C_MUX2_6_SEL, A1=>nx12917); REG_47_reg_q_14 : dff port map ( Q=>reg_47_q_c_14, QB=>OPEN, D=>nx13914, CLK=>CLK); ix13915 : xnor2 port map ( Y=>nx13914, A0=>nx13169, A1=>nx13912); ix13170 : aoi22 port map ( Y=>nx13169, A0=>nx12837, A1=>reg_27_q_c_13, B0 =>nx12910, B1=>nx12912); REG_27_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13181, D=>nx13870, CLK=> CLK); ix13871 : xnor2 port map ( Y=>nx13870, A0=>nx13176, A1=>nx13868); ix13178 : mux21 port map ( Y=>nx13176, A0=>nx16452, A1=>nx12866, S0=> nx12868); ix13869 : xnor2 port map ( Y=>nx13868, A0=>nx16456, A1=>nx13139); ix13817 : ao21 port map ( Y=>nx13816, A0=>nx14733, A1=>reg_10_q_c_14, B0 =>nx13814); REG_10_reg_q_14 : dff port map ( Q=>reg_10_q_c_14, QB=>OPEN, D=>nx13682, CLK=>CLK); ix13683 : xnor2 port map ( Y=>nx13682, A0=>nx13187, A1=>nx13680); ix13188 : aoi22 port map ( Y=>nx13187, A0=>nx14845, A1=>reg_44_q_c_13, B0 =>nx12658, B1=>nx12680); ix13681 : xnor2 port map ( Y=>nx13680, A0=>reg_44_q_c_14, A1=>nx16455); REG_44_reg_q_14 : dff port map ( Q=>reg_44_q_c_14, QB=>OPEN, D=>nx13672, CLK=>CLK); ix13673 : xnor2 port map ( Y=>nx13672, A0=>nx13192, A1=>nx13670); ix13193 : aoi22 port map ( Y=>nx13192, A0=>nx14859, A1=>PRI_IN_3(13), B0 =>nx12668, B1=>nx12670); ix13671 : xnor2 port map ( Y=>nx13670, A0=>PRI_IN_3(14), A1=>nx14631); ix13815 : nor02 port map ( Y=>nx13814, A0=>nx14733, A1=>nx13099); ix13891 : ao21 port map ( Y=>nx13890, A0=>C_MUX2_24_SEL, A1=> reg_17_q_c_14, B0=>nx13846); REG_17_reg_q_14 : dff port map ( Q=>reg_17_q_c_14, QB=>OPEN, D=>nx13880, CLK=>CLK); ix13847 : nor02 port map ( Y=>nx13846, A0=>C_MUX2_24_SEL, A1=>nx13215); ix13216 : mux21 port map ( Y=>nx13215, A0=>nx13816, A1=>reg_8_q_c_14, S0 =>C_MUX2_13_SEL); REG_8_reg_q_14 : dff port map ( Q=>reg_8_q_c_14, QB=>OPEN, D=>nx13832, CLK=>CLK); ix13833 : xor2 port map ( Y=>nx13832, A0=>nx13219, A1=>nx13223); ix13220 : aoi22 port map ( Y=>nx13219, A0=>reg_2_q_c_13, A1=>PRI_IN_5(13), B0=>nx12828, B1=>nx12830); REG_2_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13231, D=>nx13988, CLK=> CLK); ix13989 : xnor2 port map ( Y=>nx13988, A0=>nx13228, A1=>nx13986); ix13229 : aoi22 port map ( Y=>nx13228, A0=>nx12849, A1=>reg_37_q_c_13, B0 =>nx12616, B1=>nx12986); ix14011 : nor02 port map ( Y=>nx14010, A0=>C_MUX2_2_SEL, A1=>nx12843); ix13244 : mux21 port map ( Y=>nx13243, A0=>PRI_IN_5(14), A1=> reg_18_q_c_14, S0=>C_MUX2_1_SEL); REG_18_reg_q_14 : dff port map ( Q=>reg_18_q_c_14, QB=>OPEN, D=>nx13572, CLK=>CLK); ix13573 : xnor2 port map ( Y=>nx13572, A0=>nx13249, A1=>nx13570); ix13250 : aoi22 port map ( Y=>nx13249, A0=>nx12873, A1=>nx14691, B0=> nx12568, B1=>nx12570); ix13571 : xnor2 port map ( Y=>nx13570, A0=>PRI_IN_5(14), A1=> PRI_OUT_2_14_EXMPLR); ix13999 : nor02 port map ( Y=>nx13998, A0=>C_MUX2_10_SEL, A1=>nx13231); REG_11_reg_q_14 : dff port map ( Q=>reg_11_q_c_14, QB=>OPEN, D=>nx14334, CLK=>CLK); ix14335 : xor2 port map ( Y=>nx14334, A0=>nx13265, A1=>nx13269); ix13266 : aoi22 port map ( Y=>nx13265, A0=>reg_45_q_c_13, A1=> PRI_IN_8(13), B0=>nx13310, B1=>nx13332); REG_45_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13277, D=>nx14324, CLK=> CLK); ix14325 : xnor2 port map ( Y=>nx14324, A0=>nx13274, A1=>nx14322); ix13275 : aoi22 port map ( Y=>nx13274, A0=>nx12837, A1=>PRI_IN_8(13), B0 =>nx13320, B1=>nx13322); ix14323 : xnor2 port map ( Y=>nx14322, A0=>PRI_IN_8(14), A1=>nx13816); ix14299 : nor02 port map ( Y=>nx14298, A0=>nx7019, A1=>nx13281); ix13282 : mux21 port map ( Y=>nx13281, A0=>reg_7_q_c_14, A1=> reg_18_q_c_14, S0=>C_MUX2_9_SEL); REG_28_reg_q_15 : dff port map ( Q=>PRI_OUT_2_dup0_15, QB=>OPEN, D=> nx15418, CLK=>CLK); ix15419 : xnor2 port map ( Y=>nx15418, A0=>nx14548, A1=>nx13289); ix14549 : mux21 port map ( Y=>nx14548, A0=>nx12827, A1=>nx14825, S0=> nx12841); ix13290 : xnor2 port map ( Y=>nx13289, A0=>nx13291, A1=>nx14861); ix13292 : mux21 port map ( Y=>nx13291, A0=>reg_35_q_c_15, A1=> PRI_IN_0(15), S0=>C_MUX2_23_SEL); REG_35_reg_q_15 : dff port map ( Q=>reg_35_q_c_15, QB=>OPEN, D=>nx15400, CLK=>CLK); ix15401 : xnor2 port map ( Y=>nx15400, A0=>nx14558, A1=>nx13297); ix14559 : mux21 port map ( Y=>nx14558, A0=>PRI_IN_0(14), A1=>nx12847, S0 =>nx14398); ix13298 : xor2 port map ( Y=>nx13297, A0=>PRI_IN_0(15), A1=>nx14627); ix15397 : ao21 port map ( Y=>PRI_OUT_3_15_EXMPLR, A0=>nx7019, A1=>nx15390, B0=>nx15298); ix15391 : ao21 port map ( Y=>nx15390, A0=>nx7023, A1=>reg_13_q_c_15, B0=> nx15346); REG_13_reg_q_15 : dff port map ( Q=>reg_13_q_c_15, QB=>OPEN, D=>nx15378, CLK=>CLK); ix15379 : xnor2 port map ( Y=>nx15378, A0=>nx15354, A1=>nx13309); ix15355 : oai22 port map ( Y=>nx15354, A0=>nx12861, A1=>nx12865, B0=> nx12876, B1=>nx13307); ix13308 : inv02 port map ( Y=>nx13307, A=>PRI_IN_4(14)); ix13310 : xnor2 port map ( Y=>nx13309, A0=>PRI_IN_4(15), A1=> reg_49_q_c_15); REG_49_reg_q_15 : dff port map ( Q=>reg_49_q_c_15, QB=>OPEN, D=>nx15368, CLK=>CLK); ix15369 : xnor2 port map ( Y=>nx15368, A0=>nx15364, A1=>nx13315); ix15365 : mux21 port map ( Y=>nx15364, A0=>PRI_IN_5(14), A1=>nx12871, S0 =>nx14366); ix13316 : xor2 port map ( Y=>nx13315, A0=>PRI_IN_5(15), A1=>nx14627); ix15347 : nor02 port map ( Y=>nx15346, A0=>nx7023, A1=>nx13321); ix13322 : mux21 port map ( Y=>nx13321, A0=>nx6659, A1=>reg_11_q_c_15, S0 =>C_MUX2_18_SEL); ix14779 : ao21 port map ( Y=>nx6659, A0=>nx14781, A1=>reg_6_q_c_15, B0=> nx14776); REG_6_reg_q_15 : dff port map ( Q=>reg_6_q_c_15, QB=>OPEN, D=>nx14802, CLK=>CLK); ix14803 : xnor2 port map ( Y=>nx14802, A0=>nx14706, A1=>nx13329); ix14707 : mux21 port map ( Y=>nx14706, A0=>reg_40_q_c_14, A1=>nx12885, S0 =>nx13800); ix13330 : xor2 port map ( Y=>nx13329, A0=>PRI_IN_2(15), A1=>reg_40_q_c_15 ); REG_40_reg_q_15 : dff port map ( Q=>reg_40_q_c_15, QB=>OPEN, D=>nx14792, CLK=>CLK); ix14793 : xnor2 port map ( Y=>nx14792, A0=>nx14714, A1=>nx13337); ix14715 : oai22 port map ( Y=>nx14714, A0=>nx12891, A1=>nx12895, B0=> nx12911, B1=>nx13335); ix13336 : inv02 port map ( Y=>nx13335, A=>PRI_IN_1(14)); ix13338 : xnor2 port map ( Y=>nx13337, A0=>PRI_IN_1(15), A1=> reg_41_q_c_15); REG_41_reg_q_15 : dff port map ( Q=>reg_41_q_c_15, QB=>OPEN, D=>nx14782, CLK=>CLK); ix14783 : xnor2 port map ( Y=>nx14782, A0=>nx14724, A1=>nx13343); ix14725 : mux21 port map ( Y=>nx14724, A0=>nx6644, A1=>nx12901, S0=> nx13780); REG_16_reg_q_15 : dff port map ( Q=>reg_16_q_c_15, QB=>OPEN, D=>nx14760, CLK=>CLK); ix14761 : xnor2 port map ( Y=>nx14760, A0=>nx14738, A1=>nx13355); ix14739 : mux21 port map ( Y=>nx14738, A0=>reg_31_q_c_14, A1=>nx12921, S0 =>nx13758); REG_31_reg_q_15 : dff port map ( Q=>reg_31_q_c_15, QB=>OPEN, D=>nx14750, CLK=>CLK); ix14751 : xnor2 port map ( Y=>nx14750, A0=>nx14746, A1=>nx13363); ix14747 : oai22 port map ( Y=>nx14746, A0=>nx12928, A1=>nx12931, B0=> nx13259, B1=>nx13361); ix13362 : inv02 port map ( Y=>nx13361, A=>PRI_IN_9(14)); ix13364 : xnor2 port map ( Y=>nx13363, A0=>PRI_IN_9(15), A1=> reg_39_q_c_15); REG_39_reg_q_15 : dff port map ( Q=>reg_39_q_c_15, QB=>OPEN, D=>nx14940, CLK=>CLK); ix14941 : xnor2 port map ( Y=>nx14940, A0=>nx14650, A1=>nx13371); ix14651 : mux21 port map ( Y=>nx14650, A0=>nx12935, A1=>nx14825, S0=> nx12937); ix13372 : xnor2 port map ( Y=>nx13371, A0=>nx13373, A1=>nx14861); ix13374 : mux21 port map ( Y=>nx13373, A0=>PRI_IN_1(15), A1=> reg_24_q_c_15, S0=>C_MUX2_17_SEL); REG_24_reg_q_15 : dff port map ( Q=>reg_24_q_c_15, QB=>OPEN, D=>nx15144, CLK=>CLK); ix15145 : xnor2 port map ( Y=>nx15144, A0=>nx14606, A1=>nx13383); ix14607 : oai22 port map ( Y=>nx14606, A0=>nx12944, A1=>nx13379, B0=> PRI_OUT_0_14_EXMPLR, B1=>nx13381); ix13382 : inv02 port map ( Y=>nx13381, A=>PRI_IN_5(14)); ix13384 : xor2 port map ( Y=>nx13383, A0=>PRI_IN_5(15), A1=> PRI_OUT_0_15_EXMPLR); ix15141 : ao21 port map ( Y=>PRI_OUT_0_15_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_1_q_c_15, B0=>nx14998); REG_1_reg_q_15 : dff port map ( Q=>reg_1_q_c_15, QB=>OPEN, D=>nx15130, CLK=>CLK); ix15131 : xnor2 port map ( Y=>nx15130, A0=>nx13389, A1=>nx15128); ix13390 : mux21 port map ( Y=>nx13389, A0=>nx14126, A1=>nx14006, S0=> nx14128); ix15129 : xnor2 port map ( Y=>nx15128, A0=>nx15118, A1=>nx13697); ix15119 : ao21 port map ( Y=>nx15118, A0=>C_MUX2_2_SEL, A1=>reg_33_q_c_15, B0=>nx15010); REG_33_reg_q_15 : dff port map ( Q=>reg_33_q_c_15, QB=>OPEN, D=>nx15108, CLK=>CLK); ix15109 : xnor2 port map ( Y=>nx15108, A0=>nx15018, A1=>nx13403); ix15019 : oai22 port map ( Y=>nx15018, A0=>nx12959, A1=>nx12963, B0=> nx13239, B1=>nx13143); ix13404 : xnor2 port map ( Y=>nx13403, A0=>PRI_IN_8(15), A1=> reg_46_q_c_15); REG_46_reg_q_15 : dff port map ( Q=>reg_46_q_c_15, QB=>OPEN, D=>nx15098, CLK=>CLK); REG_50_reg_q_15 : dff port map ( Q=>reg_50_q_c_15, QB=>OPEN, D=>nx15088, CLK=>CLK); ix15089 : xnor2 port map ( Y=>nx15088, A0=>nx15036, A1=>nx13417); ix13418 : xnor2 port map ( Y=>nx13417, A0=>PRI_IN_9(15), A1=>nx13419); ix13420 : mux21 port map ( Y=>nx13419, A0=>reg_15_q_c_15, A1=>nx14627, S0 =>C_MUX2_16_SEL); REG_15_reg_q_15 : dff port map ( Q=>reg_15_q_c_15, QB=>OPEN, D=>nx15070, CLK=>CLK); ix15071 : xnor2 port map ( Y=>nx15070, A0=>nx15046, A1=>nx13424); ix15047 : mux21 port map ( Y=>nx15046, A0=>reg_36_q_c_14, A1=>nx12992, S0 =>nx14068); ix13425 : xor2 port map ( Y=>nx13424, A0=>PRI_IN_6(15), A1=>reg_36_q_c_15 ); REG_36_reg_q_15 : dff port map ( Q=>reg_36_q_c_15, QB=>OPEN, D=>nx15060, CLK=>CLK); ix15061 : xnor2 port map ( Y=>nx15060, A0=>nx15056, A1=>nx13431); ix15057 : mux21 port map ( Y=>nx15056, A0=>reg_37_q_c_14, A1=>nx12997, S0 =>nx14058); ix13432 : xor2 port map ( Y=>nx13431, A0=>PRI_IN_2(15), A1=>reg_37_q_c_15 ); REG_37_reg_q_15 : dff port map ( Q=>reg_37_q_c_15, QB=>OPEN, D=>nx14978, CLK=>CLK); ix13439 : xnor2 port map ( Y=>nx13438, A0=>PRI_IN_7(15), A1=> PRI_OUT_5_15_EXMPLR); ix14975 : ao21 port map ( Y=>PRI_OUT_5_15_EXMPLR, A0=>nx6827, A1=> reg_9_q_c_15, B0=>nx14972); REG_9_reg_q_15 : dff port map ( Q=>reg_9_q_c_15, QB=>OPEN, D=>nx14960, CLK=>CLK); ix14961 : xnor2 port map ( Y=>nx14960, A0=>nx14632, A1=>nx13446); ix14633 : oai22 port map ( Y=>nx14632, A0=>nx13017, A1=>nx13021, B0=> nx13033, B1=>nx13381); ix13447 : xnor2 port map ( Y=>nx13446, A0=>PRI_IN_5(15), A1=> reg_42_q_c_15); REG_42_reg_q_15 : dff port map ( Q=>reg_42_q_c_15, QB=>OPEN, D=>nx14950, CLK=>CLK); ix14951 : xnor2 port map ( Y=>nx14950, A0=>nx14642, A1=>nx13453); ix14643 : oai22 port map ( Y=>nx14642, A0=>nx13027, A1=>nx13451, B0=> PRI_IN_1(14), B1=>nx13259); ix13454 : xor2 port map ( Y=>nx13453, A0=>PRI_IN_1(15), A1=>reg_39_q_c_15 ); ix14973 : nor02 port map ( Y=>nx14972, A0=>nx6827, A1=>nx14861); ix13460 : mux21 port map ( Y=>nx13459, A0=>reg_12_q_c_15, A1=>nx14890, S0 =>C_MUX2_3_SEL); REG_12_reg_q_15 : dff port map ( Q=>reg_12_q_c_15, QB=>OPEN, D=>nx14924, CLK=>CLK); REG_23_reg_q_15 : dff port map ( Q=>PRI_OUT_9_15_EXMPLR, QB=>OPEN, D=> nx15488, CLK=>CLK); ix15489 : xnor2 port map ( Y=>nx15488, A0=>nx13471, A1=>nx15486); ix13472 : aoi22 port map ( Y=>nx13471, A0=>nx6652, A1=>PRI_IN_0(14), B0=> nx13502, B1=>nx14486); ix15487 : xnor2 port map ( Y=>nx15486, A0=>PRI_IN_0(15), A1=>nx13478); ix13479 : mux21 port map ( Y=>nx13478, A0=>reg_34_q_c_15, A1=> PRI_IN_0(15), S0=>C_MUX2_19_SEL); REG_34_reg_q_15 : dff port map ( Q=>reg_34_q_c_15, QB=>OPEN, D=>nx15470, CLK=>CLK); ix15471 : xnor2 port map ( Y=>nx15470, A0=>nx13483, A1=>nx15468); ix13484 : mux21 port map ( Y=>nx13483, A0=>nx13102, A1=>nx13512, S0=> nx14468); ix15469 : xnor2 port map ( Y=>nx15468, A0=>reg_38_q_c_15, A1=>nx15220); REG_38_reg_q_15 : dff port map ( Q=>reg_38_q_c_15, QB=>OPEN, D=>nx15460, CLK=>CLK); ix15461 : xnor2 port map ( Y=>nx15460, A0=>nx14520, A1=>nx13492); ix14521 : oai22 port map ( Y=>nx14520, A0=>nx13073, A1=>nx13075, B0=> nx14825, B1=>nx16456); ix13493 : xnor2 port map ( Y=>nx13492, A0=>nx13494, A1=>nx14861); ix13495 : mux21 port map ( Y=>nx13494, A0=>reg_5_q_c_15, A1=>PRI_IN_4(15), S0=>C_MUX2_8_SEL); REG_5_reg_q_15 : dff port map ( Q=>reg_5_q_c_15, QB=>OPEN, D=>nx15442, CLK=>CLK); ix15443 : xnor2 port map ( Y=>nx15442, A0=>nx14528, A1=>nx13501); ix14529 : mux21 port map ( Y=>nx14528, A0=>nx13099, A1=>nx13081, S0=> nx14440); ix13502 : xor2 port map ( Y=>nx13501, A0=>reg_43_q_c_15, A1=>nx13522); REG_43_reg_q_15 : dff port map ( Q=>reg_43_q_c_15, QB=>OPEN, D=>nx15432, CLK=>CLK); ix15433 : xnor2 port map ( Y=>nx15432, A0=>nx14536, A1=>nx13515); ix14537 : oai22 port map ( Y=>nx14536, A0=>nx13089, A1=>nx13092, B0=> nx13509, B1=>nx13102); ix13516 : xnor2 port map ( Y=>nx13515, A0=>reg_38_q_c_15, A1=>nx15428); ix15429 : ao21 port map ( Y=>nx15428, A0=>C_MUX2_7_SEL, A1=> PRI_OUT_2_15_EXMPLR, B0=>nx14540); ix14541 : nor02 port map ( Y=>nx14540, A0=>C_MUX2_7_SEL, A1=>nx13494); ix13524 : mux21 port map ( Y=>nx13522, A0=>PRI_IN_3(15), A1=>reg_6_q_c_15, S0=>C_MUX2_5_SEL); ix15221 : ao21 port map ( Y=>nx15220, A0=>C_MUX2_6_SEL, A1=>reg_14_q_c_15, B0=>nx15196); REG_14_reg_q_15 : dff port map ( Q=>reg_14_q_c_15, QB=>OPEN, D=>nx15210, CLK=>CLK); ix15211 : xnor2 port map ( Y=>nx15210, A0=>nx13533, A1=>nx15208); ix13534 : aoi22 port map ( Y=>nx13533, A0=>nx13063, A1=>reg_7_q_c_14, B0 =>nx14206, B1=>nx14208); ix15209 : xor2 port map ( Y=>nx15208, A0=>reg_7_q_c_15, A1=>nx13478); REG_7_reg_q_15 : dff port map ( Q=>reg_7_q_c_15, QB=>OPEN, D=>nx15284, CLK=>CLK); ix15285 : xnor2 port map ( Y=>nx15284, A0=>nx13541, A1=>nx15282); ix13542 : mux21 port map ( Y=>nx13541, A0=>nx6647, A1=>nx13588, S0=> nx14282); ix15283 : xnor2 port map ( Y=>nx15282, A0=>nx13494, A1=>nx6661); ix15281 : ao21 port map ( Y=>nx6661, A0=>C_MUX2_4_SEL, A1=>reg_25_q_c_15, B0=>nx15238); REG_25_reg_q_15 : dff port map ( Q=>reg_25_q_c_15, QB=>OPEN, D=>nx15270, CLK=>CLK); ix15271 : xnor2 port map ( Y=>nx15270, A0=>nx15248, A1=>nx13553); ix15249 : mux21 port map ( Y=>nx15248, A0=>nx13121, A1=>reg_29_q_c_14, S0 =>nx13123); ix13554 : xnor2 port map ( Y=>nx13553, A0=>reg_29_q_c_15, A1=>nx13567); REG_29_reg_q_15 : dff port map ( Q=>reg_29_q_c_15, QB=>OPEN, D=>nx15260, CLK=>CLK); ix13564 : xnor2 port map ( Y=>nx13563, A0=>PRI_OUT_2_15_EXMPLR, A1=> nx14627); ix13568 : mux21 port map ( Y=>nx13567, A0=>reg_16_q_c_15, A1=> PRI_IN_2(15), S0=>C_MUX2_25_SEL); ix15239 : nor02 port map ( Y=>nx15238, A0=>C_MUX2_4_SEL, A1=>nx13571); ix13572 : mux21 port map ( Y=>nx13571, A0=>nx6663, A1=>reg_26_q_c_15, S0 =>C_MUX2_22_SEL); ix15159 : mux21 port map ( Y=>nx6663, A0=>nx13575, A1=>nx13373, S0=> C_MUX2_21_SEL); ix13576 : inv02 port map ( Y=>nx13575, A=>PRI_IN_8(15)); REG_26_reg_q_15 : dff port map ( Q=>reg_26_q_c_15, QB=>OPEN, D=>nx15224, CLK=>CLK); ix15225 : xnor2 port map ( Y=>nx15224, A0=>nx13579, A1=>nx15222); ix13580 : aoi22 port map ( Y=>nx13579, A0=>nx13581, A1=>reg_30_q_c_14, B0 =>nx14172, B1=>nx14222); ix15223 : xnor2 port map ( Y=>nx15222, A0=>reg_30_q_c_15, A1=>nx15220); REG_30_reg_q_15 : dff port map ( Q=>reg_30_q_c_15, QB=>OPEN, D=>nx15186, CLK=>CLK); ix15187 : xnor2 port map ( Y=>nx15186, A0=>nx15182, A1=>nx13593); ix15183 : mux21 port map ( Y=>nx15182, A0=>nx6644, A1=>nx13153, S0=> nx14184); ix15197 : nor02 port map ( Y=>nx15196, A0=>C_MUX2_6_SEL, A1=>nx13567); REG_47_reg_q_15 : dff port map ( Q=>reg_47_q_c_15, QB=>OPEN, D=>nx14914, CLK=>CLK); ix14915 : xnor2 port map ( Y=>nx14914, A0=>nx14910, A1=>nx13617); ix14911 : oai22 port map ( Y=>nx14910, A0=>nx13169, A1=>nx13609, B0=> nx13816, B1=>nx13181); ix13618 : xor2 port map ( Y=>nx13617, A0=>reg_27_q_c_15, A1=>nx14816); REG_27_reg_q_15 : dff port map ( Q=>reg_27_q_c_15, QB=>OPEN, D=>nx14870, CLK=>CLK); ix14871 : xnor2 port map ( Y=>nx14870, A0=>nx14866, A1=>nx13625); ix14867 : mux21 port map ( Y=>nx14866, A0=>nx6639, A1=>nx13176, S0=> nx13868); ix13626 : xor2 port map ( Y=>nx13625, A0=>nx13494, A1=>nx13571); ix14817 : ao21 port map ( Y=>nx14816, A0=>nx6711, A1=>reg_10_q_c_15, B0=> nx14814); REG_10_reg_q_15 : dff port map ( Q=>reg_10_q_c_15, QB=>OPEN, D=>nx14682, CLK=>CLK); ix14683 : xnor2 port map ( Y=>nx14682, A0=>nx14658, A1=>nx13635); ix14659 : mux21 port map ( Y=>nx14658, A0=>nx14825, A1=>nx13187, S0=> nx13680); REG_44_reg_q_15 : dff port map ( Q=>reg_44_q_c_15, QB=>OPEN, D=>nx14672, CLK=>CLK); ix14673 : xnor2 port map ( Y=>nx14672, A0=>nx14668, A1=>nx13643); ix14669 : mux21 port map ( Y=>nx14668, A0=>nx14633, A1=>nx13192, S0=> nx13670); ix13644 : xor2 port map ( Y=>nx13643, A0=>PRI_IN_3(15), A1=>nx14627); REG_17_reg_q_15 : dff port map ( Q=>reg_17_q_c_15, QB=>OPEN, D=>nx14880, CLK=>CLK); ix14881 : xnor2 port map ( Y=>nx14880, A0=>nx13655, A1=>nx14878); ix13656 : mux21 port map ( Y=>nx13655, A0=>nx13181, A1=>nx13856, S0=> nx13878); ix14879 : xnor2 port map ( Y=>nx14878, A0=>reg_27_q_c_15, A1=>nx6661); ix14847 : nor02 port map ( Y=>nx14846, A0=>C_MUX2_24_SEL, A1=>nx13661); ix13662 : mux21 port map ( Y=>nx13661, A0=>nx14816, A1=>reg_8_q_c_15, S0 =>C_MUX2_13_SEL); REG_8_reg_q_15 : dff port map ( Q=>reg_8_q_c_15, QB=>OPEN, D=>nx14832, CLK=>CLK); ix14833 : xnor2 port map ( Y=>nx14832, A0=>nx14828, A1=>nx13669); ix14829 : oai22 port map ( Y=>nx14828, A0=>nx13219, A1=>nx13223, B0=> nx13231, B1=>nx13381); REG_2_reg_q_15 : dff port map ( Q=>OPEN, QB=>nx13681, D=>nx14988, CLK=> CLK); ix14989 : xnor2 port map ( Y=>nx14988, A0=>nx14616, A1=>nx13678); ix14617 : oai22 port map ( Y=>nx14616, A0=>nx13228, A1=>nx13676, B0=> PRI_IN_0(14), B1=>nx13234); ix13679 : xor2 port map ( Y=>nx13678, A0=>PRI_IN_0(15), A1=>reg_37_q_c_15 ); ix14815 : nor02 port map ( Y=>nx14814, A0=>nx6711, A1=>nx13522); ix14891 : ao21 port map ( Y=>nx14890, A0=>C_MUX2_24_SEL, A1=> reg_17_q_c_15, B0=>nx14846); ix15011 : nor02 port map ( Y=>nx15010, A0=>C_MUX2_2_SEL, A1=>nx13291); ix13698 : mux21 port map ( Y=>nx13697, A0=>PRI_IN_5(15), A1=> reg_18_q_c_15, S0=>C_MUX2_1_SEL); REG_18_reg_q_15 : dff port map ( Q=>reg_18_q_c_15, QB=>OPEN, D=>nx14572, CLK=>CLK); ix14573 : xnor2 port map ( Y=>nx14572, A0=>nx14568, A1=>nx13705); ix14569 : mux21 port map ( Y=>nx14568, A0=>PRI_IN_5(14), A1=>nx13249, S0 =>nx13570); ix13706 : xor2 port map ( Y=>nx13705, A0=>PRI_IN_5(15), A1=> PRI_OUT_2_15_EXMPLR); ix14999 : nor02 port map ( Y=>nx14998, A0=>C_MUX2_10_SEL, A1=>nx13681); ix14777 : nor02 port map ( Y=>nx14776, A0=>nx14781, A1=>nx13567); REG_11_reg_q_15 : dff port map ( Q=>reg_11_q_c_15, QB=>OPEN, D=>nx15334, CLK=>CLK); ix15335 : xnor2 port map ( Y=>nx15334, A0=>nx15310, A1=>nx13729); ix15311 : oai22 port map ( Y=>nx15310, A0=>nx13265, A1=>nx13269, B0=> nx13277, B1=>nx13143); ix13730 : xnor2 port map ( Y=>nx13729, A0=>PRI_IN_8(15), A1=> reg_45_q_c_15); REG_45_reg_q_15 : dff port map ( Q=>reg_45_q_c_15, QB=>OPEN, D=>nx15324, CLK=>CLK); ix15325 : xnor2 port map ( Y=>nx15324, A0=>nx15320, A1=>nx13737); ix15321 : mux21 port map ( Y=>nx15320, A0=>nx13816, A1=>nx13274, S0=> nx14322); ix13738 : xor2 port map ( Y=>nx13737, A0=>PRI_IN_8(15), A1=>nx14816); ix15299 : nor02 port map ( Y=>nx15298, A0=>nx7019, A1=>nx13743); ix13744 : mux21 port map ( Y=>nx13743, A0=>reg_7_q_c_15, A1=> reg_18_q_c_15, S0=>C_MUX2_9_SEL); REG_22_reg_q_0 : dff port map ( Q=>PRI_OUT_8(0), QB=>OPEN, D=>nx15502, CLK=>CLK); REG_22_reg_q_1 : dff port map ( Q=>PRI_OUT_8(1), QB=>OPEN, D=>nx15512, CLK=>CLK); ix15513 : xor2 port map ( Y=>nx15512, A0=>nx13754, A1=>nx13756); ix13755 : nand02 port map ( Y=>nx13754, A0=>PRI_IN_1(0), A1=>PRI_IN_5(0) ); ix13757 : xnor2 port map ( Y=>nx13756, A0=>PRI_IN_1(1), A1=>PRI_IN_5(1)); REG_22_reg_q_2 : dff port map ( Q=>PRI_OUT_8(2), QB=>OPEN, D=>nx15530, CLK=>CLK); ix15531 : xor2 port map ( Y=>nx15530, A0=>nx13763, A1=>nx13766); ix13764 : aoi32 port map ( Y=>nx13763, A0=>PRI_IN_1(0), A1=>PRI_IN_5(0), A2=>nx15510, B0=>PRI_IN_5(1), B1=>PRI_IN_1(1)); ix13767 : xnor2 port map ( Y=>nx13766, A0=>PRI_IN_1(2), A1=>PRI_IN_5(2)); REG_22_reg_q_3 : dff port map ( Q=>PRI_OUT_8(3), QB=>OPEN, D=>nx15548, CLK=>CLK); ix15549 : xnor2 port map ( Y=>nx15548, A0=>nx15544, A1=>nx13773); ix15545 : oai22 port map ( Y=>nx15544, A0=>nx13763, A1=>nx13766, B0=> nx7966, B1=>nx7920); ix13774 : xnor2 port map ( Y=>nx13773, A0=>PRI_IN_1(3), A1=>PRI_IN_5(3)); REG_22_reg_q_4 : dff port map ( Q=>PRI_OUT_8(4), QB=>OPEN, D=>nx15566, CLK=>CLK); ix15567 : xor2 port map ( Y=>nx15566, A0=>nx13779, A1=>nx13783); ix13780 : aoi22 port map ( Y=>nx13779, A0=>PRI_IN_5(3), A1=>PRI_IN_1(3), B0=>nx15544, B1=>nx15546); ix13784 : xnor2 port map ( Y=>nx13783, A0=>PRI_IN_1(4), A1=>PRI_IN_5(4)); REG_22_reg_q_5 : dff port map ( Q=>PRI_OUT_8(5), QB=>OPEN, D=>nx15584, CLK=>CLK); ix15585 : xnor2 port map ( Y=>nx15584, A0=>nx15580, A1=>nx13789); ix15581 : oai22 port map ( Y=>nx15580, A0=>nx13779, A1=>nx13783, B0=> nx8876, B1=>nx8825); ix13790 : xnor2 port map ( Y=>nx13789, A0=>PRI_IN_1(5), A1=>PRI_IN_5(5)); REG_22_reg_q_6 : dff port map ( Q=>PRI_OUT_8(6), QB=>OPEN, D=>nx15602, CLK=>CLK); ix15603 : xor2 port map ( Y=>nx15602, A0=>nx13795, A1=>nx13798); ix13796 : aoi22 port map ( Y=>nx13795, A0=>PRI_IN_5(5), A1=>PRI_IN_1(5), B0=>nx15580, B1=>nx15582); ix13799 : xnor2 port map ( Y=>nx13798, A0=>PRI_IN_1(6), A1=>PRI_IN_5(6)); REG_22_reg_q_7 : dff port map ( Q=>PRI_OUT_8(7), QB=>OPEN, D=>nx15620, CLK=>CLK); ix15621 : xnor2 port map ( Y=>nx15620, A0=>nx15616, A1=>nx13806); ix15617 : oai22 port map ( Y=>nx15616, A0=>nx13795, A1=>nx13798, B0=> nx9775, B1=>nx9727); ix13807 : xnor2 port map ( Y=>nx13806, A0=>PRI_IN_1(7), A1=>PRI_IN_5(7)); REG_22_reg_q_8 : dff port map ( Q=>PRI_OUT_8(8), QB=>OPEN, D=>nx15638, CLK=>CLK); ix15639 : xor2 port map ( Y=>nx15638, A0=>nx13811, A1=>nx13815); ix13812 : aoi22 port map ( Y=>nx13811, A0=>PRI_IN_5(7), A1=>PRI_IN_1(7), B0=>nx15616, B1=>nx15618); ix13816 : xnor2 port map ( Y=>nx13815, A0=>PRI_IN_1(8), A1=>PRI_IN_5(8)); REG_22_reg_q_9 : dff port map ( Q=>PRI_OUT_8(9), QB=>OPEN, D=>nx15656, CLK=>CLK); ix15657 : xnor2 port map ( Y=>nx15656, A0=>nx15652, A1=>nx13821); ix15653 : oai22 port map ( Y=>nx15652, A0=>nx13811, A1=>nx13815, B0=> nx10683, B1=>nx10631); ix13822 : xnor2 port map ( Y=>nx13821, A0=>PRI_IN_1(9), A1=>PRI_IN_5(9)); REG_22_reg_q_10 : dff port map ( Q=>PRI_OUT_8(10), QB=>OPEN, D=>nx15674, CLK=>CLK); ix15675 : xor2 port map ( Y=>nx15674, A0=>nx13827, A1=>nx13831); ix13828 : aoi22 port map ( Y=>nx13827, A0=>PRI_IN_5(9), A1=>PRI_IN_1(9), B0=>nx15652, B1=>nx15654); ix13832 : xnor2 port map ( Y=>nx13831, A0=>PRI_IN_1(10), A1=>PRI_IN_5(10) ); REG_22_reg_q_11 : dff port map ( Q=>PRI_OUT_8(11), QB=>OPEN, D=>nx15692, CLK=>CLK); ix15693 : xnor2 port map ( Y=>nx15692, A0=>nx15688, A1=>nx13837); ix15689 : oai22 port map ( Y=>nx15688, A0=>nx13827, A1=>nx13831, B0=> nx11581, B1=>nx11531); ix13838 : xnor2 port map ( Y=>nx13837, A0=>PRI_IN_1(11), A1=>PRI_IN_5(11) ); REG_22_reg_q_12 : dff port map ( Q=>PRI_OUT_8(12), QB=>OPEN, D=>nx15710, CLK=>CLK); ix15711 : xor2 port map ( Y=>nx15710, A0=>nx13843, A1=>nx13847); ix13844 : aoi22 port map ( Y=>nx13843, A0=>PRI_IN_5(11), A1=>PRI_IN_1(11), B0=>nx15688, B1=>nx15690); ix13848 : xnor2 port map ( Y=>nx13847, A0=>PRI_IN_1(12), A1=>PRI_IN_5(12) ); REG_22_reg_q_13 : dff port map ( Q=>PRI_OUT_8(13), QB=>OPEN, D=>nx15728, CLK=>CLK); ix15729 : xnor2 port map ( Y=>nx15728, A0=>nx15724, A1=>nx13855); ix15725 : oai22 port map ( Y=>nx15724, A0=>nx13843, A1=>nx13847, B0=> nx12471, B1=>nx12427); ix13856 : xnor2 port map ( Y=>nx13855, A0=>PRI_IN_1(13), A1=>PRI_IN_5(13) ); REG_22_reg_q_14 : dff port map ( Q=>PRI_OUT_8(14), QB=>OPEN, D=>nx15746, CLK=>CLK); ix15747 : xor2 port map ( Y=>nx15746, A0=>nx13861, A1=>nx13865); ix13862 : aoi22 port map ( Y=>nx13861, A0=>PRI_IN_5(13), A1=>PRI_IN_1(13), B0=>nx15724, B1=>nx15726); ix13866 : xnor2 port map ( Y=>nx13865, A0=>PRI_IN_1(14), A1=>PRI_IN_5(14) ); REG_22_reg_q_15 : dff port map ( Q=>PRI_OUT_8(15), QB=>OPEN, D=>nx15764, CLK=>CLK); ix15765 : xnor2 port map ( Y=>nx15764, A0=>nx15760, A1=>nx13873); ix15761 : oai22 port map ( Y=>nx15760, A0=>nx13861, A1=>nx13865, B0=> nx13381, B1=>nx13335); ix13874 : xnor2 port map ( Y=>nx13873, A0=>PRI_IN_1(15), A1=>PRI_IN_5(15) ); REG_21_reg_q_0 : dff port map ( Q=>PRI_OUT_7(0), QB=>OPEN, D=>nx15814, CLK=>CLK); ix15815 : xnor2 port map ( Y=>nx15814, A0=>reg_48_q_c_0, A1=>nx6863); REG_48_reg_q_0 : dff port map ( Q=>reg_48_q_c_0, QB=>OPEN, D=>nx15800, CLK=>CLK); ix15801 : xnor2 port map ( Y=>nx15800, A0=>reg_32_q_c_0, A1=>nx6685); REG_32_reg_q_0 : dff port map ( Q=>reg_32_q_c_0, QB=>OPEN, D=>nx15782, CLK=>CLK); ix15783 : ao21 port map ( Y=>nx15782, A0=>PRI_IN_6(0), A1=>nx6836, B0=> nx13884); ix13885 : nor02 port map ( Y=>nx13884, A0=>nx6836, A1=>PRI_IN_6(0)); REG_21_reg_q_1 : dff port map ( Q=>PRI_OUT_7(1), QB=>OPEN, D=>nx15844, CLK=>CLK); ix15845 : xnor2 port map ( Y=>nx15844, A0=>nx13893, A1=>nx15842); ix13894 : nand02 port map ( Y=>nx13893, A0=>reg_48_q_c_0, A1=>nx478); ix15843 : xnor2 port map ( Y=>nx15842, A0=>reg_48_q_c_1, A1=>nx7333); REG_48_reg_q_1 : dff port map ( Q=>reg_48_q_c_1, QB=>OPEN, D=>nx15834, CLK=>CLK); ix15835 : xor2 port map ( Y=>nx15834, A0=>nx15792, A1=>nx15832); ix15793 : nand02 port map ( Y=>nx15792, A0=>nx6685, A1=>reg_32_q_c_0); REG_32_reg_q_1 : dff port map ( Q=>OPEN, QB=>nx13911, D=>nx15824, CLK=> CLK); ix15825 : xnor2 port map ( Y=>nx15824, A0=>nx13884, A1=>nx15822); ix15823 : xnor2 port map ( Y=>nx15822, A0=>PRI_IN_6(1), A1=>PRI_IN_1(1)); REG_21_reg_q_2 : dff port map ( Q=>PRI_OUT_7(2), QB=>OPEN, D=>nx15902, CLK=>CLK); ix15903 : xor2 port map ( Y=>nx15902, A0=>nx15858, A1=>nx15900); ix15859 : mux21 port map ( Y=>nx15858, A0=>nx7333, A1=>nx13893, S0=> nx15842); ix15901 : xnor2 port map ( Y=>nx15900, A0=>reg_48_q_c_2, A1=>nx7825); REG_48_reg_q_2 : dff port map ( Q=>reg_48_q_c_2, QB=>OPEN, D=>nx15892, CLK=>CLK); ix15893 : xnor2 port map ( Y=>nx15892, A0=>nx13923, A1=>nx15890); ix13924 : mux21 port map ( Y=>nx13923, A0=>nx13911, A1=>nx15792, S0=> nx15832); ix15891 : xnor2 port map ( Y=>nx15890, A0=>reg_32_q_c_2, A1=>nx2390); REG_32_reg_q_2 : dff port map ( Q=>reg_32_q_c_2, QB=>OPEN, D=>nx15882, CLK=>CLK); ix15883 : xnor2 port map ( Y=>nx15882, A0=>nx13929, A1=>nx15880); ix13930 : aoi22 port map ( Y=>nx13929, A0=>nx7599, A1=>PRI_IN_6(1), B0=> nx15774, B1=>nx15822); ix15881 : xnor2 port map ( Y=>nx15880, A0=>PRI_IN_6(2), A1=>PRI_IN_1(2)); REG_21_reg_q_3 : dff port map ( Q=>PRI_OUT_7(3), QB=>OPEN, D=>nx15960, CLK=>CLK); ix15961 : xnor2 port map ( Y=>nx15960, A0=>nx13941, A1=>nx15958); ix13942 : aoi22 port map ( Y=>nx13941, A0=>nx2126, A1=>reg_48_q_c_2, B0=> nx15858, B1=>nx15900); ix15959 : xnor2 port map ( Y=>nx15958, A0=>reg_48_q_c_3, A1=>nx8266); REG_48_reg_q_3 : dff port map ( Q=>reg_48_q_c_3, QB=>OPEN, D=>nx15950, CLK=>CLK); ix15951 : xor2 port map ( Y=>nx15950, A0=>nx15926, A1=>nx15948); ix15927 : mux21 port map ( Y=>nx15926, A0=>reg_32_q_c_2, A1=>nx13923, S0 =>nx15890); REG_32_reg_q_3 : dff port map ( Q=>OPEN, QB=>nx13954, D=>nx15940, CLK=> CLK); ix15941 : xor2 port map ( Y=>nx15940, A0=>nx15936, A1=>nx15938); ix15937 : mux21 port map ( Y=>nx15936, A0=>PRI_IN_1(2), A1=>nx13929, S0=> nx15880); ix15939 : xnor2 port map ( Y=>nx15938, A0=>PRI_IN_6(3), A1=>PRI_IN_1(3)); REG_21_reg_q_4 : dff port map ( Q=>PRI_OUT_7(4), QB=>OPEN, D=>nx16018, CLK=>CLK); ix16019 : xor2 port map ( Y=>nx16018, A0=>nx15974, A1=>nx16016); ix15975 : mux21 port map ( Y=>nx15974, A0=>nx8266, A1=>nx13941, S0=> nx15958); ix16017 : xnor2 port map ( Y=>nx16016, A0=>reg_48_q_c_4, A1=>nx8735); REG_48_reg_q_4 : dff port map ( Q=>reg_48_q_c_4, QB=>OPEN, D=>nx16008, CLK=>CLK); ix16009 : xnor2 port map ( Y=>nx16008, A0=>nx13965, A1=>nx16006); ix13966 : mux21 port map ( Y=>nx13965, A0=>nx13954, A1=>nx15926, S0=> nx15948); ix16007 : xnor2 port map ( Y=>nx16006, A0=>reg_32_q_c_4, A1=>nx4390); REG_32_reg_q_4 : dff port map ( Q=>reg_32_q_c_4, QB=>OPEN, D=>nx15998, CLK=>CLK); ix15999 : xnor2 port map ( Y=>nx15998, A0=>nx13971, A1=>nx15996); ix13972 : aoi22 port map ( Y=>nx13971, A0=>nx8509, A1=>PRI_IN_6(3), B0=> nx15936, B1=>nx15938); ix15997 : xnor2 port map ( Y=>nx15996, A0=>PRI_IN_6(4), A1=>PRI_IN_1(4)); REG_21_reg_q_5 : dff port map ( Q=>PRI_OUT_7(5), QB=>OPEN, D=>nx16076, CLK=>CLK); ix16077 : xnor2 port map ( Y=>nx16076, A0=>nx13982, A1=>nx16074); ix13983 : aoi22 port map ( Y=>nx13982, A0=>nx4126, A1=>reg_48_q_c_4, B0=> nx15974, B1=>nx16016); ix16075 : xnor2 port map ( Y=>nx16074, A0=>reg_48_q_c_5, A1=>nx9163); REG_48_reg_q_5 : dff port map ( Q=>reg_48_q_c_5, QB=>OPEN, D=>nx16066, CLK=>CLK); ix16067 : xor2 port map ( Y=>nx16066, A0=>nx16042, A1=>nx16064); ix16043 : mux21 port map ( Y=>nx16042, A0=>reg_32_q_c_4, A1=>nx13965, S0 =>nx16006); REG_32_reg_q_5 : dff port map ( Q=>OPEN, QB=>nx13995, D=>nx16056, CLK=> CLK); ix16057 : xor2 port map ( Y=>nx16056, A0=>nx16052, A1=>nx16054); ix16053 : mux21 port map ( Y=>nx16052, A0=>PRI_IN_1(4), A1=>nx13971, S0=> nx15996); ix16055 : xnor2 port map ( Y=>nx16054, A0=>PRI_IN_6(5), A1=>PRI_IN_1(5)); REG_21_reg_q_6 : dff port map ( Q=>PRI_OUT_7(6), QB=>OPEN, D=>nx16134, CLK=>CLK); ix16135 : xor2 port map ( Y=>nx16134, A0=>nx16090, A1=>nx16132); ix16091 : mux21 port map ( Y=>nx16090, A0=>nx9163, A1=>nx13982, S0=> nx16074); ix16133 : xnor2 port map ( Y=>nx16132, A0=>reg_48_q_c_6, A1=>nx9629); REG_48_reg_q_6 : dff port map ( Q=>reg_48_q_c_6, QB=>OPEN, D=>nx16124, CLK=>CLK); ix16125 : xnor2 port map ( Y=>nx16124, A0=>nx14009, A1=>nx16122); ix14010 : mux21 port map ( Y=>nx14009, A0=>nx13995, A1=>nx16042, S0=> nx16064); ix16123 : xnor2 port map ( Y=>nx16122, A0=>reg_32_q_c_6, A1=>nx6390); REG_32_reg_q_6 : dff port map ( Q=>reg_32_q_c_6, QB=>OPEN, D=>nx16114, CLK=>CLK); ix16115 : xnor2 port map ( Y=>nx16114, A0=>nx14017, A1=>nx16112); ix14018 : aoi22 port map ( Y=>nx14017, A0=>nx9405, A1=>PRI_IN_6(5), B0=> nx16052, B1=>nx16054); ix16113 : xnor2 port map ( Y=>nx16112, A0=>PRI_IN_6(6), A1=>PRI_IN_1(6)); REG_21_reg_q_7 : dff port map ( Q=>PRI_OUT_7(7), QB=>OPEN, D=>nx16192, CLK=>CLK); ix16193 : xnor2 port map ( Y=>nx16192, A0=>nx14029, A1=>nx16190); ix14030 : aoi22 port map ( Y=>nx14029, A0=>nx6126, A1=>reg_48_q_c_6, B0=> nx16090, B1=>nx16132); ix16191 : xnor2 port map ( Y=>nx16190, A0=>reg_48_q_c_7, A1=>nx10077); REG_48_reg_q_7 : dff port map ( Q=>reg_48_q_c_7, QB=>OPEN, D=>nx16182, CLK=>CLK); ix16183 : xor2 port map ( Y=>nx16182, A0=>nx16158, A1=>nx16180); ix16159 : mux21 port map ( Y=>nx16158, A0=>reg_32_q_c_6, A1=>nx14009, S0 =>nx16122); REG_32_reg_q_7 : dff port map ( Q=>OPEN, QB=>nx14049, D=>nx16172, CLK=> CLK); ix16173 : xor2 port map ( Y=>nx16172, A0=>nx16168, A1=>nx16170); ix16169 : mux21 port map ( Y=>nx16168, A0=>PRI_IN_1(6), A1=>nx14017, S0=> nx16112); ix16171 : xnor2 port map ( Y=>nx16170, A0=>PRI_IN_6(7), A1=>PRI_IN_1(7)); REG_21_reg_q_8 : dff port map ( Q=>PRI_OUT_7(8), QB=>OPEN, D=>nx16250, CLK=>CLK); ix16251 : xor2 port map ( Y=>nx16250, A0=>nx16206, A1=>nx16248); ix16207 : mux21 port map ( Y=>nx16206, A0=>nx10077, A1=>nx14029, S0=> nx16190); ix16249 : xnor2 port map ( Y=>nx16248, A0=>reg_48_q_c_8, A1=>nx10531); REG_48_reg_q_8 : dff port map ( Q=>reg_48_q_c_8, QB=>OPEN, D=>nx16240, CLK=>CLK); ix16241 : xnor2 port map ( Y=>nx16240, A0=>nx14063, A1=>nx16238); ix14064 : mux21 port map ( Y=>nx14063, A0=>nx14049, A1=>nx16158, S0=> nx16180); ix16239 : xnor2 port map ( Y=>nx16238, A0=>reg_32_q_c_8, A1=>nx8390); REG_32_reg_q_8 : dff port map ( Q=>reg_32_q_c_8, QB=>OPEN, D=>nx16230, CLK=>CLK); ix16231 : xnor2 port map ( Y=>nx16230, A0=>nx14069, A1=>nx16228); ix14070 : aoi22 port map ( Y=>nx14069, A0=>nx10323, A1=>PRI_IN_6(7), B0=> nx16168, B1=>nx16170); ix16229 : xnor2 port map ( Y=>nx16228, A0=>PRI_IN_6(8), A1=>PRI_IN_1(8)); REG_21_reg_q_9 : dff port map ( Q=>PRI_OUT_7(9), QB=>OPEN, D=>nx16308, CLK=>CLK); ix16309 : xnor2 port map ( Y=>nx16308, A0=>nx14078, A1=>nx16306); ix14079 : aoi22 port map ( Y=>nx14078, A0=>nx8126, A1=>reg_48_q_c_8, B0=> nx16206, B1=>nx16248); ix16307 : xnor2 port map ( Y=>nx16306, A0=>reg_48_q_c_9, A1=>nx10975); REG_48_reg_q_9 : dff port map ( Q=>reg_48_q_c_9, QB=>OPEN, D=>nx16298, CLK=>CLK); ix16299 : xor2 port map ( Y=>nx16298, A0=>nx16274, A1=>nx16296); ix16275 : mux21 port map ( Y=>nx16274, A0=>reg_32_q_c_8, A1=>nx14063, S0 =>nx16238); REG_32_reg_q_9 : dff port map ( Q=>OPEN, QB=>nx14094, D=>nx16288, CLK=> CLK); ix16289 : xor2 port map ( Y=>nx16288, A0=>nx16284, A1=>nx16286); ix16285 : mux21 port map ( Y=>nx16284, A0=>PRI_IN_1(8), A1=>nx14069, S0=> nx16228); ix16287 : xnor2 port map ( Y=>nx16286, A0=>PRI_IN_6(9), A1=>PRI_IN_1(9)); REG_21_reg_q_10 : dff port map ( Q=>PRI_OUT_7(10), QB=>OPEN, D=>nx16366, CLK=>CLK); ix16367 : xor2 port map ( Y=>nx16366, A0=>nx16322, A1=>nx16364); ix16323 : mux21 port map ( Y=>nx16322, A0=>nx10975, A1=>nx14078, S0=> nx16306); ix16365 : xnor2 port map ( Y=>nx16364, A0=>reg_48_q_c_10, A1=>nx11437); REG_48_reg_q_10 : dff port map ( Q=>reg_48_q_c_10, QB=>OPEN, D=>nx16356, CLK=>CLK); ix16357 : xnor2 port map ( Y=>nx16356, A0=>nx14105, A1=>nx16354); ix14106 : mux21 port map ( Y=>nx14105, A0=>nx14094, A1=>nx16274, S0=> nx16296); ix16355 : xnor2 port map ( Y=>nx16354, A0=>reg_32_q_c_10, A1=>nx10390); REG_32_reg_q_10 : dff port map ( Q=>reg_32_q_c_10, QB=>OPEN, D=>nx16346, CLK=>CLK); ix16347 : xnor2 port map ( Y=>nx16346, A0=>nx14112, A1=>nx16344); ix14113 : aoi22 port map ( Y=>nx14112, A0=>nx11223, A1=>PRI_IN_6(9), B0=> nx16284, B1=>nx16286); ix16345 : xnor2 port map ( Y=>nx16344, A0=>PRI_IN_6(10), A1=>PRI_IN_1(10) ); REG_21_reg_q_11 : dff port map ( Q=>PRI_OUT_7(11), QB=>OPEN, D=>nx16424, CLK=>CLK); ix16425 : xnor2 port map ( Y=>nx16424, A0=>nx14123, A1=>nx16422); ix14124 : aoi22 port map ( Y=>nx14123, A0=>nx10126, A1=>reg_48_q_c_10, B0 =>nx16322, B1=>nx16364); ix16423 : xnor2 port map ( Y=>nx16422, A0=>reg_48_q_c_11, A1=>nx11889); REG_48_reg_q_11 : dff port map ( Q=>reg_48_q_c_11, QB=>OPEN, D=>nx16414, CLK=>CLK); ix16415 : xor2 port map ( Y=>nx16414, A0=>nx16390, A1=>nx16412); ix16391 : mux21 port map ( Y=>nx16390, A0=>reg_32_q_c_10, A1=>nx14105, S0 =>nx16354); REG_32_reg_q_11 : dff port map ( Q=>OPEN, QB=>nx14139, D=>nx16404, CLK=> CLK); ix16405 : xor2 port map ( Y=>nx16404, A0=>nx16400, A1=>nx16402); ix16401 : mux21 port map ( Y=>nx16400, A0=>PRI_IN_1(10), A1=>nx14112, S0 =>nx16344); ix16403 : xnor2 port map ( Y=>nx16402, A0=>PRI_IN_6(11), A1=>PRI_IN_1(11) ); REG_21_reg_q_12 : dff port map ( Q=>PRI_OUT_7(12), QB=>OPEN, D=>nx16482, CLK=>CLK); ix16483 : xor2 port map ( Y=>nx16482, A0=>nx16438, A1=>nx16480); ix16439 : mux21 port map ( Y=>nx16438, A0=>nx11889, A1=>nx14123, S0=> nx16422); ix16481 : xnor2 port map ( Y=>nx16480, A0=>reg_48_q_c_12, A1=>nx12339); REG_48_reg_q_12 : dff port map ( Q=>reg_48_q_c_12, QB=>OPEN, D=>nx16472, CLK=>CLK); ix16473 : xnor2 port map ( Y=>nx16472, A0=>nx14150, A1=>nx16470); ix14151 : mux21 port map ( Y=>nx14150, A0=>nx14139, A1=>nx16390, S0=> nx16412); ix16471 : xnor2 port map ( Y=>nx16470, A0=>reg_32_q_c_12, A1=>nx12390); REG_32_reg_q_12 : dff port map ( Q=>reg_32_q_c_12, QB=>OPEN, D=>nx16462, CLK=>CLK); ix16463 : xnor2 port map ( Y=>nx16462, A0=>nx14157, A1=>nx16460); ix14158 : aoi22 port map ( Y=>nx14157, A0=>nx12129, A1=>PRI_IN_6(11), B0 =>nx16400, B1=>nx16402); ix16461 : xnor2 port map ( Y=>nx16460, A0=>PRI_IN_6(12), A1=>PRI_IN_1(12) ); REG_21_reg_q_13 : dff port map ( Q=>PRI_OUT_7(13), QB=>OPEN, D=>nx16540, CLK=>CLK); ix16541 : xnor2 port map ( Y=>nx16540, A0=>nx14169, A1=>nx16538); ix14170 : aoi22 port map ( Y=>nx14169, A0=>nx12126, A1=>reg_48_q_c_12, B0 =>nx16438, B1=>nx16480); ix16539 : xnor2 port map ( Y=>nx16538, A0=>reg_48_q_c_13, A1=>nx12787); REG_48_reg_q_13 : dff port map ( Q=>reg_48_q_c_13, QB=>OPEN, D=>nx16530, CLK=>CLK); ix16531 : xor2 port map ( Y=>nx16530, A0=>nx16506, A1=>nx16528); ix16507 : mux21 port map ( Y=>nx16506, A0=>reg_32_q_c_12, A1=>nx14150, S0 =>nx16470); REG_32_reg_q_13 : dff port map ( Q=>OPEN, QB=>nx14187, D=>nx16520, CLK=> CLK); ix16521 : xor2 port map ( Y=>nx16520, A0=>nx16516, A1=>nx16518); ix16517 : mux21 port map ( Y=>nx16516, A0=>PRI_IN_1(12), A1=>nx14157, S0 =>nx16460); ix16519 : xnor2 port map ( Y=>nx16518, A0=>PRI_IN_6(13), A1=>PRI_IN_1(13) ); REG_21_reg_q_14 : dff port map ( Q=>PRI_OUT_7(14), QB=>OPEN, D=>nx16598, CLK=>CLK); ix16599 : xor2 port map ( Y=>nx16598, A0=>nx16554, A1=>nx16596); ix16555 : mux21 port map ( Y=>nx16554, A0=>nx12787, A1=>nx14169, S0=> nx16538); ix16597 : xnor2 port map ( Y=>nx16596, A0=>reg_48_q_c_14, A1=>nx13243); REG_48_reg_q_14 : dff port map ( Q=>reg_48_q_c_14, QB=>OPEN, D=>nx16588, CLK=>CLK); ix16589 : xnor2 port map ( Y=>nx16588, A0=>nx14197, A1=>nx16586); ix14198 : mux21 port map ( Y=>nx14197, A0=>nx14187, A1=>nx16506, S0=> nx16528); ix16587 : xnor2 port map ( Y=>nx16586, A0=>reg_32_q_c_14, A1=>nx14390); REG_32_reg_q_14 : dff port map ( Q=>reg_32_q_c_14, QB=>OPEN, D=>nx16578, CLK=>CLK); ix16579 : xnor2 port map ( Y=>nx16578, A0=>nx14205, A1=>nx16576); ix14206 : aoi22 port map ( Y=>nx14205, A0=>nx13029, A1=>PRI_IN_6(13), B0 =>nx16516, B1=>nx16518); ix16577 : xnor2 port map ( Y=>nx16576, A0=>PRI_IN_6(14), A1=>PRI_IN_1(14) ); REG_21_reg_q_15 : dff port map ( Q=>PRI_OUT_7(15), QB=>OPEN, D=>nx16656, CLK=>CLK); ix16657 : xnor2 port map ( Y=>nx16656, A0=>nx14215, A1=>nx16654); ix14216 : aoi22 port map ( Y=>nx14215, A0=>nx14126, A1=>reg_48_q_c_14, B0 =>nx16554, B1=>nx16596); ix16655 : xnor2 port map ( Y=>nx16654, A0=>reg_48_q_c_15, A1=>nx13697); REG_48_reg_q_15 : dff port map ( Q=>reg_48_q_c_15, QB=>OPEN, D=>nx16646, CLK=>CLK); ix16647 : xnor2 port map ( Y=>nx16646, A0=>nx16622, A1=>nx14223); ix16623 : mux21 port map ( Y=>nx16622, A0=>reg_32_q_c_14, A1=>nx14197, S0 =>nx16586); ix14224 : xor2 port map ( Y=>nx14223, A0=>reg_32_q_c_15, A1=>nx15390); REG_32_reg_q_15 : dff port map ( Q=>reg_32_q_c_15, QB=>OPEN, D=>nx16636, CLK=>CLK); ix16637 : xnor2 port map ( Y=>nx16636, A0=>nx16632, A1=>nx14229); ix16633 : mux21 port map ( Y=>nx16632, A0=>PRI_IN_1(14), A1=>nx14205, S0 =>nx16576); REG_20_reg_q_0 : dff port map ( Q=>PRI_OUT_6(0), QB=>OPEN, D=>nx16670, CLK=>CLK); ix16671 : xor2 port map ( Y=>nx16670, A0=>PRI_IN_6(0), A1=> PRI_OUT_2_0_EXMPLR); REG_20_reg_q_1 : dff port map ( Q=>PRI_OUT_6(1), QB=>OPEN, D=>nx16680, CLK=>CLK); ix16681 : xor2 port map ( Y=>nx16680, A0=>nx14243, A1=>nx14245); ix14244 : nand02 port map ( Y=>nx14243, A0=>PRI_IN_6(0), A1=> PRI_OUT_2_0_EXMPLR); ix14246 : xnor2 port map ( Y=>nx14245, A0=>PRI_IN_6(1), A1=> PRI_OUT_2_1_EXMPLR); REG_20_reg_q_2 : dff port map ( Q=>PRI_OUT_6(2), QB=>OPEN, D=>nx16698, CLK=>CLK); ix16699 : xor2 port map ( Y=>nx16698, A0=>nx14251, A1=>nx14255); ix14252 : aoi32 port map ( Y=>nx14251, A0=>PRI_IN_6(0), A1=> PRI_OUT_2_0_EXMPLR, A2=>nx16678, B0=>PRI_OUT_2_1_EXMPLR, B1=> PRI_IN_6(1)); ix14256 : xnor2 port map ( Y=>nx14255, A0=>PRI_IN_6(2), A1=> PRI_OUT_2_2_EXMPLR); REG_20_reg_q_3 : dff port map ( Q=>PRI_OUT_6(3), QB=>OPEN, D=>nx16716, CLK=>CLK); ix16717 : xnor2 port map ( Y=>nx16716, A0=>nx16712, A1=>nx14264); ix16713 : ao21 port map ( Y=>nx16712, A0=>PRI_OUT_2_2_EXMPLR, A1=> PRI_IN_6(2), B0=>nx16710); ix16711 : nor02 port map ( Y=>nx16710, A0=>nx14251, A1=>nx14255); ix14265 : xnor2 port map ( Y=>nx14264, A0=>PRI_IN_6(3), A1=> PRI_OUT_2_3_EXMPLR); REG_20_reg_q_4 : dff port map ( Q=>PRI_OUT_6(4), QB=>OPEN, D=>nx16734, CLK=>CLK); ix16735 : xor2 port map ( Y=>nx16734, A0=>nx14269, A1=>nx14273); ix14270 : aoi22 port map ( Y=>nx14269, A0=>PRI_OUT_2_3_EXMPLR, A1=> PRI_IN_6(3), B0=>nx16712, B1=>nx16714); ix14274 : xnor2 port map ( Y=>nx14273, A0=>PRI_IN_6(4), A1=> PRI_OUT_2_4_EXMPLR); REG_20_reg_q_5 : dff port map ( Q=>PRI_OUT_6(5), QB=>OPEN, D=>nx16752, CLK=>CLK); ix16753 : xnor2 port map ( Y=>nx16752, A0=>nx16748, A1=>nx14281); ix16749 : ao21 port map ( Y=>nx16748, A0=>PRI_OUT_2_4_EXMPLR, A1=> PRI_IN_6(4), B0=>nx16746); ix16747 : nor02 port map ( Y=>nx16746, A0=>nx14269, A1=>nx14273); ix14282 : xnor2 port map ( Y=>nx14281, A0=>PRI_IN_6(5), A1=> PRI_OUT_2_5_EXMPLR); REG_20_reg_q_6 : dff port map ( Q=>PRI_OUT_6(6), QB=>OPEN, D=>nx16770, CLK=>CLK); ix16771 : xor2 port map ( Y=>nx16770, A0=>nx14287, A1=>nx14290); ix14288 : aoi22 port map ( Y=>nx14287, A0=>PRI_OUT_2_5_EXMPLR, A1=> PRI_IN_6(5), B0=>nx16748, B1=>nx16750); ix14291 : xnor2 port map ( Y=>nx14290, A0=>PRI_IN_6(6), A1=>nx16415); REG_20_reg_q_7 : dff port map ( Q=>PRI_OUT_6(7), QB=>OPEN, D=>nx16788, CLK=>CLK); ix16789 : xnor2 port map ( Y=>nx16788, A0=>nx16784, A1=>nx14299); ix16785 : ao21 port map ( Y=>nx16784, A0=>nx16415, A1=>PRI_IN_6(6), B0=> nx16782); ix16783 : nor02 port map ( Y=>nx16782, A0=>nx14287, A1=>nx14290); ix14300 : xnor2 port map ( Y=>nx14299, A0=>PRI_IN_6(7), A1=> PRI_OUT_2_7_EXMPLR); REG_20_reg_q_8 : dff port map ( Q=>PRI_OUT_6(8), QB=>OPEN, D=>nx16806, CLK=>CLK); ix16807 : xor2 port map ( Y=>nx16806, A0=>nx14305, A1=>nx14309); ix14306 : aoi22 port map ( Y=>nx14305, A0=>PRI_OUT_2_7_EXMPLR, A1=> PRI_IN_6(7), B0=>nx16784, B1=>nx16786); ix14310 : xnor2 port map ( Y=>nx14309, A0=>PRI_IN_6(8), A1=>nx16426); REG_20_reg_q_9 : dff port map ( Q=>PRI_OUT_6(9), QB=>OPEN, D=>nx16824, CLK=>CLK); ix16825 : xnor2 port map ( Y=>nx16824, A0=>nx16820, A1=>nx14317); ix16821 : ao21 port map ( Y=>nx16820, A0=>nx16426, A1=>PRI_IN_6(8), B0=> nx16818); ix16819 : nor02 port map ( Y=>nx16818, A0=>nx14305, A1=>nx14309); ix14318 : xnor2 port map ( Y=>nx14317, A0=>PRI_IN_6(9), A1=> PRI_OUT_2_9_EXMPLR); REG_20_reg_q_10 : dff port map ( Q=>PRI_OUT_6(10), QB=>OPEN, D=>nx16842, CLK=>CLK); ix16843 : xor2 port map ( Y=>nx16842, A0=>nx14323, A1=>nx14327); ix14324 : aoi22 port map ( Y=>nx14323, A0=>PRI_OUT_2_9_EXMPLR, A1=> PRI_IN_6(9), B0=>nx16820, B1=>nx16822); ix14328 : xnor2 port map ( Y=>nx14327, A0=>PRI_IN_6(10), A1=>nx16435); REG_20_reg_q_11 : dff port map ( Q=>PRI_OUT_6(11), QB=>OPEN, D=>nx16860, CLK=>CLK); ix16861 : xnor2 port map ( Y=>nx16860, A0=>nx16856, A1=>nx14335); ix16857 : ao21 port map ( Y=>nx16856, A0=>nx16435, A1=>PRI_IN_6(10), B0=> nx16854); ix16855 : nor02 port map ( Y=>nx16854, A0=>nx14323, A1=>nx14327); ix14336 : xnor2 port map ( Y=>nx14335, A0=>PRI_IN_6(11), A1=> PRI_OUT_2_11_EXMPLR); REG_20_reg_q_12 : dff port map ( Q=>PRI_OUT_6(12), QB=>OPEN, D=>nx16878, CLK=>CLK); ix16879 : xor2 port map ( Y=>nx16878, A0=>nx14340, A1=>nx14343); ix14341 : aoi22 port map ( Y=>nx14340, A0=>PRI_OUT_2_11_EXMPLR, A1=> PRI_IN_6(11), B0=>nx16856, B1=>nx16858); ix14344 : xnor2 port map ( Y=>nx14343, A0=>PRI_IN_6(12), A1=>nx16449); REG_20_reg_q_13 : dff port map ( Q=>PRI_OUT_6(13), QB=>OPEN, D=>nx16896, CLK=>CLK); ix16897 : xnor2 port map ( Y=>nx16896, A0=>nx16892, A1=>nx14353); ix16891 : nor02 port map ( Y=>nx16890, A0=>nx14340, A1=>nx14343); ix14354 : xnor2 port map ( Y=>nx14353, A0=>PRI_IN_6(13), A1=> PRI_OUT_2_13_EXMPLR); REG_20_reg_q_14 : dff port map ( Q=>PRI_OUT_6(14), QB=>OPEN, D=>nx16914, CLK=>CLK); ix16915 : xor2 port map ( Y=>nx16914, A0=>nx14358, A1=>nx14363); REG_20_reg_q_15 : dff port map ( Q=>PRI_OUT_6(15), QB=>OPEN, D=>nx16932, CLK=>CLK); REG_19_reg_q_0 : dff port map ( Q=>PRI_OUT_4(0), QB=>OPEN, D=>nx16946, CLK=>CLK); ix16947 : xnor2 port map ( Y=>nx16946, A0=>nx16012, A1=>nx6811); REG_19_reg_q_1 : dff port map ( Q=>PRI_OUT_4(1), QB=>OPEN, D=>nx16956, CLK=>CLK); ix16957 : xor2 port map ( Y=>nx16956, A0=>nx16940, A1=>nx16954); ix16941 : nor02 port map ( Y=>nx16940, A0=>nx6853, A1=>nx6811); ix16955 : xnor2 port map ( Y=>nx16954, A0=>nx6474, A1=>nx7125); REG_19_reg_q_2 : dff port map ( Q=>PRI_OUT_4(2), QB=>OPEN, D=>nx16974, CLK=>CLK); ix16975 : xnor2 port map ( Y=>nx16974, A0=>nx14386, A1=>nx16972); ix14387 : mux21 port map ( Y=>nx14386, A0=>nx1168, A1=>nx16940, S0=> nx16954); ix16973 : xnor2 port map ( Y=>nx16972, A0=>nx6487, A1=>nx7553); REG_19_reg_q_3 : dff port map ( Q=>PRI_OUT_4(3), QB=>OPEN, D=>nx16992, CLK=>CLK); ix16993 : xor2 port map ( Y=>nx16992, A0=>nx16988, A1=>nx16990); ix16989 : mux21 port map ( Y=>nx16988, A0=>nx7553, A1=>nx14386, S0=> nx16972); ix16991 : xnor2 port map ( Y=>nx16990, A0=>nx6497, A1=>nx8001); REG_19_reg_q_4 : dff port map ( Q=>PRI_OUT_4(4), QB=>OPEN, D=>nx17010, CLK=>CLK); ix17011 : xnor2 port map ( Y=>nx17010, A0=>nx14406, A1=>nx17008); ix14407 : mux21 port map ( Y=>nx14406, A0=>nx3084, A1=>nx16988, S0=> nx16990); ix17009 : xnor2 port map ( Y=>nx17008, A0=>nx6511, A1=>nx8469); REG_19_reg_q_5 : dff port map ( Q=>PRI_OUT_4(5), QB=>OPEN, D=>nx17028, CLK=>CLK); ix17029 : xor2 port map ( Y=>nx17028, A0=>nx17024, A1=>nx17026); ix17025 : mux21 port map ( Y=>nx17024, A0=>nx8469, A1=>nx14406, S0=> nx17008); ix17027 : xnor2 port map ( Y=>nx17026, A0=>nx6525, A1=>nx8917); REG_19_reg_q_6 : dff port map ( Q=>PRI_OUT_4(6), QB=>OPEN, D=>nx17046, CLK=>CLK); ix17047 : xnor2 port map ( Y=>nx17046, A0=>nx14422, A1=>nx17044); ix14423 : mux21 port map ( Y=>nx14422, A0=>nx5084, A1=>nx17024, S0=> nx17026); ix17045 : xnor2 port map ( Y=>nx17044, A0=>nx6541, A1=>nx9365); REG_19_reg_q_7 : dff port map ( Q=>PRI_OUT_4(7), QB=>OPEN, D=>nx17064, CLK=>CLK); ix17065 : xor2 port map ( Y=>nx17064, A0=>nx17060, A1=>nx17062); ix17061 : mux21 port map ( Y=>nx17060, A0=>nx9365, A1=>nx14422, S0=> nx17044); ix17063 : xnor2 port map ( Y=>nx17062, A0=>nx6553, A1=>nx9811); REG_19_reg_q_8 : dff port map ( Q=>PRI_OUT_4(8), QB=>OPEN, D=>nx17082, CLK=>CLK); ix17083 : xnor2 port map ( Y=>nx17082, A0=>nx14438, A1=>nx17080); ix14439 : mux21 port map ( Y=>nx14438, A0=>nx7084, A1=>nx17060, S0=> nx17062); ix17081 : xnor2 port map ( Y=>nx17080, A0=>nx6567, A1=>nx10281); REG_19_reg_q_9 : dff port map ( Q=>PRI_OUT_4(9), QB=>OPEN, D=>nx17100, CLK=>CLK); ix17101 : xor2 port map ( Y=>nx17100, A0=>nx17096, A1=>nx17098); ix17097 : mux21 port map ( Y=>nx17096, A0=>nx10281, A1=>nx14438, S0=> nx17080); ix17099 : xnor2 port map ( Y=>nx17098, A0=>nx6579, A1=>nx10721); REG_19_reg_q_10 : dff port map ( Q=>PRI_OUT_4(10), QB=>OPEN, D=>nx17118, CLK=>CLK); ix17119 : xnor2 port map ( Y=>nx17118, A0=>nx14453, A1=>nx17116); ix14454 : mux21 port map ( Y=>nx14453, A0=>nx9084, A1=>nx17096, S0=> nx17098); ix17117 : xnor2 port map ( Y=>nx17116, A0=>nx6595, A1=>nx11183); REG_19_reg_q_11 : dff port map ( Q=>PRI_OUT_4(11), QB=>OPEN, D=>nx17136, CLK=>CLK); ix17137 : xor2 port map ( Y=>nx17136, A0=>nx17132, A1=>nx17134); ix17135 : xnor2 port map ( Y=>nx17134, A0=>nx6609, A1=>nx11623); REG_19_reg_q_12 : dff port map ( Q=>PRI_OUT_4(12), QB=>OPEN, D=>nx17154, CLK=>CLK); ix17155 : xnor2 port map ( Y=>nx17154, A0=>nx14469, A1=>nx16038); ix17153 : xnor2 port map ( Y=>nx17152, A0=>nx6623, A1=>nx12093); REG_19_reg_q_13 : dff port map ( Q=>PRI_OUT_4(13), QB=>OPEN, D=>nx17172, CLK=>CLK); ix17173 : xor2 port map ( Y=>nx17172, A0=>nx17168, A1=>nx16040); ix17171 : xnor2 port map ( Y=>nx17170, A0=>nx6636, A1=>nx12507); REG_19_reg_q_14 : dff port map ( Q=>PRI_OUT_4(14), QB=>OPEN, D=>nx17190, CLK=>CLK); ix17191 : xnor2 port map ( Y=>nx17190, A0=>nx14485, A1=>nx17188); ix17189 : xnor2 port map ( Y=>nx17188, A0=>nx6651, A1=>nx12987); REG_19_reg_q_15 : dff port map ( Q=>PRI_OUT_4(15), QB=>OPEN, D=>nx17208, CLK=>CLK); ix17209 : xnor2 port map ( Y=>nx17208, A0=>nx17204, A1=>nx14496); ix14498 : xor2 port map ( Y=>nx14496, A0=>nx6663, A1=>nx13419); REG_3_reg_q_0 : dff port map ( Q=>PRI_OUT_1(0), QB=>OPEN, D=>nx17226, CLK =>CLK); ix17227 : oai21 port map ( Y=>nx17226, A0=>nx6949, A1=>PRI_OUT_3_0_EXMPLR, B0=>nx17218); ix17219 : nand02 port map ( Y=>nx17218, A0=>PRI_OUT_3_0_EXMPLR, A1=> nx6949); REG_3_reg_q_1 : dff port map ( Q=>PRI_OUT_1(1), QB=>OPEN, D=>nx17236, CLK =>CLK); ix17237 : xor2 port map ( Y=>nx17236, A0=>nx17218, A1=>nx17234); REG_3_reg_q_2 : dff port map ( Q=>PRI_OUT_1(2), QB=>OPEN, D=>nx17256, CLK =>CLK); ix17257 : xnor2 port map ( Y=>nx17256, A0=>nx14515, A1=>nx17254); ix14516 : aoi22 port map ( Y=>nx14515, A0=>nx16399, A1=>reg_14_q_c_1, B0 =>nx17218, B1=>nx17234); ix17255 : xnor2 port map ( Y=>nx17254, A0=>reg_14_q_c_2, A1=>nx15861); REG_3_reg_q_3 : dff port map ( Q=>PRI_OUT_1(3), QB=>OPEN, D=>nx17276, CLK =>CLK); ix17277 : xor2 port map ( Y=>nx17276, A0=>nx17272, A1=>nx17274); ix17273 : mux21 port map ( Y=>nx17272, A0=>nx15862, A1=>nx14515, S0=> nx17254); REG_3_reg_q_4 : dff port map ( Q=>PRI_OUT_1(4), QB=>OPEN, D=>nx17296, CLK =>CLK); ix17297 : xnor2 port map ( Y=>nx17296, A0=>nx14531, A1=>nx17294); ix14532 : aoi22 port map ( Y=>nx14531, A0=>nx14849, A1=>reg_14_q_c_3, B0 =>nx17272, B1=>nx17274); ix17295 : xnor2 port map ( Y=>nx17294, A0=>reg_14_q_c_4, A1=>nx16405); REG_3_reg_q_5 : dff port map ( Q=>PRI_OUT_1(5), QB=>OPEN, D=>nx17316, CLK =>CLK); ix17317 : xor2 port map ( Y=>nx17316, A0=>nx17312, A1=>nx17314); ix17313 : mux21 port map ( Y=>nx17312, A0=>nx16405, A1=>nx14531, S0=> nx17294); REG_3_reg_q_6 : dff port map ( Q=>PRI_OUT_1(6), QB=>OPEN, D=>nx17336, CLK =>CLK); ix17337 : xnor2 port map ( Y=>nx17336, A0=>nx14545, A1=>nx17334); ix14546 : aoi22 port map ( Y=>nx14545, A0=>nx14851, A1=>reg_14_q_c_5, B0 =>nx17312, B1=>nx17314); ix17335 : xnor2 port map ( Y=>nx17334, A0=>reg_14_q_c_6, A1=>nx16410); REG_3_reg_q_7 : dff port map ( Q=>PRI_OUT_1(7), QB=>OPEN, D=>nx17356, CLK =>CLK); ix17357 : xor2 port map ( Y=>nx17356, A0=>nx17352, A1=>nx17354); ix17353 : mux21 port map ( Y=>nx17352, A0=>nx16410, A1=>nx14545, S0=> nx17334); REG_3_reg_q_8 : dff port map ( Q=>PRI_OUT_1(8), QB=>OPEN, D=>nx17376, CLK =>CLK); ix17377 : xnor2 port map ( Y=>nx17376, A0=>nx14561, A1=>nx17374); ix14562 : aoi22 port map ( Y=>nx14561, A0=>nx14853, A1=>reg_14_q_c_7, B0 =>nx17352, B1=>nx17354); ix17375 : xnor2 port map ( Y=>nx17374, A0=>reg_14_q_c_8, A1=>nx14657); REG_3_reg_q_9 : dff port map ( Q=>PRI_OUT_1(9), QB=>OPEN, D=>nx17396, CLK =>CLK); ix17397 : xor2 port map ( Y=>nx17396, A0=>nx17392, A1=>nx17394); ix17393 : mux21 port map ( Y=>nx17392, A0=>nx14657, A1=>nx14561, S0=> nx17374); REG_3_reg_q_10 : dff port map ( Q=>PRI_OUT_1(10), QB=>OPEN, D=>nx17416, CLK=>CLK); ix17417 : xnor2 port map ( Y=>nx17416, A0=>nx14576, A1=>nx17414); ix14577 : aoi22 port map ( Y=>nx14576, A0=>nx15751, A1=>reg_14_q_c_9, B0 =>nx17392, B1=>nx17394); ix17415 : xnor2 port map ( Y=>nx17414, A0=>reg_14_q_c_10, A1=>nx14649); REG_3_reg_q_11 : dff port map ( Q=>PRI_OUT_1(11), QB=>OPEN, D=>nx17436, CLK=>CLK); ix17437 : xor2 port map ( Y=>nx17436, A0=>nx17432, A1=>nx17434); ix17433 : mux21 port map ( Y=>nx17432, A0=>nx14649, A1=>nx14576, S0=> nx17414); REG_3_reg_q_12 : dff port map ( Q=>PRI_OUT_1(12), QB=>OPEN, D=>nx17456, CLK=>CLK); ix17457 : xnor2 port map ( Y=>nx17456, A0=>nx14591, A1=>nx17454); ix14592 : aoi22 port map ( Y=>nx14591, A0=>nx14857, A1=>reg_14_q_c_11, B0 =>nx17432, B1=>nx17434); ix17455 : xnor2 port map ( Y=>nx17454, A0=>reg_14_q_c_12, A1=>nx14641); REG_3_reg_q_13 : dff port map ( Q=>PRI_OUT_1(13), QB=>OPEN, D=>nx17476, CLK=>CLK); ix17477 : xor2 port map ( Y=>nx17476, A0=>nx17472, A1=>nx17474); ix17473 : mux21 port map ( Y=>nx17472, A0=>nx14641, A1=>nx14591, S0=> nx17454); REG_3_reg_q_14 : dff port map ( Q=>PRI_OUT_1(14), QB=>OPEN, D=>nx17496, CLK=>CLK); ix17497 : xnor2 port map ( Y=>nx17496, A0=>nx14607, A1=>nx17494); ix14608 : aoi22 port map ( Y=>nx14607, A0=>nx14859, A1=>reg_14_q_c_13, B0 =>nx17472, B1=>nx17474); ix17495 : xnor2 port map ( Y=>nx17494, A0=>reg_14_q_c_14, A1=>nx14633); REG_3_reg_q_15 : dff port map ( Q=>PRI_OUT_1(15), QB=>OPEN, D=>nx17516, CLK=>CLK); ix17517 : xnor2 port map ( Y=>nx17516, A0=>nx17512, A1=>nx14617); ix17513 : mux21 port map ( Y=>nx17512, A0=>nx14633, A1=>nx14607, S0=> nx17494); ix14618 : xor2 port map ( Y=>nx14617, A0=>reg_14_q_c_15, A1=>nx14629); ix16859 : inv02 port map ( Y=>nx16858, A=>nx14335); ix16823 : inv02 port map ( Y=>nx16822, A=>nx14317); ix16787 : inv02 port map ( Y=>nx16786, A=>nx14299); ix16751 : inv02 port map ( Y=>nx16750, A=>nx14281); ix16715 : inv02 port map ( Y=>nx16714, A=>nx14264); ix16679 : inv02 port map ( Y=>nx16678, A=>nx14245); ix15775 : inv02 port map ( Y=>nx15774, A=>nx13884); ix15727 : inv02 port map ( Y=>nx15726, A=>nx13855); ix15691 : inv02 port map ( Y=>nx15690, A=>nx13837); ix15655 : inv02 port map ( Y=>nx15654, A=>nx13821); ix15619 : inv02 port map ( Y=>nx15618, A=>nx13806); ix15583 : inv02 port map ( Y=>nx15582, A=>nx13789); ix15547 : inv02 port map ( Y=>nx15546, A=>nx13773); ix15511 : inv02 port map ( Y=>nx15510, A=>nx13756); ix13510 : inv02 port map ( Y=>nx13509, A=>nx14428); ix13582 : inv02 port map ( Y=>nx13581, A=>nx14220); ix14209 : inv02 port map ( Y=>nx14208, A=>nx13107); ix14485 : inv02 port map ( Y=>nx6652, A=>nx13063); ix13380 : inv02 port map ( Y=>nx13379, A=>nx14142); ix14127 : inv02 port map ( Y=>nx14126, A=>nx13243); ix13677 : inv02 port map ( Y=>nx13676, A=>nx13986); ix13452 : inv02 port map ( Y=>nx13451, A=>nx13948); ix13610 : inv02 port map ( Y=>nx13609, A=>nx13912); ix14457 : inv02 port map ( Y=>nx6639, A=>nx16457); ix13056 : inv02 port map ( Y=>nx13055, A=>nx13486); ix13431 : inv02 port map ( Y=>nx13430, A=>nx12617); ix13377 : inv02 port map ( Y=>nx13376, A=>nx12403); ix13333 : inv02 port map ( Y=>nx13332, A=>nx12807); ix13259 : inv02 port map ( Y=>nx13258, A=>nx12667); ix13485 : inv02 port map ( Y=>nx6637, A=>nx12581); ix13107 : inv02 port map ( Y=>nx13106, A=>nx12491); ix13085 : inv02 port map ( Y=>nx13084, A=>nx12507); ix12977 : inv02 port map ( Y=>nx12976, A=>nx12533); ix12959 : inv02 port map ( Y=>nx12958, A=>nx12541); ix13114 : inv02 port map ( Y=>nx13113, A=>nx6634); ix12831 : inv02 port map ( Y=>nx12830, A=>nx12765); ix12838 : inv02 port map ( Y=>nx12837, A=>nx12816); ix12813 : inv02 port map ( Y=>nx12812, A=>nx12625); ix12791 : inv02 port map ( Y=>nx12790, A=>nx12429); ix12904 : inv02 port map ( Y=>nx12903, A=>nx6631); ix12749 : inv02 port map ( Y=>nx12748, A=>nx12453); ix12937 : inv02 port map ( Y=>nx6629, A=>nx12557); ix12612 : inv02 port map ( Y=>nx12611, A=>nx12428); ix12684 : inv02 port map ( Y=>nx12683, A=>nx12220); ix12209 : inv02 port map ( Y=>nx12208, A=>nx12207); ix12485 : inv02 port map ( Y=>nx6625, A=>nx12157); ix12470 : inv02 port map ( Y=>nx12469, A=>nx12142); ix12127 : inv02 port map ( Y=>nx12126, A=>nx12339); ix12772 : inv02 port map ( Y=>nx12771, A=>nx11986); ix12550 : inv02 port map ( Y=>nx12549, A=>nx11948); ix12708 : inv02 port map ( Y=>nx12707, A=>nx11912); ix12457 : inv02 port map ( Y=>nx6611, A=>nx12173); ix12151 : inv02 port map ( Y=>nx12150, A=>nx11486); ix11431 : inv02 port map ( Y=>nx11430, A=>nx11729); ix11377 : inv02 port map ( Y=>nx11376, A=>nx11499); ix11333 : inv02 port map ( Y=>nx11332, A=>nx11913); ix11259 : inv02 port map ( Y=>nx11258, A=>nx11771); ix11485 : inv02 port map ( Y=>nx6610, A=>nx11693); ix11107 : inv02 port map ( Y=>nx11106, A=>nx11605); ix11085 : inv02 port map ( Y=>nx11084, A=>nx11623); ix10977 : inv02 port map ( Y=>nx10976, A=>nx11649); ix10959 : inv02 port map ( Y=>nx10958, A=>nx11659); ix10923 : inv02 port map ( Y=>nx10922, A=>nx11681); ix12215 : inv02 port map ( Y=>nx12214, A=>nx6605); ix10831 : inv02 port map ( Y=>nx10830, A=>nx11867); ix11940 : inv02 port map ( Y=>nx11939, A=>nx15733); ix10813 : inv02 port map ( Y=>nx10812, A=>nx11737); ix10791 : inv02 port map ( Y=>nx10790, A=>nx11533); ix12002 : inv02 port map ( Y=>nx12001, A=>nx15725); ix10749 : inv02 port map ( Y=>nx10748, A=>nx11563); ix11726 : inv02 port map ( Y=>nx11725, A=>nx10428); ix11789 : inv02 port map ( Y=>nx11788, A=>nx10220); ix10209 : inv02 port map ( Y=>nx10208, A=>nx11301); ix10485 : inv02 port map ( Y=>nx6597, A=>nx11255); ix11579 : inv02 port map ( Y=>nx11578, A=>nx10142); ix10127 : inv02 port map ( Y=>nx10126, A=>nx11437); ix11875 : inv02 port map ( Y=>nx11874, A=>nx9986); ix11668 : inv02 port map ( Y=>nx11667, A=>nx9948); ix11812 : inv02 port map ( Y=>nx11811, A=>nx9912); ix10457 : inv02 port map ( Y=>nx6582, A=>nx11271); ix11248 : inv02 port map ( Y=>nx11247, A=>nx9486); ix9431 : inv02 port map ( Y=>nx9430, A=>nx10817); ix9377 : inv02 port map ( Y=>nx9376, A=>nx10601); ix9333 : inv02 port map ( Y=>nx9332, A=>nx10995); ix9259 : inv02 port map ( Y=>nx9258, A=>nx10861); ix9485 : inv02 port map ( Y=>nx6581, A=>nx10788); ix9107 : inv02 port map ( Y=>nx9106, A=>nx10701); ix9085 : inv02 port map ( Y=>nx9084, A=>nx10721); ix8977 : inv02 port map ( Y=>nx8976, A=>nx10745); ix8959 : inv02 port map ( Y=>nx8958, A=>nx10754); ix8923 : inv02 port map ( Y=>nx8922, A=>nx10773); ix11310 : inv02 port map ( Y=>nx11309, A=>nx6577); ix8831 : inv02 port map ( Y=>nx8830, A=>nx10955); ix11028 : inv02 port map ( Y=>nx11027, A=>nx15734); ix8813 : inv02 port map ( Y=>nx8812, A=>nx10822); ix8791 : inv02 port map ( Y=>nx8790, A=>nx10633); ix11096 : inv02 port map ( Y=>nx11095, A=>nx15729); ix8749 : inv02 port map ( Y=>nx8748, A=>nx10665); ix10812 : inv02 port map ( Y=>nx10811, A=>nx8428); ix10878 : inv02 port map ( Y=>nx10877, A=>nx8220); ix8209 : inv02 port map ( Y=>nx8208, A=>nx10397); ix8485 : inv02 port map ( Y=>nx6569, A=>nx10351); ix10682 : inv02 port map ( Y=>nx10681, A=>nx8142); ix8127 : inv02 port map ( Y=>nx8126, A=>nx10531); ix10962 : inv02 port map ( Y=>nx10961, A=>nx7986); ix10760 : inv02 port map ( Y=>nx10759, A=>nx7948); ix10906 : inv02 port map ( Y=>nx10905, A=>nx7912); ix8457 : inv02 port map ( Y=>nx6557, A=>nx10367); ix10344 : inv02 port map ( Y=>nx10343, A=>nx7486); ix7431 : inv02 port map ( Y=>nx7430, A=>nx9915); ix7377 : inv02 port map ( Y=>nx7376, A=>nx9695); ix7333 : inv02 port map ( Y=>nx7332, A=>nx10097); ix7259 : inv02 port map ( Y=>nx7258, A=>nx9954); ix7485 : inv02 port map ( Y=>nx6555, A=>nx9879); ix7107 : inv02 port map ( Y=>nx7106, A=>nx9796); ix7097 : inv02 port map ( Y=>nx7096, A=>nx9803); ix7085 : inv02 port map ( Y=>nx7084, A=>nx9811); ix6977 : inv02 port map ( Y=>nx6976, A=>nx9835); ix6959 : inv02 port map ( Y=>nx6958, A=>nx9841); ix6923 : inv02 port map ( Y=>nx6922, A=>nx9865); ix10405 : inv02 port map ( Y=>nx10404, A=>nx6551); ix6831 : inv02 port map ( Y=>nx6830, A=>nx10055); ix10124 : inv02 port map ( Y=>nx10123, A=>nx6816); ix6813 : inv02 port map ( Y=>nx6812, A=>nx9920); ix6791 : inv02 port map ( Y=>nx6790, A=>nx9729); ix10194 : inv02 port map ( Y=>nx10193, A=>nx6549); ix6749 : inv02 port map ( Y=>nx6748, A=>nx9759); ix9910 : inv02 port map ( Y=>nx9909, A=>nx6428); ix9970 : inv02 port map ( Y=>nx9969, A=>nx6220); ix6209 : inv02 port map ( Y=>nx6208, A=>nx9479); ix6485 : inv02 port map ( Y=>nx6542, A=>nx9435); ix9774 : inv02 port map ( Y=>nx9773, A=>nx6142); ix6127 : inv02 port map ( Y=>nx6126, A=>nx9629); ix10064 : inv02 port map ( Y=>nx10063, A=>nx5986); ix9848 : inv02 port map ( Y=>nx9847, A=>nx5948); ix9995 : inv02 port map ( Y=>nx9994, A=>nx5912); ix6457 : inv02 port map ( Y=>nx6529, A=>nx16413); ix9428 : inv02 port map ( Y=>nx9427, A=>nx5486); ix5431 : inv02 port map ( Y=>nx5430, A=>nx9007); ix5377 : inv02 port map ( Y=>nx5376, A=>nx8798); ix5333 : inv02 port map ( Y=>nx5332, A=>nx9187); ix5259 : inv02 port map ( Y=>nx5258, A=>nx9055); ix5485 : inv02 port map ( Y=>nx6527, A=>nx8977); ix5107 : inv02 port map ( Y=>nx5106, A=>nx8897); ix5097 : inv02 port map ( Y=>nx5096, A=>nx8907); ix5085 : inv02 port map ( Y=>nx5084, A=>nx8917); ix4977 : inv02 port map ( Y=>nx4976, A=>nx8933); ix4959 : inv02 port map ( Y=>nx4958, A=>nx8943); ix4923 : inv02 port map ( Y=>nx4922, A=>nx8964); ix9488 : inv02 port map ( Y=>nx9487, A=>nx6522); ix4831 : inv02 port map ( Y=>nx4830, A=>nx9143); ix4813 : inv02 port map ( Y=>nx4812, A=>nx9012); ix4791 : inv02 port map ( Y=>nx4790, A=>nx8827); ix9282 : inv02 port map ( Y=>nx9281, A=>nx6519); ix4749 : inv02 port map ( Y=>nx4748, A=>nx8857); ix9002 : inv02 port map ( Y=>nx9001, A=>nx4428); ix9072 : inv02 port map ( Y=>nx9071, A=>nx4220); ix4209 : inv02 port map ( Y=>nx4208, A=>nx8593); ix4485 : inv02 port map ( Y=>nx6513, A=>nx8541); ix8875 : inv02 port map ( Y=>nx8874, A=>nx4142); ix4127 : inv02 port map ( Y=>nx4126, A=>nx8735); ix9150 : inv02 port map ( Y=>nx9149, A=>nx3986); ix8950 : inv02 port map ( Y=>nx8949, A=>nx3948); ix9095 : inv02 port map ( Y=>nx9094, A=>nx3912); ix4457 : inv02 port map ( Y=>nx6501, A=>nx15747); ix8536 : inv02 port map ( Y=>nx8535, A=>nx3486); ix3431 : inv02 port map ( Y=>nx3430, A=>nx8102); ix3377 : inv02 port map ( Y=>nx3376, A=>nx7891); ix3333 : inv02 port map ( Y=>nx3332, A=>nx8287); ix3259 : inv02 port map ( Y=>nx3258, A=>nx8145); ix3485 : inv02 port map ( Y=>nx6499, A=>nx8073); ix3107 : inv02 port map ( Y=>nx3106, A=>nx7985); ix3097 : inv02 port map ( Y=>nx3096, A=>nx7993); ix3085 : inv02 port map ( Y=>nx3084, A=>nx8001); ix2959 : inv02 port map ( Y=>nx2958, A=>nx8035); ix2923 : inv02 port map ( Y=>nx2922, A=>nx8061); ix8600 : inv02 port map ( Y=>nx8599, A=>nx6495); ix2831 : inv02 port map ( Y=>nx2830, A=>nx8241); ix8316 : inv02 port map ( Y=>nx8314, A=>nx2816); ix2813 : inv02 port map ( Y=>nx2812, A=>nx8109); ix2791 : inv02 port map ( Y=>nx2790, A=>nx7923); ix8385 : inv02 port map ( Y=>nx8384, A=>nx15730); ix2749 : inv02 port map ( Y=>nx2748, A=>nx7949); ix8098 : inv02 port map ( Y=>nx8097, A=>nx2428); ix8162 : inv02 port map ( Y=>nx8161, A=>nx2220); ix2209 : inv02 port map ( Y=>nx2208, A=>nx7688); ix2485 : inv02 port map ( Y=>nx6489, A=>nx7637); ix7965 : inv02 port map ( Y=>nx7964, A=>nx2142); ix2127 : inv02 port map ( Y=>nx2126, A=>nx7825); ix8250 : inv02 port map ( Y=>nx8249, A=>nx1986); ix8044 : inv02 port map ( Y=>nx8043, A=>nx1948); ix8191 : inv02 port map ( Y=>nx8190, A=>nx1912); ix2457 : inv02 port map ( Y=>nx6476, A=>nx15744); ix7628 : inv02 port map ( Y=>nx7627, A=>nx1486); ix1431 : inv02 port map ( Y=>nx1430, A=>nx7205); ix1377 : inv02 port map ( Y=>nx1376, A=>nx7031); ix1351 : inv02 port map ( Y=>nx1350, A=>nx7355); ix1295 : inv02 port map ( Y=>nx1294, A=>nx7239); ix1485 : inv02 port map ( Y=>nx6475, A=>nx7179); ix1191 : inv02 port map ( Y=>nx1190, A=>nx7113); ix1181 : inv02 port map ( Y=>nx1180, A=>nx7119); ix1169 : inv02 port map ( Y=>nx1168, A=>nx7125); ix1115 : inv02 port map ( Y=>nx1114, A=>nx7141); ix1097 : inv02 port map ( Y=>nx1096, A=>nx7150); ix1061 : inv02 port map ( Y=>nx1060, A=>nx7169); ix7694 : inv02 port map ( Y=>nx7693, A=>nx6472); ix1007 : inv02 port map ( Y=>nx1006, A=>nx7313); ix7383 : inv02 port map ( Y=>nx7382, A=>nx1000); ix997 : inv02 port map ( Y=>nx996, A=>nx7212); ix975 : inv02 port map ( Y=>nx974, A=>nx7061); ix7452 : inv02 port map ( Y=>nx7451, A=>nx15732); ix933 : inv02 port map ( Y=>nx932, A=>nx7081); ix745 : inv02 port map ( Y=>nx744, A=>nx6997); ix701 : inv02 port map ( Y=>nx700, A=>nx6693); ix6926 : inv02 port map ( Y=>nx6925, A=>nx570); ix531 : inv02 port map ( Y=>nx530, A=>nx6920); ix479 : inv02 port map ( Y=>nx478, A=>nx6863); ix381 : inv02 port map ( Y=>nx380, A=>nx6845); ix355 : inv02 port map ( Y=>nx354, A=>nx6877); ix301 : inv02 port map ( Y=>nx300, A=>nx6839); ix183 : inv02 port map ( Y=>nx182, A=>nx7311); ix123 : inv02 port map ( Y=>nx122, A=>nx6963); ix115 : inv02 port map ( Y=>nx114, A=>nx6939); ix51 : inv02 port map ( Y=>nx50, A=>nx7285); ix283 : inv02 port map ( Y=>nx6456, A=>nx6726); ix7 : inv02 port map ( Y=>nx6, A=>nx6869); ix821 : inv02 port map ( Y=>nx6453, A=>nx6745_XX0_XREP67); ix12293 : inv02 port map ( Y=>nx12292, A=>PRI_OUT_3_11_EXMPLR); ix13195 : inv02 port map ( Y=>nx13194, A=>PRI_OUT_3_13_EXMPLR); ix1225 : inv02 port map ( Y=>PRI_OUT_0(1), A=>nx7499); ix3141 : inv02 port map ( Y=>PRI_OUT_0(3), A=>nx8425); ix5141 : inv02 port map ( Y=>PRI_OUT_0(5), A=>nx9325); ix7141 : inv02 port map ( Y=>PRI_OUT_0(7), A=>nx10235); ix9141 : inv02 port map ( Y=>PRI_OUT_0(9), A=>nx11137); ix11141 : inv02 port map ( Y=>PRI_OUT_0(11), A=>nx12051); ix13141 : inv02 port map ( Y=>PRI_OUT_0(13), A=>nx12946); ix14626 : buf02 port map ( Y=>nx14627, A=>PRI_OUT_3_15_EXMPLR); ix14628 : buf02 port map ( Y=>nx14629, A=>PRI_OUT_3_15_EXMPLR); ix14630 : buf02 port map ( Y=>nx14631, A=>PRI_OUT_3_14_EXMPLR); ix14632 : buf02 port map ( Y=>nx14633, A=>PRI_OUT_3_14_EXMPLR); ix14634 : inv02 port map ( Y=>nx14635, A=>nx14859); ix14636 : inv02 port map ( Y=>nx14637, A=>nx14859); ix14638 : buf02 port map ( Y=>nx14639, A=>PRI_OUT_3_12_EXMPLR); ix14640 : buf02 port map ( Y=>nx14641, A=>PRI_OUT_3_12_EXMPLR); ix14642 : inv02 port map ( Y=>nx14643, A=>nx14857); ix14644 : inv02 port map ( Y=>nx14645, A=>nx14857); ix14648 : buf02 port map ( Y=>nx14649, A=>PRI_OUT_3_10_EXMPLR); ix14650 : inv02 port map ( Y=>nx14651, A=>nx15751); ix14652 : inv02 port map ( Y=>nx14653, A=>nx14855); ix14658 : inv02 port map ( Y=>nx14659, A=>nx14853); ix14660 : inv02 port map ( Y=>nx14661, A=>nx14853); ix14666 : inv02 port map ( Y=>nx14667, A=>nx14851); ix14668 : inv02 port map ( Y=>nx14669, A=>nx14851); ix14674 : inv02 port map ( Y=>nx14675, A=>nx14849); ix14676 : inv02 port map ( Y=>nx14677, A=>nx14849); ix14682 : inv02 port map ( Y=>nx14683, A=>nx16399); ix14686 : mux21 port map ( Y=>nx14687, A0=>nx6685_XX0_XREP239, A1=>nx6995, S0=>C_MUX2_14_SEL); REG_28_reg_q_13_rep_1 : dff port map ( Q=>nx14691, QB=>OPEN, D=>nx13418, CLK=>CLK); REG_28_reg_q_11_rep_1 : dff port map ( Q=>nx14693, QB=>OPEN, D=>nx11418, CLK=>CLK); REG_28_reg_q_9_rep_1 : dff port map ( Q=>nx14695, QB=>OPEN, D=>nx9418, CLK=>CLK); REG_28_reg_q_7_rep_1 : dff port map ( Q=>nx14697, QB=>OPEN, D=>nx7418, CLK=>CLK); REG_28_reg_q_3_rep_1 : dff port map ( Q=>nx14701, QB=>OPEN, D=>nx3418, CLK=>CLK); REG_28_reg_q_1_rep_1 : dff port map ( Q=>nx14703, QB=>OPEN, D=>nx1418, CLK=>CLK); ix14708 : ao21 port map ( Y=>nx14709, A0=>nx16385, A1=>reg_6_q_c_4, B0=> nx3776); ix14710 : ao21 port map ( Y=>nx14711, A0=>nx16385, A1=>reg_6_q_c_6, B0=> nx5776); ix14712 : ao21 port map ( Y=>nx14713, A0=>nx16385, A1=>reg_6_q_c_8, B0=> nx7776); ix14718 : ao21 port map ( Y=>nx14719, A0=>nx16445, A1=>reg_6_q_c_14, B0=> nx13776); ix14720 : inv02 port map ( Y=>nx14721, A=>PRI_IN_5(0)); ix14732 : inv02 port map ( Y=>nx14733, A=>C_MUX2_11_SEL); ix14736 : mux21 port map ( Y=>nx14737, A0=>reg_6_q_c_0, A1=>nx114, S0=> C_MUX2_20_SEL); ix14738 : inv02 port map ( Y=>nx14739, A=>C_MUX2_12_SEL); ix14744 : inv02 port map ( Y=>nx14745, A=>C_MUX2_12_SEL); ix14746 : inv02 port map ( Y=>nx14747, A=>C_MUX2_12_SEL); ix14748 : inv02 port map ( Y=>nx14749, A=>C_MUX2_12_SEL); ix14756 : inv02 port map ( Y=>nx14757, A=>C_MUX2_14_SEL); ix14758 : inv02 port map ( Y=>nx14759, A=>C_MUX2_14_SEL); ix14762 : inv02 port map ( Y=>nx14763, A=>C_MUX2_15_SEL); ix14764 : inv02 port map ( Y=>nx14765, A=>C_MUX2_15_SEL); ix14766 : inv02 port map ( Y=>nx14767, A=>C_MUX2_15_SEL); ix14774 : inv02 port map ( Y=>nx14775, A=>C_MUX2_20_SEL); ix14780 : inv02 port map ( Y=>nx14781, A=>C_MUX2_20_SEL); ix14788 : buf02 port map ( Y=>nx14789, A=>nx7609); ix14794 : buf02 port map ( Y=>nx14795, A=>nx8517); ix14816 : buf02 port map ( Y=>nx14817, A=>nx12136); ix14822 : buf02 port map ( Y=>nx14823, A=>nx13037); ix14824 : buf02 port map ( Y=>nx14825, A=>nx13037); ix807 : xor2 port map ( Y=>nx806, A0=>nx6765, A1=>nx6766); ix793 : xor2 port map ( Y=>nx792, A0=>nx6741, A1=>nx6755); ix83 : xor2 port map ( Y=>nx82, A0=>PRI_IN_9(0), A1=>nx15742); ix447 : xor2 port map ( Y=>nx446, A0=>reg_50_q_c_0, A1=>nx16012); ix6840 : and02 port map ( Y=>nx6839, A0=>nx6791, A1=>PRI_IN_1(0)); ix6878 : and02 port map ( Y=>nx6877, A0=>nx6821, A1=>PRI_IN_0(0)); ix7008 : xor2 port map ( Y=>nx7007, A0=>nx7009, A1=>nx16396); ix1399 : xor2 port map ( Y=>nx1398, A0=>PRI_IN_0(1), A1=>nx16401); ix1367 : xor2 port map ( Y=>nx1366, A0=>PRI_IN_5(1), A1=>PRI_OUT_3_dup0_1 ); ix985 : xor2 port map ( Y=>nx984, A0=>PRI_IN_2(1), A1=>nx7067); ix7090 : xor2 port map ( Y=>nx7089, A0=>nx7091, A1=>\[50549__XX0_XREP17\ ); ix1227 : xor2 port map ( Y=>nx1226, A0=>PRI_IN_5(1), A1=>nx7499); ix1153 : xor2 port map ( Y=>nx1152, A0=>PRI_IN_6(1), A1=>nx7326); ix1143 : xor2 port map ( Y=>nx1142, A0=>PRI_IN_2(1), A1=>nx7325); ix1111 : and02 port map ( Y=>nx1110, A0=>C_MUX2_12_SEL, A1=>nx16396); ix7191 : xor2 port map ( Y=>nx7190, A0=>nx7192_XX0_XREP87, A1=> \[50549__XX0_XREP17\); ix7220 : xor2 port map ( Y=>nx7219, A0=>nx7265, A1=>nx7179); ix7232 : xor2 port map ( Y=>nx7231, A0=>nx7241, A1=>nx7071); ix911 : xor2 port map ( Y=>nx910, A0=>reg_44_q_c_1, A1=>nx15739); ix1125 : xor2 port map ( Y=>nx1124, A0=>PRI_IN_0(1), A1=>nx7325); ix7412 : xor2 port map ( Y=>nx7411, A0=>PRI_IN_4(2), A1=>nx7423); ix7446 : xor2 port map ( Y=>nx7445, A0=>PRI_IN_1(2), A1=>nx7464); ix7484 : xor2 port map ( Y=>nx7483, A0=>PRI_IN_9(2), A1=>nx7841); ix7524 : xor2 port map ( Y=>nx7523, A0=>PRI_IN_8(2), A1=>nx7821); ix2059 : xor2 port map ( Y=>nx2058, A0=>PRI_IN_2(2), A1=>nx7815); ix7592 : xor2 port map ( Y=>nx7591, A0=>PRI_IN_5(2), A1=>nx7605); ix1949 : xor2 port map ( Y=>nx1948, A0=>PRI_IN_1(2), A1=>nx7841); ix2469 : xor2 port map ( Y=>nx2468, A0=>nx7683, A1=>nx2220); ix7674 : xor2 port map ( Y=>nx7673, A0=>nx7683, A1=>nx2428); ix1913 : xor2 port map ( Y=>nx1912, A0=>nx7763, A1=>nx15738); ix1879 : xor2 port map ( Y=>nx1878, A0=>nx7763, A1=>nx6484); ix7804 : xor2 port map ( Y=>nx7803, A0=>PRI_IN_5(2), A1=>nx7811); ix1987 : xor2 port map ( Y=>nx1986, A0=>PRI_IN_0(2), A1=>nx7815); ix7852 : xor2 port map ( Y=>nx7851, A0=>PRI_IN_8(2), A1=>nx7861); ix7875 : xor2 port map ( Y=>nx7874, A0=>nx7876, A1=>nx14835); ix3399 : xor2 port map ( Y=>nx3398, A0=>PRI_IN_0(3), A1=>nx14849); ix3367 : xor2 port map ( Y=>nx3366, A0=>PRI_IN_5(3), A1=>nx8685); ix2801 : xor2 port map ( Y=>nx2800, A0=>PRI_IN_2(3), A1=>nx7931); ix2759 : xor2 port map ( Y=>nx2758, A0=>nx8280, A1=>nx15730); ix7956 : xor2 port map ( Y=>nx7955, A0=>nx7957, A1=>nx6492); ix3143 : xor2 port map ( Y=>nx3142, A0=>PRI_IN_5(3), A1=>nx8425); ix3069 : xor2 port map ( Y=>nx3068, A0=>PRI_IN_6(3), A1=>nx8259); ix3059 : xor2 port map ( Y=>nx3058, A0=>PRI_IN_2(3), A1=>nx8257); ix2973 : and02 port map ( Y=>nx2972, A0=>C_MUX2_12_SEL, A1=> nx6492_XX0_XREP45); ix8086 : xor2 port map ( Y=>nx8085, A0=>nx8087_XX0_XREP123, A1=>nx6492); ix8122 : xor2 port map ( Y=>nx8121, A0=>nx8179, A1=>nx8073); ix8137 : xor2 port map ( Y=>nx8136, A0=>nx8147, A1=>nx7935); ix8146 : xor2 port map ( Y=>nx8145, A0=>nx14701, A1=>nx8685_XX0_XREP115); ix2681 : xor2 port map ( Y=>nx2680, A0=>reg_44_q_c_3, A1=>nx6492); ix2671 : xor2 port map ( Y=>nx2670, A0=>PRI_IN_3(3), A1=>nx8685); ix2987 : xor2 port map ( Y=>nx2986, A0=>PRI_IN_0(3), A1=>nx8257); ix8344 : xor2 port map ( Y=>nx8343, A0=>PRI_IN_4(4), A1=>nx8355); ix8378 : xor2 port map ( Y=>nx8377, A0=>PRI_IN_1(4), A1=>nx8389); ix8410 : xor2 port map ( Y=>nx8409, A0=>PRI_IN_9(4), A1=>nx8751); ix8446 : xor2 port map ( Y=>nx8445, A0=>PRI_IN_8(4), A1=>nx8731); ix4059 : xor2 port map ( Y=>nx4058, A0=>PRI_IN_2(4), A1=>nx8726); ix8502 : xor2 port map ( Y=>nx8501, A0=>PRI_IN_5(4), A1=>nx8513); ix3949 : xor2 port map ( Y=>nx3948, A0=>PRI_IN_1(4), A1=>nx8751); ix4469 : xor2 port map ( Y=>nx4468, A0=>nx8587, A1=>nx4220); ix8577 : xor2 port map ( Y=>nx8576, A0=>nx8587, A1=>nx4428); ix3913 : xor2 port map ( Y=>nx3912, A0=>nx8673, A1=>nx15737); ix3879 : xor2 port map ( Y=>nx3878, A0=>nx8673, A1=>nx6507); ix8714 : xor2 port map ( Y=>nx8713, A0=>PRI_IN_5(4), A1=>nx8723); ix3987 : xor2 port map ( Y=>nx3986, A0=>PRI_IN_0(4), A1=>nx8726); ix8760 : xor2 port map ( Y=>nx8759, A0=>PRI_IN_8(4), A1=>nx8767); ix8782 : xor2 port map ( Y=>nx8781, A0=>nx8783, A1=>nx14837); ix5399 : xor2 port map ( Y=>nx5398, A0=>PRI_IN_0(5), A1=>nx14851); ix5367 : xor2 port map ( Y=>nx5366, A0=>PRI_IN_5(5), A1=>nx9575); ix4801 : xor2 port map ( Y=>nx4800, A0=>PRI_IN_2(5), A1=>nx8837); ix4759 : xor2 port map ( Y=>nx4758, A0=>nx9179, A1=>nx6519); ix8866 : xor2 port map ( Y=>nx8865, A0=>nx8867, A1=>nx16406); ix5143 : xor2 port map ( Y=>nx5142, A0=>PRI_IN_5(5), A1=>nx9325); ix5069 : xor2 port map ( Y=>nx5068, A0=>PRI_IN_6(5), A1=>nx9157); ix5059 : xor2 port map ( Y=>nx5058, A0=>PRI_IN_2(5), A1=>nx9155); ix9028 : xor2 port map ( Y=>nx9027, A0=>nx9085, A1=>nx8977); ix9046 : xor2 port map ( Y=>nx9045, A0=>nx9057, A1=>nx8841); ix9056 : xor2 port map ( Y=>nx9055, A0=>nx14699, A1=>nx9575_XX0_XREP153); ix4681 : xor2 port map ( Y=>nx4680, A0=>reg_44_q_c_5, A1=>nx16406); ix4671 : xor2 port map ( Y=>nx4670, A0=>PRI_IN_3(5), A1=>nx9575); ix4987 : xor2 port map ( Y=>nx4986, A0=>PRI_IN_0(5), A1=>nx9155); ix9244 : xor2 port map ( Y=>nx9243, A0=>PRI_IN_4(6), A1=>nx9255); ix9275 : xor2 port map ( Y=>nx9274, A0=>PRI_IN_1(6), A1=>nx9288); ix9308 : xor2 port map ( Y=>nx9307, A0=>PRI_IN_9(6), A1=>nx9644); ix9342 : xor2 port map ( Y=>nx9341, A0=>PRI_IN_8(6), A1=>nx9625); ix6059 : xor2 port map ( Y=>nx6058, A0=>PRI_IN_2(6), A1=>nx9618); ix9398 : xor2 port map ( Y=>nx9397, A0=>PRI_IN_5(6), A1=>nx9408); ix5949 : xor2 port map ( Y=>nx5948, A0=>PRI_IN_1(6), A1=>nx9644); ix6469 : xor2 port map ( Y=>nx6468, A0=>nx9474, A1=>nx6220); ix9465 : xor2 port map ( Y=>nx9464, A0=>nx9474, A1=>nx6428); ix5913 : xor2 port map ( Y=>nx5912, A0=>nx9559, A1=>nx15736); ix5879 : xor2 port map ( Y=>nx5878, A0=>nx9559, A1=>nx6537); ix9606 : xor2 port map ( Y=>nx9605, A0=>PRI_IN_5(6), A1=>nx9615); ix5987 : xor2 port map ( Y=>nx5986, A0=>PRI_IN_0(6), A1=>nx9618); ix9656 : xor2 port map ( Y=>nx9655, A0=>PRI_IN_8(6), A1=>nx9665); ix9678 : xor2 port map ( Y=>nx9677, A0=>nx9679, A1=>nx14839); ix7399 : xor2 port map ( Y=>nx7398, A0=>PRI_IN_0(7), A1=>nx14853); ix7367 : xor2 port map ( Y=>nx7366, A0=>PRI_IN_5(7), A1=>nx10478); ix6801 : xor2 port map ( Y=>nx6800, A0=>PRI_IN_2(7), A1=>nx9740); ix6759 : xor2 port map ( Y=>nx6758, A0=>nx10091, A1=>nx6549); ix9766 : xor2 port map ( Y=>nx9765, A0=>nx9767, A1=>nx6547_XX0_XREP31); ix7143 : xor2 port map ( Y=>nx7142, A0=>PRI_IN_5(7), A1=>nx10235); ix7069 : xor2 port map ( Y=>nx7068, A0=>PRI_IN_6(7), A1=>nx10071); ix7059 : xor2 port map ( Y=>nx7058, A0=>PRI_IN_2(7), A1=>nx10069); ix6973 : and02 port map ( Y=>nx6972, A0=>C_MUX2_12_SEL, A1=> nx6547_XX0_XREP31); ix9933 : xor2 port map ( Y=>nx9932, A0=>nx9985, A1=>nx9879); ix9947 : xor2 port map ( Y=>nx9946, A0=>nx9956, A1=>nx9743); ix9955 : xor2 port map ( Y=>nx9954, A0=>nx16419, A1=>nx10478_XX0_XREP195 ); ix6681 : xor2 port map ( Y=>nx6680, A0=>reg_44_q_c_7, A1=>nx6547); ix6671 : xor2 port map ( Y=>nx6670, A0=>PRI_IN_3(7), A1=>nx10478); ix6987 : xor2 port map ( Y=>nx6986, A0=>PRI_IN_0(7), A1=>nx10069); ix10152 : xor2 port map ( Y=>nx10151, A0=>PRI_IN_4(8), A1=>nx10163); ix10188 : xor2 port map ( Y=>nx10187, A0=>PRI_IN_1(8), A1=>nx10199); ix10220 : xor2 port map ( Y=>nx10219, A0=>PRI_IN_9(8), A1=>nx10547); ix10258 : xor2 port map ( Y=>nx10257, A0=>PRI_IN_8(8), A1=>nx10527); ix8059 : xor2 port map ( Y=>nx8058, A0=>PRI_IN_2(8), A1=>nx10521); ix10316 : xor2 port map ( Y=>nx10314, A0=>PRI_IN_5(8), A1=>nx10327); ix7949 : xor2 port map ( Y=>nx7948, A0=>PRI_IN_1(8), A1=>nx10547); ix8469 : xor2 port map ( Y=>nx8468, A0=>nx10391, A1=>nx8220); ix10382 : xor2 port map ( Y=>nx10381, A0=>nx10391, A1=>nx8428); ix7913 : xor2 port map ( Y=>nx7912, A0=>nx10466, A1=>nx15735); ix7879 : xor2 port map ( Y=>nx7878, A0=>nx10466, A1=>nx6563); ix10508 : xor2 port map ( Y=>nx10507, A0=>PRI_IN_5(8), A1=>nx10517); ix7987 : xor2 port map ( Y=>nx7986, A0=>PRI_IN_0(8), A1=>nx10521); ix10560 : xor2 port map ( Y=>nx10559, A0=>PRI_IN_8(8), A1=>nx10569); ix10582 : xor2 port map ( Y=>nx10581, A0=>nx10583, A1=>nx14841); ix9399 : xor2 port map ( Y=>nx9398, A0=>PRI_IN_0(9), A1=>nx14855); ix9367 : xor2 port map ( Y=>nx9366, A0=>PRI_IN_5(9), A1=>nx15752); ix8801 : xor2 port map ( Y=>nx8800, A0=>PRI_IN_2(9), A1=>nx10644); ix8759 : xor2 port map ( Y=>nx8758, A0=>nx10990, A1=>nx15729); ix10674 : xor2 port map ( Y=>nx10673, A0=>nx10675, A1=>nx15750); ix9143 : xor2 port map ( Y=>nx9142, A0=>PRI_IN_5(9), A1=>nx11137); ix9069 : xor2 port map ( Y=>nx9068, A0=>PRI_IN_6(9), A1=>nx10967); ix9059 : xor2 port map ( Y=>nx9058, A0=>PRI_IN_2(9), A1=>nx10966); ix8973 : and02 port map ( Y=>nx8972, A0=>C_MUX2_12_SEL, A1=>nx6574); ix10837 : xor2 port map ( Y=>nx10836, A0=>nx10893, A1=>nx10788); ix10852 : xor2 port map ( Y=>nx10851, A0=>nx10863, A1=>nx10647); ix10862 : xor2 port map ( Y=>nx10861, A0=>nx16430, A1=>nx11386); ix8681 : xor2 port map ( Y=>nx8680, A0=>reg_44_q_c_9, A1=>nx15750); ix8671 : xor2 port map ( Y=>nx8670, A0=>PRI_IN_3(9), A1=>nx15752); ix8987 : xor2 port map ( Y=>nx8986, A0=>PRI_IN_0(9), A1=>nx10966); ix11060 : xor2 port map ( Y=>nx11059, A0=>PRI_IN_4(10), A1=>nx11069); ix11090 : xor2 port map ( Y=>nx11089, A0=>PRI_IN_1(10), A1=>nx11102); ix11122 : xor2 port map ( Y=>nx11121, A0=>PRI_IN_9(10), A1=>nx11449); ix11158 : xor2 port map ( Y=>nx11157, A0=>PRI_IN_8(10), A1=>nx11434); ix10059 : xor2 port map ( Y=>nx10058, A0=>PRI_IN_2(10), A1=>nx11427); ix11217 : xor2 port map ( Y=>nx11216, A0=>PRI_IN_5(10), A1=>nx11227); ix9949 : xor2 port map ( Y=>nx9948, A0=>PRI_IN_1(10), A1=>nx11449); ix10469 : xor2 port map ( Y=>nx10468, A0=>nx11293, A1=>nx10220); ix11286 : xor2 port map ( Y=>nx11285, A0=>nx11293, A1=>nx10428); ix9913 : xor2 port map ( Y=>nx9912, A0=>nx11374, A1=>nx9816); ix9879 : xor2 port map ( Y=>nx9878, A0=>nx11374, A1=>nx6591); ix11416 : xor2 port map ( Y=>nx11415, A0=>PRI_IN_5(10), A1=>nx11424); ix9987 : xor2 port map ( Y=>nx9986, A0=>PRI_IN_0(10), A1=>nx11427); ix11460 : xor2 port map ( Y=>nx11459, A0=>PRI_IN_8(10), A1=>nx11467); ix11479 : xor2 port map ( Y=>nx11478, A0=>nx11481, A1=>nx14843); ix11399 : xor2 port map ( Y=>nx11398, A0=>PRI_IN_0(11), A1=>nx14857); ix11367 : xor2 port map ( Y=>nx11366, A0=>PRI_IN_5(11), A1=>nx12292); ix10801 : xor2 port map ( Y=>nx10800, A0=>PRI_IN_2(11), A1=>nx11542); ix10759 : xor2 port map ( Y=>nx10758, A0=>nx11905, A1=>nx15725); ix11572 : xor2 port map ( Y=>nx11571, A0=>nx11573, A1=>nx15749); ix11143 : xor2 port map ( Y=>nx11142, A0=>PRI_IN_5(11), A1=>nx12051); ix11069 : xor2 port map ( Y=>nx11068, A0=>PRI_IN_6(11), A1=>nx11882); ix11059 : xor2 port map ( Y=>nx11058, A0=>PRI_IN_2(11), A1=>nx11881); ix10973 : and02 port map ( Y=>nx10972, A0=>C_MUX2_12_SEL, A1=>nx6600); ix11708 : xor2 port map ( Y=>nx11707, A0=>nx11709, A1=>nx15749); ix11752 : xor2 port map ( Y=>nx11751, A0=>nx11803, A1=>nx11693); ix11765 : xor2 port map ( Y=>nx11764, A0=>nx11773, A1=>nx11545); ix11772 : xor2 port map ( Y=>nx11771, A0=>nx14693, A1=>nx12292); ix10681 : xor2 port map ( Y=>nx10680, A0=>reg_44_q_c_11, A1=>nx15749); ix10671 : xor2 port map ( Y=>nx10670, A0=>PRI_IN_3(11), A1=>nx12292); ix10987 : xor2 port map ( Y=>nx10986, A0=>PRI_IN_0(11), A1=>nx11881); ix11965 : xor2 port map ( Y=>nx11964, A0=>PRI_IN_4(12), A1=>nx11975); ix11995 : xor2 port map ( Y=>nx11994, A0=>PRI_IN_1(12), A1=>nx12009); ix12034 : xor2 port map ( Y=>nx12033, A0=>PRI_IN_9(12), A1=>nx12353); ix12072 : xor2 port map ( Y=>nx12071, A0=>PRI_IN_8(12), A1=>nx12336); ix12059 : xor2 port map ( Y=>nx12058, A0=>PRI_IN_2(12), A1=>nx12330); ix12122 : xor2 port map ( Y=>nx12121, A0=>PRI_IN_5(12), A1=>nx12133); ix11949 : xor2 port map ( Y=>nx11948, A0=>PRI_IN_1(12), A1=>nx12353); ix12469 : xor2 port map ( Y=>nx12468, A0=>nx12198, A1=>nx12220); ix12190 : xor2 port map ( Y=>nx12189, A0=>nx12198, A1=>nx12428); ix11913 : xor2 port map ( Y=>nx11912, A0=>nx12279, A1=>nx11816); ix11879 : xor2 port map ( Y=>nx11878, A0=>nx12279, A1=>nx6619); ix12320 : xor2 port map ( Y=>nx12319, A0=>PRI_IN_5(12), A1=>nx12328); ix11987 : xor2 port map ( Y=>nx11986, A0=>PRI_IN_0(12), A1=>nx12330); ix12364 : xor2 port map ( Y=>nx12363, A0=>PRI_IN_8(12), A1=>nx12372); ix12384 : xor2 port map ( Y=>nx12383, A0=>nx12385, A1=>nx14845); ix13399 : xor2 port map ( Y=>nx13398, A0=>PRI_IN_0(13), A1=>nx14859); ix13367 : xor2 port map ( Y=>nx13366, A0=>PRI_IN_5(13), A1=>nx13194); ix12801 : xor2 port map ( Y=>nx12800, A0=>PRI_IN_2(13), A1=>nx12438); ix12759 : xor2 port map ( Y=>nx12758, A0=>nx12801, A1=>nx6631); ix12462 : xor2 port map ( Y=>nx12461, A0=>nx12463, A1=>nx16450); ix13143 : xor2 port map ( Y=>nx13142, A0=>PRI_IN_5(13), A1=>nx12946); ix13069 : xor2 port map ( Y=>nx13068, A0=>PRI_IN_6(13), A1=>nx12779); ix13059 : xor2 port map ( Y=>nx13058, A0=>PRI_IN_2(13), A1=>nx12778); ix12973 : and02 port map ( Y=>nx12972, A0=>C_MUX2_12_SEL, A1=>nx16450); ix12640 : xor2 port map ( Y=>nx12639, A0=>nx12695, A1=>nx12581); ix12658 : xor2 port map ( Y=>nx12657, A0=>nx12669, A1=>nx12441); ix12668 : xor2 port map ( Y=>nx12667, A0=>nx14691, A1=>nx13194); ix12681 : xor2 port map ( Y=>nx12680, A0=>reg_44_q_c_13, A1=>nx16450); ix12671 : xor2 port map ( Y=>nx12670, A0=>PRI_IN_3(13), A1=>nx13194); ix12987 : xor2 port map ( Y=>nx12986, A0=>PRI_IN_0(13), A1=>nx12778); ix12866 : xor2 port map ( Y=>nx12865, A0=>PRI_IN_4(14), A1=>nx12876); ix12896 : xor2 port map ( Y=>nx12895, A0=>PRI_IN_1(14), A1=>nx12911); ix12932 : xor2 port map ( Y=>nx12931, A0=>PRI_IN_9(14), A1=>nx13259); ix12964 : xor2 port map ( Y=>nx12963, A0=>PRI_IN_8(14), A1=>nx13239); ix14059 : xor2 port map ( Y=>nx14058, A0=>PRI_IN_2(14), A1=>nx13234); ix13022 : xor2 port map ( Y=>nx13021, A0=>PRI_IN_5(14), A1=>nx13033); ix13949 : xor2 port map ( Y=>nx13948, A0=>PRI_IN_1(14), A1=>nx13259); ix14469 : xor2 port map ( Y=>nx14468, A0=>nx13102, A1=>nx14220); ix13093 : xor2 port map ( Y=>nx13092, A0=>nx13102, A1=>nx14428); ix13913 : xor2 port map ( Y=>nx13912, A0=>nx13181, A1=>nx13816); ix13879 : xor2 port map ( Y=>nx13878, A0=>nx13181, A1=>nx6647); ix13224 : xor2 port map ( Y=>nx13223, A0=>PRI_IN_5(14), A1=>nx13231); ix13987 : xor2 port map ( Y=>nx13986, A0=>PRI_IN_0(14), A1=>nx13234); ix13270 : xor2 port map ( Y=>nx13269, A0=>PRI_IN_8(14), A1=>nx13277); ix13344 : xor2 port map ( Y=>nx13343, A0=>PRI_IN_6(15), A1=>nx6659); ix13356 : xor2 port map ( Y=>nx13355, A0=>reg_31_q_c_15, A1=>nx6659); ix13594 : xor2 port map ( Y=>nx13593, A0=>PRI_IN_4(15), A1=>nx6659); ix13636 : xor2 port map ( Y=>nx13635, A0=>reg_44_q_c_15, A1=>nx14861); ix13670 : xor2 port map ( Y=>nx13669, A0=>PRI_IN_5(15), A1=>nx13681); ix15503 : xor2 port map ( Y=>nx15502, A0=>PRI_IN_1(0), A1=>PRI_IN_5(0)); ix15833 : xor2 port map ( Y=>nx15832, A0=>nx13911, A1=>nx1390); ix15949 : xor2 port map ( Y=>nx15948, A0=>nx13954, A1=>nx3390); ix16065 : xor2 port map ( Y=>nx16064, A0=>nx13995, A1=>nx5390); ix16181 : xor2 port map ( Y=>nx16180, A0=>nx14049, A1=>nx7390); ix16297 : xor2 port map ( Y=>nx16296, A0=>nx14094, A1=>nx9390); ix16413 : xor2 port map ( Y=>nx16412, A0=>nx14139, A1=>nx11390); ix16529 : xor2 port map ( Y=>nx16528, A0=>nx14187, A1=>nx13390); ix14230 : xor2 port map ( Y=>nx14229, A0=>PRI_IN_6(15), A1=>PRI_IN_1(15) ); ix17235 : xor2 port map ( Y=>nx17234, A0=>reg_14_q_c_1, A1=> PRI_OUT_3_dup0_1); ix17275 : xor2 port map ( Y=>nx17274, A0=>reg_14_q_c_3, A1=>nx8685); ix17315 : xor2 port map ( Y=>nx17314, A0=>reg_14_q_c_5, A1=>nx9575); ix17355 : xor2 port map ( Y=>nx17354, A0=>reg_14_q_c_7, A1=>nx10478); ix17395 : xor2 port map ( Y=>nx17394, A0=>reg_14_q_c_9, A1=>nx15752); ix17435 : xor2 port map ( Y=>nx17434, A0=>reg_14_q_c_11, A1=>nx12292); ix17475 : xor2 port map ( Y=>nx17474, A0=>reg_14_q_c_13, A1=>nx13194); ix14834 : inv02 port map ( Y=>nx14835, A=>nx8051); ix14848 : inv02 port map ( Y=>nx14849, A=>PRI_OUT_3_3_EXMPLR); ix14850 : inv02 port map ( Y=>nx14851, A=>PRI_OUT_3_5_EXMPLR); ix14852 : inv02 port map ( Y=>nx14853, A=>PRI_OUT_3_7_EXMPLR); ix14856 : inv02 port map ( Y=>nx14857, A=>PRI_OUT_3_11_EXMPLR); ix14858 : inv02 port map ( Y=>nx14859, A=>PRI_OUT_3_13_EXMPLR); ix14860 : buf02 port map ( Y=>nx14861, A=>nx13459); ix10779 : ao21 port map ( Y=>nx6601, A0=>nx16445, A1=>reg_6_q_c_11, B0=> nx10776); REG_6_reg_q_11 : dff port map ( Q=>reg_6_q_c_11, QB=>OPEN, D=>nx10802, CLK=>CLK); ix10777 : nor02 port map ( Y=>nx10776, A0=>nx16446, A1=>nx11545); ix14714 : ao21 port map ( Y=>nx14715, A0=>nx16385, A1=>reg_6_q_c_10, B0=> nx9776); REG_6_reg_q_10 : dff port map ( Q=>reg_6_q_c_10, QB=>OPEN, D=>nx9802, CLK =>CLK); ix9777 : nor02 port map ( Y=>nx9776, A0=>nx14777, A1=>nx11107); ix8779 : ao21 port map ( Y=>nx6575, A0=>nx14777, A1=>reg_6_q_c_9, B0=> nx8776); ix14776 : inv02 port map ( Y=>nx14777, A=>C_MUX2_20_SEL); REG_6_reg_q_9 : dff port map ( Q=>reg_6_q_c_9, QB=>OPEN, D=>nx8802, CLK=> CLK); ix8777 : nor02 port map ( Y=>nx8776, A0=>nx14777, A1=>nx10647); REG_6_reg_q_3 : dff port map ( Q=>reg_6_q_c_3, QB=>OPEN, D=>nx2802, CLK=> CLK); REG_6_reg_q_2 : dff port map ( Q=>reg_6_q_c_2, QB=>OPEN, D=>nx1802, CLK=> CLK); REG_6_reg_q_1 : dff port map ( Q=>reg_6_q_c_1, QB=>OPEN, D=>nx986, CLK=> CLK); ix961 : nor02 port map ( Y=>nx960, A0=>nx16385, A1=>nx7071); ix10817 : ao21 port map ( Y=>nx10816, A0=>nx14731, A1=>reg_10_q_c_11, B0 =>nx10814); REG_10_reg_q_11 : dff port map ( Q=>reg_10_q_c_11, QB=>OPEN, D=>nx10682, CLK=>CLK); ix10815 : nor02 port map ( Y=>nx10814, A0=>nx14731, A1=>nx11737); ix9817 : ao21 port map ( Y=>nx9816, A0=>nx14731, A1=>reg_10_q_c_10, B0=> nx9814); ix14730 : inv02 port map ( Y=>nx14731, A=>C_MUX2_11_SEL); REG_10_reg_q_10 : dff port map ( Q=>reg_10_q_c_10, QB=>OPEN, D=>nx9682, CLK=>CLK); ix9815 : nor02 port map ( Y=>nx9814, A0=>nx14731, A1=>nx11290); ix9817_0_XREP23 : ao21 port map ( Y=>nx9816_XX0_XREP23, A0=>nx14731, A1=> reg_10_q_c_10, B0=>nx9814); ix8817 : ao21 port map ( Y=>nx8816, A0=>nx14729, A1=>reg_10_q_c_9, B0=> nx8814); REG_10_reg_q_9 : dff port map ( Q=>reg_10_q_c_9, QB=>OPEN, D=>nx8682, CLK =>CLK); ix8815 : nor02 port map ( Y=>nx8814, A0=>nx14729, A1=>nx10822); ix7817 : ao21 port map ( Y=>nx7816, A0=>nx14729, A1=>reg_10_q_c_8, B0=> nx7814); ix14728 : inv02 port map ( Y=>nx14729, A=>C_MUX2_11_SEL); REG_10_reg_q_8 : dff port map ( Q=>reg_10_q_c_8, QB=>OPEN, D=>nx7682, CLK =>CLK); ix7815 : nor02 port map ( Y=>nx7814, A0=>nx14729, A1=>nx10386); ix14804_0_XREP29 : buf02 port map ( Y=>nx14805_XX0_XREP29, A=>nx16420); ix6937 : inv02 port map ( Y=>nx6547, A=>nx9855); ix6937_0_XREP31 : inv02 port map ( Y=>nx6547_XX0_XREP31, A=> nx9855_XX0_XREP251); ix5817 : ao21 port map ( Y=>nx5816, A0=>nx14727, A1=>reg_10_q_c_6, B0=> nx5814); REG_10_reg_q_6 : dff port map ( Q=>reg_10_q_c_6, QB=>OPEN, D=>nx5682, CLK =>CLK); ix5815 : nor02 port map ( Y=>nx5814, A0=>nx14727, A1=>nx9471); ix14798 : buf02 port map ( Y=>nx14799, A=>nx9411); ix9412 : mux21 port map ( Y=>nx9411, A0=>reg_12_q_c_6, A1=>nx5890, S0=> C_MUX2_3_SEL); ix14798_0_XREP35 : buf02 port map ( Y=>nx14799_XX0_XREP35, A=>nx9411); ix4937 : inv02 port map ( Y=>nx6517, A=>nx8956); ix8957 : mux21 port map ( Y=>nx8956, A0=>reg_12_q_c_5, A1=>nx4890, S0=> C_MUX2_3_SEL); ix4937_0_XREP37 : inv02 port map ( Y=>nx6517_XX0_XREP37, A=>nx8956); ix3817 : ao21 port map ( Y=>nx3816, A0=>nx16378, A1=>reg_10_q_c_4, B0=> nx3814); REG_10_reg_q_4 : dff port map ( Q=>reg_10_q_c_4, QB=>OPEN, D=>nx3682, CLK =>CLK); ix3815 : nor02 port map ( Y=>nx3814, A0=>nx16378, A1=>nx8582); ix14792 : buf02 port map ( Y=>nx14793, A=>nx8517); ix8518 : mux21 port map ( Y=>nx8517, A0=>reg_12_q_c_4, A1=>nx3890, S0=> C_MUX2_3_SEL); ix14792_0_XREP41 : buf02 port map ( Y=>nx14793_XX0_XREP41, A=>nx8517); ix2817 : ao21 port map ( Y=>nx2816, A0=>nx16378, A1=>reg_10_q_c_3, B0=> nx2814); REG_10_reg_q_3 : dff port map ( Q=>reg_10_q_c_3, QB=>OPEN, D=>nx2682, CLK =>CLK); ix2937 : inv02 port map ( Y=>nx6492, A=>nx8051); ix8052 : mux21 port map ( Y=>nx8051, A0=>reg_12_q_c_3, A1=>nx2890, S0=> C_MUX2_3_SEL); ix2937_0_XREP45 : inv02 port map ( Y=>nx6492_XX0_XREP45, A=>nx8051); REG_10_reg_q_2 : dff port map ( Q=>reg_10_q_c_2, QB=>OPEN, D=>nx1682, CLK =>CLK); ix14786 : buf02 port map ( Y=>nx14787, A=>nx15924); ix1001 : ao21 port map ( Y=>nx1000, A0=>nx16378, A1=>reg_10_q_c_1, B0=> nx998); REG_10_reg_q_1 : dff port map ( Q=>reg_10_q_c_1, QB=>OPEN, D=>nx912, CLK =>CLK); REG_10_reg_q_0 : dff port map ( Q=>reg_10_q_c_0, QB=>OPEN, D=>nx56, CLK=> CLK); ix14734 : mux21 port map ( Y=>nx14735, A0=>reg_12_q_c_0, A1=>nx242, S0=> C_MUX2_3_SEL); REG_12_reg_q_0 : dff port map ( Q=>reg_12_q_c_0, QB=>OPEN, D=>nx270, CLK =>CLK); ix243 : ao21 port map ( Y=>nx242, A0=>C_MUX2_24_SEL, A1=>reg_17_q_c_0, B0 =>nx202); ix14734_0_XREP57 : mux21 port map ( Y=>nx14735_XX0_XREP57, A0=> reg_12_q_c_0, A1=>nx242, S0=>C_MUX2_3_SEL); ix14688 : mux21 port map ( Y=>nx14689, A0=>nx6685, A1=>nx6995, S0=> C_MUX2_14_SEL); ix6746 : mux21 port map ( Y=>nx6745, A0=>reg_5_q_c_0, A1=>PRI_IN_4(0), S0 =>C_MUX2_8_SEL); REG_5_reg_q_0 : dff port map ( Q=>reg_5_q_c_0, QB=>OPEN, D=>nx806, CLK=> CLK); ix6746_0_XREP67 : mux21 port map ( Y=>nx6745_XX0_XREP67, A0=>reg_5_q_c_0, A1=>PRI_IN_4(0), S0=>C_MUX2_8_SEL); REG_28_reg_q_0_rep_1 : dff port map ( Q=>nx14705, QB=>OPEN, D=>nx774, CLK =>CLK); ix775 : xor2 port map ( Y=>nx774, A0=>nx6677, A1=>nx6726); REG_39_reg_q_0 : dff port map ( Q=>reg_39_q_c_0, QB=>nx6791, D=>nx290, CLK=>CLK); ix291 : xor2 port map ( Y=>nx290, A0=>nx6795, A1=>nx6726); ix7193 : mux21 port map ( Y=>nx7192, A0=>reg_5_q_c_1, A1=>PRI_IN_4(1), S0 =>C_MUX2_8_SEL); REG_5_reg_q_1 : dff port map ( Q=>reg_5_q_c_1, QB=>OPEN, D=>nx1442, CLK=> CLK); ix7193_0_XREP87 : mux21 port map ( Y=>nx7192_XX0_XREP87, A0=>reg_5_q_c_1, A1=>PRI_IN_4(1), S0=>C_MUX2_8_SEL); REG_38_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7683, D=>nx2460, CLK=>CLK ); ix2461 : xor2 port map ( Y=>nx2460, A0=>nx7651, A1=>nx7653); ix7656 : mux21 port map ( Y=>nx7655, A0=>reg_5_q_c_2, A1=>PRI_IN_4(2), S0 =>C_MUX2_8_SEL); REG_5_reg_q_2 : dff port map ( Q=>reg_5_q_c_2, QB=>OPEN, D=>nx2442, CLK=> CLK); REG_27_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7763, D=>nx1870, CLK=>CLK ); ix1871 : xnor2 port map ( Y=>nx1870, A0=>nx7759, A1=>nx1868); ix8686 : inv02 port map ( Y=>nx8685, A=>PRI_OUT_3_3_EXMPLR); ix8686_0_XREP115 : inv02 port map ( Y=>nx8685_XX0_XREP115, A=> PRI_OUT_3_dup0_3); ix8088 : mux21 port map ( Y=>nx8087, A0=>reg_5_q_c_3, A1=>PRI_IN_4(3), S0 =>C_MUX2_8_SEL); REG_5_reg_q_3 : dff port map ( Q=>reg_5_q_c_3, QB=>OPEN, D=>nx3442, CLK=> CLK); ix8088_0_XREP123 : mux21 port map ( Y=>nx8087_XX0_XREP123, A0=> reg_5_q_c_3, A1=>PRI_IN_4(3), S0=>C_MUX2_8_SEL); ix14670_0_XREP131 : buf02 port map ( Y=>nx14671_XX0_XREP131, A=> PRI_OUT_3_dup0_4); ix8560 : mux21 port map ( Y=>nx8559, A0=>reg_5_q_c_4, A1=>PRI_IN_4(4), S0 =>C_MUX2_8_SEL); REG_5_reg_q_4 : dff port map ( Q=>reg_5_q_c_4, QB=>OPEN, D=>nx4442, CLK=> CLK); ix9576 : inv02 port map ( Y=>nx9575, A=>PRI_OUT_3_5_EXMPLR); ix9576_0_XREP153 : inv02 port map ( Y=>nx9575_XX0_XREP153, A=> PRI_OUT_3_5_XX0_XREP229); ix5159 : mux21 port map ( Y=>nx6525, A0=>nx9064, A1=>nx8867, S0=> C_MUX2_21_SEL); ix9065 : inv02 port map ( Y=>nx9064, A=>PRI_IN_8(5)); ix8868 : mux21 port map ( Y=>nx8867, A0=>PRI_IN_1(5), A1=>reg_24_q_c_5, S0=>C_MUX2_17_SEL); ix5159_0_XREP157 : mux21 port map ( Y=>nx6525_XX0_XREP157, A0=>nx9064, A1 =>nx8867, S0=>C_MUX2_21_SEL); REG_28_reg_q_5_rep_1 : dff port map ( Q=>nx14699, QB=>OPEN, D=>nx5418, CLK=>CLK); ix5419 : xnor2 port map ( Y=>nx5418, A0=>nx4548, A1=>nx8781); ix14662_0_XREP175 : buf02 port map ( Y=>nx14663_XX0_XREP175, A=> PRI_OUT_3_dup0_6); ix9450 : mux21 port map ( Y=>nx9449, A0=>reg_5_q_c_6, A1=>PRI_IN_4(6), S0 =>C_MUX2_8_SEL); REG_5_reg_q_6 : dff port map ( Q=>reg_5_q_c_6, QB=>OPEN, D=>nx6442, CLK=> CLK); ix10479 : inv02 port map ( Y=>nx10478, A=>PRI_OUT_3_7_EXMPLR); ix7397 : ao21 port map ( Y=>PRI_OUT_3_7_EXMPLR, A0=>nx14755, A1=>nx7390, B0=>nx7298); ix10479_0_XREP195 : inv02 port map ( Y=>nx10478_XX0_XREP195, A=> PRI_OUT_3_7_EXMPLR); ix14654 : buf02 port map ( Y=>nx14655, A=>PRI_OUT_3_8_EXMPLR); ix14654_0_XREP205 : buf02 port map ( Y=>nx14655_XX0_XREP205, A=> PRI_OUT_3_8_XX0_XREP223); ix14656 : buf02 port map ( Y=>nx14657, A=>PRI_OUT_3_8_EXMPLR); ix8397 : ao21 port map ( Y=>PRI_OUT_3_8_EXMPLR, A0=>nx14755, A1=>nx8390, B0=>nx8298); ix8391 : ao21 port map ( Y=>nx8390, A0=>nx14765, A1=>reg_13_q_c_8, B0=> nx8346); ix8299 : nor02 port map ( Y=>nx8298, A0=>nx14757, A1=>nx10573); ix8397_0_XREP223 : ao21 port map ( Y=>PRI_OUT_3_8_XX0_XREP223, A0=> nx14755, A1=>nx8390, B0=>nx8298); ix6397 : ao21 port map ( Y=>PRI_OUT_3_dup0_6, A0=>nx14755, A1=>nx6390, B0 =>nx6298); ix14754 : inv02 port map ( Y=>nx14755, A=>C_MUX2_14_SEL); ix6391 : ao21 port map ( Y=>nx6390, A0=>nx14765, A1=>reg_13_q_c_6, B0=> nx6346); ix6299 : nor02 port map ( Y=>nx6298, A0=>nx14755, A1=>nx9669); ix5397 : ao21 port map ( Y=>PRI_OUT_3_5_EXMPLR, A0=>nx14753, A1=>nx5390, B0=>nx5298); ix5391 : ao21 port map ( Y=>nx5390, A0=>nx14763, A1=>reg_13_q_c_5, B0=> nx5346); ix5299 : nor02 port map ( Y=>nx5298, A0=>nx14753, A1=>nx9197); ix5397_0_XREP229 : ao21 port map ( Y=>PRI_OUT_3_5_XX0_XREP229, A0=> nx14753, A1=>nx5390, B0=>nx5298); ix4397 : ao21 port map ( Y=>PRI_OUT_3_dup0_4, A0=>nx14753, A1=>nx4390, B0 =>nx4298); ix14752 : inv02 port map ( Y=>nx14753, A=>C_MUX2_14_SEL); ix4391 : ao21 port map ( Y=>nx4390, A0=>nx14763, A1=>reg_13_q_c_4, B0=> nx4346); ix4299 : nor02 port map ( Y=>nx4298, A0=>nx14753, A1=>nx8771); ix3397 : ao21 port map ( Y=>PRI_OUT_3_dup0_3, A0=>nx16391, A1=>nx3390, B0 =>nx3298); ix3391 : ao21 port map ( Y=>nx3390, A0=>nx14761, A1=>reg_13_q_c_3, B0=> nx3346); ix3299 : nor02 port map ( Y=>nx3298, A0=>nx14753, A1=>nx8297); ix6686 : mux21 port map ( Y=>nx6685, A0=>reg_13_q_c_0, A1=>nx694, S0=> C_MUX2_15_SEL); REG_13_reg_q_0 : dff port map ( Q=>reg_13_q_c_0, QB=>OPEN, D=>nx722, CLK =>CLK); ix695 : ao21 port map ( Y=>nx694, A0=>C_MUX2_18_SEL, A1=>reg_11_q_c_0, B0 =>nx658); ix6996 : mux21 port map ( Y=>nx6995, A0=>reg_7_q_c_0, A1=>reg_18_q_c_0, S0=>C_MUX2_9_SEL); REG_7_reg_q_0 : dff port map ( Q=>reg_7_q_c_0, QB=>OPEN, D=>nx640, CLK=> CLK); REG_18_reg_q_0 : dff port map ( Q=>reg_18_q_c_0, QB=>OPEN, D=>nx14, CLK=> CLK); ix10937 : inv02 port map ( Y=>nx6600, A=>nx11675); ix11676 : mux21 port map ( Y=>nx11675, A0=>reg_12_q_c_11, A1=>nx10890, S0 =>C_MUX2_3_SEL); ix14810 : buf02 port map ( Y=>nx14811, A=>nx11230); ix11231 : mux21 port map ( Y=>nx11230, A0=>reg_12_q_c_10, A1=>nx9890, S0 =>C_MUX2_3_SEL); ix8937 : inv02 port map ( Y=>nx6574, A=>nx10766); ix10767 : mux21 port map ( Y=>nx10766, A0=>reg_12_q_c_9, A1=>nx8890, S0=> C_MUX2_3_SEL); ix10331 : mux21 port map ( Y=>nx10330, A0=>reg_12_q_c_8, A1=>nx7890, S0=> C_MUX2_3_SEL); REG_12_reg_q_8 : dff port map ( Q=>reg_12_q_c_8, QB=>OPEN, D=>nx7924, CLK =>CLK); ix7891 : ao21 port map ( Y=>nx7890, A0=>C_MUX2_24_SEL, A1=>reg_17_q_c_8, B0=>nx7846); ix9856 : mux21 port map ( Y=>nx9855, A0=>reg_12_q_c_7, A1=>nx6890, S0=> C_MUX2_3_SEL); REG_12_reg_q_7 : dff port map ( Q=>reg_12_q_c_7, QB=>OPEN, D=>nx6924, CLK =>CLK); ix6891 : ao21 port map ( Y=>nx6890, A0=>C_MUX2_24_SEL, A1=>reg_17_q_c_7, B0=>nx6846); ix9856_0_XREP251 : mux21 port map ( Y=>nx9855_XX0_XREP251, A0=> reg_12_q_c_7, A1=>nx6890, S0=>C_MUX2_3_SEL); ix5975 : ao21 port map ( Y=>PRI_OUT_5_6_EXMPLR, A0=>nx14743, A1=> reg_9_q_c_6, B0=>nx5972); REG_9_reg_q_6 : dff port map ( Q=>reg_9_q_c_6, QB=>OPEN, D=>nx5960, CLK=> CLK); ix5973 : nor02 port map ( Y=>nx5972, A0=>nx14743, A1=>nx14799_XX0_XREP35 ); ix5975_0_XREP253 : ao21 port map ( Y=>PRI_OUT_5_6_XX0_XREP253, A0=> nx14743, A1=>reg_9_q_c_6, B0=>nx5972); ix4975 : ao21 port map ( Y=>PRI_OUT_5_5_EXMPLR, A0=>nx14743, A1=> reg_9_q_c_5, B0=>nx4972); ix14742 : inv02 port map ( Y=>nx14743, A=>C_MUX2_12_SEL); REG_9_reg_q_5 : dff port map ( Q=>reg_9_q_c_5, QB=>OPEN, D=>nx4960, CLK=> CLK); ix4973 : and02 port map ( Y=>nx4972, A0=>C_MUX2_12_SEL, A1=> nx6517_XX0_XREP37); ix4975_0_XREP255 : ao21 port map ( Y=>PRI_OUT_5_5_XX0_XREP255, A0=> nx14743, A1=>reg_9_q_c_5, B0=>nx4972); ix6159 : mux21 port map ( Y=>nx6541, A0=>nx9517, A1=>nx9317, S0=> C_MUX2_21_SEL); ix9518 : inv02 port map ( Y=>nx9517, A=>PRI_IN_8(6)); ix9318 : mux21 port map ( Y=>nx9317, A0=>PRI_IN_1(6), A1=>reg_24_q_c_6, S0=>C_MUX2_17_SEL); ix6159_0_XREP311 : mux21 port map ( Y=>nx6541_XX0_XREP311, A0=>nx9517, A1 =>nx9317, S0=>C_MUX2_21_SEL); ix14854 : inv02 port map ( Y=>nx14855, A=>PRI_OUT_3_9_EXMPLR); ix11387 : inv02 port map ( Y=>nx11386, A=>PRI_OUT_3_9_EXMPLR); ix9397 : ao21 port map ( Y=>PRI_OUT_3_9_EXMPLR, A0=>nx14757, A1=>nx9390, B0=>nx9298); ix14646 : buf02 port map ( Y=>nx14647, A=>PRI_OUT_3_10_EXMPLR); ix10397 : ao21 port map ( Y=>PRI_OUT_3_10_EXMPLR, A0=>nx14757, A1=> nx10390, B0=>nx10298); ix16460 : buf04 port map ( Y=>nx15725, A=>nx6601); ix16462 : buf04 port map ( Y=>nx15727, A=>nx14715); ix16464 : buf04 port map ( Y=>nx15729, A=>nx6575); ix16465 : buf04 port map ( Y=>nx15730, A=>nx6493); ix16466 : buf04 port map ( Y=>nx15731, A=>nx14707); ix16467 : buf04 port map ( Y=>nx15732, A=>nx6469); ix16468 : buf04 port map ( Y=>nx15733, A=>nx10816); ix16469 : buf04 port map ( Y=>nx15734, A=>nx8816); ix16470 : buf04 port map ( Y=>nx15735, A=>nx7816); ix16472 : buf04 port map ( Y=>nx15736, A=>nx5816); ix16474 : buf04 port map ( Y=>nx15737, A=>nx3816); ix16475 : buf04 port map ( Y=>nx15738, A=>nx1816); ix16476 : buf04 port map ( Y=>nx15739, A=>nx16396); \[50549__XX0_XREP17\ : buf04 port map ( Y=>\[50549__XX0_XREP17\, A=> nx16396); ix16477 : buf04 port map ( Y=>nx15740, A=>nx176); ix16478 : buf04 port map ( Y=>nx15741, A=>nx14705); ix16479 : buf04 port map ( Y=>nx15742, A=>reg_39_q_c_0); ix16480 : buf04 port map ( Y=>nx15743, A=>nx7683); ix16482 : buf04 port map ( Y=>nx15744, A=>nx7655); \[64647__XX0_XREP21\ : buf04 port map ( Y=>\[64647__XX0_XREP21\, A=> nx7655); ix16484 : buf04 port map ( Y=>nx15745, A=>nx7763); ix16485 : buf04 port map ( Y=>nx15747, A=>nx8559); ix16486 : buf04 port map ( Y=>nx15748, A=>nx14699); nx14663_EXMPLR : buf04 port map ( Y=>nx14663, A=>PRI_OUT_3_dup0_6); nx14671_EXMPLR : buf04 port map ( Y=>nx14671, A=>PRI_OUT_3_dup0_4); ix16487 : buf04 port map ( Y=>PRI_OUT_3_3_EXMPLR, A=>PRI_OUT_3_dup0_3); ix16488 : buf04 port map ( Y=>nx15749, A=>nx6600); ix16489 : buf04 port map ( Y=>nx15750, A=>nx6574); ix16490 : buf04 port map ( Y=>nx15751, A=>nx14855); ix16491 : buf04 port map ( Y=>nx15752, A=>nx11386); ix16492 : and02 port map ( Y=>nx15753, A0=>PRI_OUT_5_13_EXMPLR, A1=> PRI_IN_7(13)); ix16493 : and02 port map ( Y=>nx15754, A0=>PRI_OUT_5_12_EXMPLR, A1=> PRI_IN_7(12)); ix16494 : inv02 port map ( Y=>nx15755, A=>nx13009); ix16495 : nand03 port map ( Y=>nx15756, A0=>nx16339, A1=>nx13438, A2=> nx15755); ix16496 : and02 port map ( Y=>nx15757, A0=>PRI_OUT_5_14_EXMPLR, A1=> PRI_IN_7(14)); ix16497 : or03 port map ( Y=>nx15758, A0=>nx16340, A1=>nx15757, A2=> nx13438); ix16498 : aoi21 port map ( Y=>nx15759, A0=>PRI_OUT_5_14_EXMPLR, A1=> PRI_IN_7(14), B0=>nx13438); ix16499 : aoi22 port map ( Y=>nx15761, A0=>nx13009, A1=>nx15759, B0=> nx13438, B1=>nx15757); nx14978_EXMPLR : nand03 port map ( Y=>nx14978, A0=>nx15756, A1=>nx15758, A2=>nx15761); nx12624_EXMPLR : or02 port map ( Y=>nx12624, A0=>nx15754, A1=>nx12622); ix16500 : and02 port map ( Y=>nx15762, A0=>nx14637, A1=>nx14691); ix16501 : and02 port map ( Y=>nx15763, A0=>nx14641, A1=>nx16449); ix16502 : inv02 port map ( Y=>nx15765, A=>nx13133); ix16503 : nand03 port map ( Y=>nx15766, A0=>nx16323, A1=>nx13563, A2=> nx15765); ix16504 : and02 port map ( Y=>nx15767, A0=>nx14633, A1=> PRI_OUT_2_14_EXMPLR); ix16505 : aoi21 port map ( Y=>nx15768, A0=>nx14633, A1=>nx16458, B0=> nx13563); ix16506 : aoi22 port map ( Y=>nx15769, A0=>nx13133, A1=>nx15768, B0=> nx13563, B1=>nx15767); nx15260_EXMPLR : nand03 port map ( Y=>nx15260, A0=>nx15766, A1=>nx16324, A2=>nx15769); nx13256_EXMPLR : or02 port map ( Y=>nx13256, A0=>nx15763, A1=>nx13254); ix16508 : inv01 port map ( Y=>nx15770, A=>C_MUX2_5_SEL); ix16509 : inv01 port map ( Y=>nx15771, A=>PRI_IN_3(1)); ix16510 : inv01 port map ( Y=>nx15772, A=>reg_6_q_c_1); ix16511 : inv01 port map ( Y=>nx15773, A=>C_MUX2_13_SEL); nx998_EXMPLR : oai33 port map ( Y=>nx998, A0=>nx16378, A1=>nx15771, A2=> C_MUX2_5_SEL, B0=>nx16378, B1=>nx15772, B2=>nx15770); nx7212_EXMPLR : oai22 port map ( Y=>nx7212, A0=>C_MUX2_5_SEL, A1=> PRI_IN_3(1), B0=>nx15770, B1=>reg_6_q_c_1); ix16512 : and02 port map ( Y=>nx15775, A0=>nx14649, A1=>nx16435); ix16513 : ao22 port map ( Y=>nx15776, A0=>nx14645, A1=>nx14693, B0=> nx11258, B1=>nx15775); ix16514 : inv01 port map ( Y=>nx15777, A=>nx12231); ix16515 : inv01 port map ( Y=>nx15778, A=>nx11328); ix16516 : and03 port map ( Y=>nx15779, A0=>nx11258, A1=>nx15777, A2=> nx15778); ix16518 : nor02 port map ( Y=>nx15780, A0=>nx15762, A1=>nx15763); ix16520 : nor02 port map ( Y=>nx15781, A0=>nx15762, A1=>nx13258); nx13254_EXMPLR : inv01 port map ( Y=>nx13254, A=>nx16294); ix16522 : and02 port map ( Y=>nx15783, A0=>nx14645, A1=>nx14693); ix16523 : inv02 port map ( Y=>nx15784, A=>nx14649); ix16524 : inv02 port map ( Y=>nx15785, A=>nx16435); nx11256_EXMPLR : oai22 port map ( Y=>nx11256, A0=>nx15784, A1=>nx15785, B0=>nx11325, B1=>nx11328); nx12228_EXMPLR : oai22 port map ( Y=>nx12228, A0=>nx15783, A1=>nx11256, B0=>nx15783, B1=>nx11258); nx6469_EXMPLR : ao21 port map ( Y=>nx6469, A0=>reg_6_q_c_1, A1=>nx16386, B0=>nx960); ix16525 : nor03 port map ( Y=>nx15786, A0=>C_MUX2_18_SEL, A1=> C_MUX2_14_SEL, A2=>nx14761); ix16526 : inv01 port map ( Y=>nx15787, A=>reg_13_q_c_1); ix16527 : nand04 port map ( Y=>nx15788, A0=>reg_11_q_c_1, A1=> C_MUX2_18_SEL, A2=>nx16391, A3=>C_MUX2_15_SEL); ix16528 : oai321 port map ( Y=>nx15789, A0=>nx15787, A1=>C_MUX2_14_SEL, A2=>C_MUX2_15_SEL, B0=>nx16391, B1=>nx7365, C0=>nx15788); ix16530 : inv01 port map ( Y=>nx15790, A=>C_MUX2_18_SEL); ix16532 : nor02 port map ( Y=>nx15791, A0=>nx14761, A1=>C_MUX2_18_SEL); ix16533 : aoi33 port map ( Y=>nx15793, A0=>nx960, A1=>C_MUX2_15_SEL, A2=> nx15790, B0=>nx15791, B1=>reg_6_q_c_1, B2=>nx16386); ix16534 : aoi32 port map ( Y=>nx15794, A0=>reg_11_q_c_1, A1=> C_MUX2_15_SEL, A2=>C_MUX2_18_SEL, B0=>reg_13_q_c_1, B1=>nx14761); nx1390_EXMPLR : nand02 port map ( Y=>nx1390, A0=>nx15793, A1=>nx15794); ix16535 : inv01 port map ( Y=>nx15795, A=>C_MUX2_5_SEL); ix16536 : aoi22 port map ( Y=>nx15796, A0=>PRI_IN_3(0), A1=>nx15795, B0=> reg_6_q_c_0, B1=>C_MUX2_5_SEL); ix16537 : inv01 port map ( Y=>nx15797, A=>C_MUX2_24_SEL); ix16538 : inv01 port map ( Y=>nx15798, A=>C_MUX2_13_SEL); ix16540 : nand03 port map ( Y=>nx15799, A0=>C_MUX2_11_SEL, A1=>nx15797, A2=>nx15798); ix16542 : nor02 port map ( Y=>nx15801, A0=>C_MUX2_24_SEL, A1=> C_MUX2_13_SEL); ix16543 : aoi33 port map ( Y=>nx15802, A0=>nx15801, A1=>reg_10_q_c_0, A2 =>nx16379, B0=>reg_8_q_c_0, B1=>C_MUX2_13_SEL, B2=>nx15797); nx202_EXMPLR : oai21 port map ( Y=>nx202, A0=>nx15796, A1=>nx15799, B0=> nx15802); ix16544 : nand02 port map ( Y=>nx15803, A0=>reg_10_q_c_0, A1=>nx16379); nx176_EXMPLR : oai21 port map ( Y=>nx176, A0=>nx16379, A1=>nx15796, B0=> nx15803); nx6766_EXMPLR : oai22 port map ( Y=>nx6766, A0=>C_MUX2_5_SEL, A1=> PRI_IN_3(0), B0=>nx15795, B1=>reg_6_q_c_0); ix16545 : inv01 port map ( Y=>nx15804, A=>nx15770); ix16546 : inv01 port map ( Y=>nx15805, A=>nx15771); ix16547 : oai32 port map ( Y=>nx15806, A0=>nx16379, A1=>nx15804, A2=> nx15805, B0=>reg_10_q_c_1, B1=>C_MUX2_11_SEL); ix16548 : inv01 port map ( Y=>nx15807, A=>C_MUX2_13_SEL); ix16549 : inv01 port map ( Y=>nx15808, A=>C_MUX2_3_SEL); ix16550 : nor02 port map ( Y=>nx15809, A0=>nx15808, A1=>C_MUX2_24_SEL); ix16551 : inv01 port map ( Y=>nx15810, A=>nx16380); ix16552 : and03 port map ( Y=>nx15811, A0=>nx15772, A1=>C_MUX2_5_SEL, A2 =>nx15810); ix16553 : nor03 port map ( Y=>nx15812, A0=>C_MUX2_13_SEL, A1=>nx15808, A2 =>C_MUX2_24_SEL); ix16554 : inv01 port map ( Y=>nx15813, A=>C_MUX2_24_SEL); ix16556 : nand02 port map ( Y=>nx15815, A0=>C_MUX2_3_SEL, A1=>nx15813); ix16557 : oai332 port map ( Y=>nx15816, A0=>nx15815, A1=>nx15773, A2=> reg_8_q_c_1, B0=>reg_17_q_c_1, B1=>nx15808, B2=>nx15813, C0=> C_MUX2_3_SEL, C1=>reg_12_q_c_1); nx7161_EXMPLR : aoi321 port map ( Y=>nx7161, A0=>nx15806, A1=>nx15807, A2 =>nx15809, B0=>nx15811, B1=>nx15812, C0=>nx15816); ix16558 : and02 port map ( Y=>nx15817, A0=>PRI_OUT_5_10_EXMPLR, A1=> PRI_IN_7(10)); ix16559 : ao22 port map ( Y=>nx15818, A0=>PRI_OUT_5_11_EXMPLR, A1=> PRI_IN_7(11), B0=>nx10976, B1=>nx15817); ix16560 : inv01 port map ( Y=>nx15819, A=>nx12112); ix16561 : inv01 port map ( Y=>nx15820, A=>nx11205); ix16562 : and03 port map ( Y=>nx15821, A0=>nx10976, A1=>nx15819, A2=> nx15820); ix16563 : nor02 port map ( Y=>nx15823, A0=>nx15753, A1=>nx15754); ix16564 : nor02 port map ( Y=>nx15825, A0=>nx15753, A1=>nx12976); nx12622_EXMPLR : inv01 port map ( Y=>nx12622, A=>nx16342); ix16565 : and02 port map ( Y=>nx15826, A0=>PRI_OUT_5_11_EXMPLR, A1=> PRI_IN_7(11)); ix16566 : inv01 port map ( Y=>nx15827, A=>PRI_OUT_5_10_EXMPLR); ix16567 : inv02 port map ( Y=>nx15828, A=>PRI_IN_7(10)); nx10624_EXMPLR : oai22 port map ( Y=>nx10624, A0=>nx15827, A1=>nx15828, B0=>nx11201, B1=>nx11205); nx12109_EXMPLR : oai22 port map ( Y=>nx12109, A0=>nx15826, A1=>nx10624, B0=>nx15826, B1=>nx10976); ix16568 : nand03 port map ( Y=>nx15829, A0=>nx15917, A1=>C_MUX2_12_SEL, A2=>C_MUX2_3_SEL); ix16569 : and02 port map ( Y=>nx15830, A0=>C_MUX2_12_SEL, A1=> C_MUX2_3_SEL); ix16570 : aoi33 port map ( Y=>nx15831, A0=>nx15830, A1=>C_MUX2_24_SEL, A2 =>reg_17_q_c_2, B0=>reg_12_q_c_2, B1=>C_MUX2_12_SEL, B2=>nx15920); nx1972_EXMPLR : oai21 port map ( Y=>nx1972, A0=>nx7795, A1=>nx15829, B0=> nx15831); ix16571 : inv01 port map ( Y=>nx15833, A=>nx10303); ix16572 : and02 port map ( Y=>nx15835, A0=>nx6976, A1=>nx15833); ix16573 : inv01 port map ( Y=>nx15836, A=>nx9386); ix16574 : ao32 port map ( Y=>nx15837, A0=>PRI_OUT_5_5_EXMPLR, A1=> PRI_IN_7(5), A2=>nx15836, B0=>PRI_OUT_5_6_EXMPLR, B1=>PRI_IN_7(6)); ix16575 : aoi33 port map ( Y=>nx15838, A0=>nx15837, A1=>nx6976, A2=> nx15833, B0=>PRI_OUT_5_7_EXMPLR, B1=>PRI_IN_7(7), B2=>nx15833); ix16576 : and02 port map ( Y=>nx15839, A0=>PRI_OUT_5_7_EXMPLR, A1=> PRI_IN_7(7)); ix16578 : and03 port map ( Y=>nx15840, A0=>nx4624, A1=>nx4976, A2=> nx15836); ix16580 : ao32 port map ( Y=>nx15841, A0=>nx15836, A1=>PRI_OUT_5_5_EXMPLR, A2=>PRI_IN_7(5), B0=>PRI_OUT_5_6_EXMPLR, B1=>PRI_IN_7(6)); nx10299_EXMPLR : oai32 port map ( Y=>nx10299, A0=>nx15839, A1=>nx15840, A2=>nx15841, B0=>nx15839, B1=>nx6976); ix16581 : aoi332 port map ( Y=>nx15843, A0=>nx15836, A1=> PRI_OUT_5_5_EXMPLR, A2=>PRI_IN_7(5), B0=>nx4624, B1=>nx4976, B2=> nx15836, C0=>PRI_OUT_5_6_EXMPLR, C1=>PRI_IN_7(6)); nx6624_EXMPLR : inv01 port map ( Y=>nx6624, A=>nx15843); ix16582 : and02 port map ( Y=>nx15845, A0=>PRI_OUT_5_5_EXMPLR, A1=> PRI_IN_7(5)); nx9383_EXMPLR : oai22 port map ( Y=>nx9383, A0=>nx15845, A1=>nx4624, B0=> nx15845, B1=>nx4976); ix16583 : inv01 port map ( Y=>nx15846, A=>nx2390); nx14751_EXMPLR : inv01 port map ( Y=>nx14751, A=>C_MUX2_14_SEL); ix16584 : inv02 port map ( Y=>nx15847, A=>nx16403); ix16585 : oai221 port map ( Y=>nx15848, A0=>nx15846, A1=>C_MUX2_14_SEL, B0=>nx16391, B1=>nx7865, C0=>nx15847); ix16586 : inv02 port map ( Y=>nx15849, A=>C_MUX2_9_SEL); ix16588 : ao22 port map ( Y=>nx15850, A0=>reg_7_q_c_0, A1=>nx15849, B0=> reg_18_q_c_0, B1=>C_MUX2_9_SEL); ix16590 : aoi222 port map ( Y=>nx15851, A0=>nx16392, A1=>nx16075, B0=> C_MUX2_14_SEL, B1=>nx15850, C0=>nx16389, C1=>nx16231); ix16591 : aoi22 port map ( Y=>nx15852, A0=>PRI_OUT_2_0_EXMPLR, A1=>nx1294, B0=>nx16389, B1=>nx16232); ix16592 : nor02 port map ( Y=>nx15853, A0=>nx15851, A1=>nx15852); ix16593 : nor02 port map ( Y=>nx15854, A0=>nx16392, A1=>nx7865); ix16594 : aoi21 port map ( Y=>nx15855, A0=>nx2390, A1=>nx16392, B0=> nx15854); nx3256_EXMPLR : inv02 port map ( Y=>nx3256, A=>nx16282); PRI_OUT_3_2_EXMPLR_EXMPLR : inv02 port map ( Y=>PRI_OUT_3(2), A=>nx15855 ); ix16595 : inv01 port map ( Y=>nx15856, A=>nx15855); ix16596 : inv01 port map ( Y=>nx15857, A=>nx15855); ix16598 : inv01 port map ( Y=>nx15859, A=>nx15867); ix16600 : inv01 port map ( Y=>nx15860, A=>nx15867); ix16601 : inv01 port map ( Y=>nx15861, A=>nx15867); ix16602 : inv01 port map ( Y=>nx15862, A=>nx15867); nx7709_EXMPLR : inv02 port map ( Y=>nx7709, A=>nx15853); ix16603 : nand02 port map ( Y=>nx15863, A0=>nx16403, A1=>nx16392); ix16604 : inv02 port map ( Y=>nx15864, A=>nx7865); ix16605 : aoi33 port map ( Y=>nx15865, A0=>nx16403, A1=>C_MUX2_14_SEL, A2 =>nx15864, B0=>nx15847, B1=>C_MUX2_14_SEL, B2=>nx7865); nx7713_EXMPLR : oai321 port map ( Y=>nx7713, A0=>nx2390, A1=> C_MUX2_14_SEL, A2=>nx16403, B0=>nx15846, B1=>nx15863, C0=>nx15865); ix16606 : inv01 port map ( Y=>nx15866, A=>nx15867); ix16607 : buf04 port map ( Y=>nx15867, A=>nx15855); ix16608 : inv01 port map ( Y=>nx15868, A=>nx14669); ix16609 : inv02 port map ( Y=>nx15869, A=>nx14699); ix16610 : inv01 port map ( Y=>nx15870, A=>nx16410); ix16611 : inv02 port map ( Y=>nx15871, A=>nx16415); ix16612 : oai32 port map ( Y=>nx15872, A0=>nx15868, A1=>nx15869, A2=> nx9507, B0=>nx15870, B1=>nx15871); ix16613 : inv01 port map ( Y=>nx15873, A=>nx14661); ix16614 : inv02 port map ( Y=>nx15874, A=>nx16419); ix16615 : inv01 port map ( Y=>nx15875, A=>nx9507); ix16616 : nand04 port map ( Y=>nx15876, A0=>nx7258, A1=>nx5256, A2=> nx5258, A3=>nx15875); ix16617 : nand02 port map ( Y=>nx15877, A0=>nx14661, A1=>nx16419); ix16618 : aoi332 port map ( Y=>nx15878, A0=>nx15875, A1=>nx14669, A2=> nx14699, B0=>nx5256, B1=>nx5258, B2=>nx15875, C0=>nx16410, C1=>nx16415 ); ix16619 : aoi21 port map ( Y=>nx15879, A0=>nx14661, A1=>nx16419, B0=> nx7258); nx10419_EXMPLR : ao21 port map ( Y=>nx10419, A0=>nx15877, A1=>nx15878, B0 =>nx15879); nx7256_EXMPLR : inv01 port map ( Y=>nx7256, A=>nx15878); ix16620 : and02 port map ( Y=>nx15881, A0=>nx14669, A1=>nx14699); nx9503_EXMPLR : oai22 port map ( Y=>nx9503, A0=>nx15881, A1=>nx5256, B0=> nx15881, B1=>nx5258); ix16621 : inv01 port map ( Y=>nx15883, A=>reg_10_q_c_2); ix16622 : aoi22 port map ( Y=>nx15884, A0=>PRI_IN_3(2), A1=>nx15912, B0=> nx16397, B1=>C_MUX2_5_SEL); nx1816_EXMPLR : oai22 port map ( Y=>nx1816, A0=>nx15883, A1=> C_MUX2_11_SEL, B0=>nx16380, B1=>nx15884); nx7678_EXMPLR : oai22 port map ( Y=>nx7678, A0=>C_MUX2_5_SEL, A1=> PRI_IN_3(2), B0=>nx15912, B1=>nx16397); ix16624 : and02 port map ( Y=>nx15885, A0=>nx6469, A1=>nx15786); ix16625 : inv01 port map ( Y=>nx15886, A=>nx16389); ix16626 : aoi21 port map ( Y=>nx15887, A0=>nx6469, A1=>nx15786, B0=> nx16393); nx7239_EXMPLR : oai32 port map ( Y=>nx7239, A0=>nx15885, A1=>nx16389, A2 =>nx16393, B0=>nx15886, B1=>nx15887); ix16627 : nor02 port map ( Y=>nx15888, A0=>nx16441, A1=>nx11181); ix16628 : inv02 port map ( Y=>nx15889, A=>nx16447); ix16629 : inv01 port map ( Y=>nx15891, A=>nx12985); ix16630 : inv01 port map ( Y=>nx15893, A=>nx12505); ix16631 : nand04 port map ( Y=>nx15894, A0=>nx15888, A1=>nx15889, A2=> nx15891, A3=>nx15893); ix16632 : inv02 port map ( Y=>nx15895, A=>nx16442); ix16634 : inv02 port map ( Y=>nx15896, A=>PRI_IN_9(10)); ix16635 : inv02 port map ( Y=>nx15897, A=>PRI_IN_9(11)); ix16636 : aoi32 port map ( Y=>nx15898, A0=>nx11181, A1=>nx15895, A2=> nx15896, B0=>nx16442, B1=>nx15897); ix16638 : or03 port map ( Y=>nx15899, A0=>nx16448, A1=>nx12985, A2=> nx12505); ix16639 : inv02 port map ( Y=>nx15901, A=>PRI_IN_9(13)); ix16640 : nor02 port map ( Y=>nx15903, A0=>nx12985, A1=>nx12505); ix16641 : inv02 port map ( Y=>nx15904, A=>PRI_IN_9(12)); ix16642 : inv02 port map ( Y=>nx15905, A=>PRI_IN_9(14)); ix16643 : aoi332 port map ( Y=>nx15906, A0=>nx15891, A1=>nx12505, A2=> nx15901, B0=>nx15903, B1=>nx16448, B2=>nx15904, C0=>nx12985, C1=> nx15905); nx15036_EXMPLR : oai221 port map ( Y=>nx15036, A0=>nx11176, A1=>nx15894, B0=>nx15898, B1=>nx15899, C0=>nx15906); ix16644 : nand04 port map ( Y=>nx15907, A0=>nx11176, A1=>nx15888, A2=> nx15889, A3=>nx15893); ix16645 : inv01 port map ( Y=>nx15908, A=>nx11181); ix16646 : oai32 port map ( Y=>nx15909, A0=>nx15908, A1=>nx15896, A2=> nx16442, B0=>nx15895, B1=>nx15897); ix16648 : aoi332 port map ( Y=>nx15910, A0=>nx16448, A1=>PRI_IN_9(12), A2 =>nx15893, B0=>nx15909, B1=>nx15889, B2=>nx15893, C0=>nx12505, C1=> PRI_IN_9(13)); nx12981_EXMPLR : nand02 port map ( Y=>nx12981, A0=>nx15907, A1=>nx15910); nx13036_EXMPLR : oai422 port map ( Y=>nx13036, A0=>nx11176, A1=>nx16448, A2=>nx16442, A3=>nx11181, B0=>nx15898, B1=>nx16448, C0=>nx15889, C1=> PRI_IN_9(12)); ix16649 : oai32 port map ( Y=>nx15911, A0=>nx16442, A1=>nx15896, A2=> nx15908, B0=>nx15895, B1=>nx15897); nx12087_EXMPLR : ao21 port map ( Y=>nx12087, A0=>nx11176, A1=>nx15888, B0 =>nx15911); nx11036_EXMPLR : oai22 port map ( Y=>nx11036, A0=>nx11176, A1=>nx11181, B0=>nx15908, B1=>PRI_IN_9(10)); ix16650 : inv01 port map ( Y=>nx15912, A=>C_MUX2_5_SEL); ix16651 : inv01 port map ( Y=>nx15913, A=>PRI_IN_3(2)); ix16652 : inv01 port map ( Y=>nx15914, A=>nx16398); ix16653 : aoi22 port map ( Y=>nx15915, A0=>nx15912, A1=>nx15913, B0=> C_MUX2_5_SEL, B1=>nx15914); ix16654 : oai22 port map ( Y=>nx15916, A0=>C_MUX2_11_SEL, A1=> reg_10_q_c_2, B0=>nx16380, B1=>nx15915); ix16656 : inv01 port map ( Y=>nx15917, A=>C_MUX2_24_SEL); ix16658 : inv01 port map ( Y=>nx15918, A=>C_MUX2_13_SEL); ix16659 : nand04 port map ( Y=>nx15919, A0=>nx15916, A1=>nx15917, A2=> C_MUX2_3_SEL, A3=>nx15918); ix16660 : inv01 port map ( Y=>nx15920, A=>C_MUX2_3_SEL); ix16661 : inv01 port map ( Y=>nx15921, A=>reg_12_q_c_2); ix16662 : oai32 port map ( Y=>nx15922, A0=>reg_8_q_c_2, A1=>nx15918, A2=> C_MUX2_24_SEL, B0=>nx15917, B1=>reg_17_q_c_2); ix16663 : aoi22 port map ( Y=>nx15923, A0=>nx15920, A1=>nx15921, B0=> C_MUX2_3_SEL, B1=>nx15922); ix16664 : nand02 port map ( Y=>nx15924, A0=>nx15919, A1=>nx15923); ix16665 : inv01 port map ( Y=>nx15925, A=>reg_17_q_c_2); ix16666 : oai21 port map ( Y=>nx15927, A0=>nx15917, A1=>nx15925, B0=> C_MUX2_3_SEL); ix16667 : inv01 port map ( Y=>nx15928, A=>reg_10_q_c_2); ix16668 : oai22 port map ( Y=>nx15929, A0=>C_MUX2_5_SEL, A1=>PRI_IN_3(2), B0=>nx15912, B1=>nx16398); ix16669 : inv01 port map ( Y=>nx15930, A=>reg_8_q_c_2); ix16670 : aoi332 port map ( Y=>nx15931, A0=>nx15928, A1=>nx16380, A2=> nx15918, B0=>nx15929, B1=>C_MUX2_11_SEL, B2=>nx15918, C0=> C_MUX2_13_SEL, C1=>nx15930); ix16672 : aoi22 port map ( Y=>nx15932, A0=>nx15920, A1=>nx15921, B0=> C_MUX2_24_SEL, B1=>nx15925); nx7609_EXMPLR : aoi322 port map ( Y=>nx7609, A0=>C_MUX2_3_SEL, A1=> C_MUX2_24_SEL, A2=>reg_17_q_c_2, B0=>reg_12_q_c_2, B1=>nx15927, C0=> nx15931, C1=>nx15932); nx7795_EXMPLR : oai332 port map ( Y=>nx7795, A0=>nx15915, A1=>nx16380, A2 =>C_MUX2_13_SEL, B0=>reg_10_q_c_2, B1=>C_MUX2_11_SEL, B2=> C_MUX2_13_SEL, C0=>nx15918, C1=>reg_8_q_c_2); ix16673 : inv01 port map ( Y=>nx15933, A=>reg_13_q_c_2); nx14761_EXMPLR : inv01 port map ( Y=>nx14761, A=>C_MUX2_15_SEL); nx2390_EXMPLR : oai22 port map ( Y=>nx2390, A0=>nx15933, A1=> C_MUX2_15_SEL, B0=>nx14761, B1=>nx7427); ix16674 : inv02 port map ( Y=>nx15934, A=>C_MUX2_21_SEL); ix16675 : inv02 port map ( Y=>nx15935, A=>reg_50_q_c_13); ix16676 : aoi221 port map ( Y=>nx15937, A0=>C_MUX2_21_SEL, A1=>nx12463, B0=>nx12676, B1=>nx15964, C0=>nx15935); ix16677 : inv02 port map ( Y=>nx15939, A=>reg_50_q_c_12); ix16678 : inv02 port map ( Y=>nx15941, A=>nx12241); ix16680 : inv02 port map ( Y=>nx15942, A=>nx12043); ix16682 : aoi22 port map ( Y=>nx15943, A0=>nx15964, A1=>nx15941, B0=> C_MUX2_21_SEL, B1=>nx15942); ix16683 : nor02 port map ( Y=>nx15944, A0=>nx15939, A1=>nx15943); ix16684 : inv02 port map ( Y=>nx15945, A=>nx12497); nx12967_EXMPLR : oai32 port map ( Y=>nx12967, A0=>nx15937, A1=>nx15944, A2=>nx13024, B0=>nx15945, B1=>nx15937); ix16685 : inv01 port map ( Y=>nx15946, A=>nx12967); ix16686 : inv02 port map ( Y=>nx15947, A=>reg_50_q_c_15); ix16687 : inv02 port map ( Y=>nx15949, A=>nx6663); nx13409_EXMPLR : oai22 port map ( Y=>nx13409, A0=>nx15947, A1=>nx15949, B0=>reg_50_q_c_15, B1=>nx6663); nx6651_EXMPLR : oai22 port map ( Y=>nx6651, A0=>C_MUX2_21_SEL, A1=> nx13143, B0=>nx15964, B1=>nx12939); ix16688 : inv02 port map ( Y=>nx15951, A=>nx13143); ix16689 : inv02 port map ( Y=>nx15952, A=>nx12939); ix16690 : oai22 port map ( Y=>nx15953, A0=>nx15951, A1=>C_MUX2_21_SEL, B0 =>nx15952, B1=>nx15965); ix16691 : inv02 port map ( Y=>nx15954, A=>reg_50_q_c_14); ix16692 : aoi22 port map ( Y=>nx15955, A0=>reg_50_q_c_14, A1=>nx6651, B0 =>nx15953, B1=>nx15954); ix16693 : and03 port map ( Y=>nx15956, A0=>nx15946, A1=>nx13409, A2=> nx15955); ix16694 : aoi22 port map ( Y=>nx15957, A0=>reg_50_q_c_15, A1=>nx6663, B0 =>nx15947, B1=>nx15949); ix16695 : aoi22 port map ( Y=>nx15959, A0=>nx15965, A1=>nx15951, B0=> C_MUX2_21_SEL, B1=>nx15952); ix16696 : aoi22 port map ( Y=>nx15961, A0=>nx15957, A1=>nx15954, B0=> nx15957, B1=>nx15959); ix16697 : oai322 port map ( Y=>nx15962, A0=>nx15957, A1=>nx15954, A2=> nx15959, B0=>nx15955, B1=>nx15961, C0=>nx15946, C1=>nx15961); nx15098_EXMPLR : or02 port map ( Y=>nx15098, A0=>nx15956, A1=>nx15962); ix16698 : inv01 port map ( Y=>nx15963, A=>nx15946); nx12975_EXMPLR : inv02 port map ( Y=>nx12975, A=>nx15955); nx6636_EXMPLR : oai22 port map ( Y=>nx6636, A0=>C_MUX2_21_SEL, A1=> nx12676, B0=>nx15965, B1=>nx12463); nx13026_EXMPLR : or02 port map ( Y=>nx13026, A0=>nx15944, A1=>nx13024); nx6623_EXMPLR : oai22 port map ( Y=>nx6623, A0=>C_MUX2_21_SEL, A1=> nx12241, B0=>nx15965, B1=>nx12043); ix16700 : buf04 port map ( Y=>nx15964, A=>nx15934); ix16701 : buf04 port map ( Y=>nx15965, A=>nx15934); ix16702 : inv02 port map ( Y=>nx15966, A=>PRI_IN_7(4)); nx14741_EXMPLR : inv02 port map ( Y=>nx14741, A=>C_MUX2_12_SEL); ix16703 : and02 port map ( Y=>nx15967, A0=>reg_9_q_c_4, A1=>nx15984); ix16704 : inv01 port map ( Y=>nx15968, A=>nx3972); ix16705 : nand03 port map ( Y=>nx15969, A0=>nx15966, A1=>reg_9_q_c_4, A2 =>nx15984); ix16706 : oai321 port map ( Y=>nx15970, A0=>nx3972, A1=>nx15966, A2=> nx15967, B0=>nx15968, B1=>PRI_IN_7(4), C0=>nx15969); ix16707 : and02 port map ( Y=>nx15971, A0=>reg_9_q_c_3, A1=>nx15984); ix16708 : oai21 port map ( Y=>nx15972, A0=>nx15971, A1=>nx2972, B0=> PRI_IN_7(3)); ix16709 : inv02 port map ( Y=>nx15973, A=>PRI_IN_7(2)); ix16710 : aoi21 port map ( Y=>nx15975, A0=>nx14739, A1=>reg_9_q_c_2, B0=> nx1972); nx2624_EXMPLR : oai22 port map ( Y=>nx2624, A0=>nx15973, A1=>nx15975, B0 =>nx7576, B1=>nx7579); ix16712 : inv01 port map ( Y=>nx15976, A=>nx2624); ix16714 : oai22 port map ( Y=>nx15977, A0=>PRI_IN_7(3), A1=>reg_9_q_c_3, B0=>nx15985, B1=>PRI_IN_7(3)); ix16716 : inv01 port map ( Y=>nx15978, A=>nx2972); ix16718 : aoi22 port map ( Y=>nx15979, A0=>nx15972, A1=>nx15976, B0=> nx15977, B1=>nx15978); nx4622_EXMPLR : and02 port map ( Y=>nx4622, A0=>nx15970, A1=>nx15979); nx8487_EXMPLR : inv02 port map ( Y=>nx8487, A=>nx15979); ix16719 : nand03 port map ( Y=>nx15980, A0=>PRI_IN_7(4), A1=>reg_9_q_c_4, A2=>nx15985); nx8491_EXMPLR : oai321 port map ( Y=>nx8491, A0=>nx3972, A1=>nx15967, A2 =>PRI_IN_7(4), B0=>nx15966, B1=>nx15968, C0=>nx15980); PRI_OUT_5_3_EXMPLR_EXMPLR : ao21 port map ( Y=>PRI_OUT_5(3), A0=> reg_9_q_c_3, A1=>nx15985, B0=>nx2972); ix16720 : inv02 port map ( Y=>nx15981, A=>nx15976); PRI_OUT_5_4_EXMPLR_EXMPLR : or02 port map ( Y=>PRI_OUT_5_4_EXMPLR, A0=> nx15967, A1=>nx3972); PRI_OUT_5_2_EXMPLR_EXMPLR : ao21 port map ( Y=>PRI_OUT_5_2_EXMPLR, A0=> nx14739, A1=>reg_9_q_c_2, B0=>nx1972); ix16721 : inv02 port map ( Y=>nx15982, A=>PRI_IN_7(3)); ix16722 : nand03 port map ( Y=>nx15983, A0=>PRI_IN_7(3), A1=>reg_9_q_c_3, A2=>nx15985); nx8025_EXMPLR : oai321 port map ( Y=>nx8025, A0=>nx2972, A1=>nx15971, A2 =>PRI_IN_7(3), B0=>nx15982, B1=>nx15978, C0=>nx15983); ix16723 : buf04 port map ( Y=>nx15984, A=>nx14741); ix16724 : buf04 port map ( Y=>nx15985, A=>nx14741); ix16725 : inv01 port map ( Y=>nx15986, A=>reg_50_q_c_0); ix16726 : aoi32 port map ( Y=>nx15987, A0=>nx16382, A1=>C_MUX2_21_SEL, A2 =>PRI_IN_1(0), B0=>PRI_IN_8(0), B1=>nx15999); ix16727 : nand04 port map ( Y=>nx15988, A0=>reg_50_q_c_0, A1=> reg_24_q_c_0, A2=>C_MUX2_21_SEL, A3=>C_MUX2_17_SEL); nx440_EXMPLR : oai21 port map ( Y=>nx440, A0=>nx15986, A1=>nx15987, B0=> nx15988); ix16728 : nor02 port map ( Y=>nx15989, A0=>nx16382, A1=>reg_24_q_c_0); ix16729 : oai321 port map ( Y=>nx15990, A0=>nx15999, A1=>C_MUX2_17_SEL, A2=>PRI_IN_1(0), B0=>PRI_IN_8(0), B1=>C_MUX2_21_SEL, C0=>reg_50_q_c_0 ); nx7117_EXMPLR : ao21 port map ( Y=>nx7117, A0=>C_MUX2_21_SEL, A1=>nx15989, B0=>nx15990); nx6707_EXMPLR : inv02 port map ( Y=>nx6707, A=>PRI_IN_8(0)); nx6795_EXMPLR : oai22 port map ( Y=>nx6795, A0=>C_MUX2_17_SEL, A1=> PRI_IN_1(0), B0=>nx16382, B1=>reg_24_q_c_0); ix16730 : inv01 port map ( Y=>nx15991, A=>C_MUX2_17_SEL); ix16731 : aoi22 port map ( Y=>nx15992, A0=>PRI_IN_1(0), A1=>nx16383, B0=> reg_24_q_c_0, B1=>C_MUX2_17_SEL); ix16732 : inv01 port map ( Y=>nx15993, A=>C_MUX2_4_SEL); ix16733 : inv01 port map ( Y=>nx15994, A=>C_MUX2_22_SEL); ix16734 : nand03 port map ( Y=>nx15995, A0=>C_MUX2_21_SEL, A1=>nx15993, A2=>nx15994); ix16736 : nor02 port map ( Y=>nx15997, A0=>C_MUX2_4_SEL, A1=> C_MUX2_22_SEL); ix16737 : inv01 port map ( Y=>nx15999, A=>C_MUX2_21_SEL); ix16738 : aoi33 port map ( Y=>nx16000, A0=>nx15997, A1=>PRI_IN_8(0), A2=> nx15999, B0=>reg_26_q_c_0, B1=>C_MUX2_22_SEL, B2=>nx15993); nx596_EXMPLR : oai21 port map ( Y=>nx596, A0=>nx15992, A1=>nx15995, B0=> nx16000); ix16739 : inv01 port map ( Y=>nx16001, A=>PRI_IN_8(0)); ix16740 : oai22 port map ( Y=>nx16002, A0=>C_MUX2_17_SEL, A1=>PRI_IN_1(0), B0=>nx16383, B1=>reg_24_q_c_0); ix16741 : inv01 port map ( Y=>nx16003, A=>reg_26_q_c_0); ix16742 : aoi332 port map ( Y=>nx16004, A0=>nx15999, A1=>nx15994, A2=> nx16001, B0=>nx16002, B1=>C_MUX2_21_SEL, B2=>nx15994, C0=> C_MUX2_22_SEL, C1=>nx16003); nx6911_EXMPLR : inv02 port map ( Y=>nx6911, A=>nx16004); ix16743 : inv01 port map ( Y=>nx16005, A=>nx16004); ix16744 : nand02 port map ( Y=>nx16007, A0=>PRI_IN_8(0), A1=>nx15999); ix16745 : inv02 port map ( Y=>nx16009, A=>PRI_IN_1(0)); ix16746 : nand02 port map ( Y=>nx16010, A0=>PRI_IN_1(0), A1=>nx16383); ix16748 : inv01 port map ( Y=>nx16011, A=>reg_24_q_c_0); ix16750 : aoi332 port map ( Y=>nx16012, A0=>nx16007, A1=>nx16383, A2=> nx16009, B0=>nx16010, B1=>nx16007, B2=>nx16011, C0=>nx15999, C1=> nx16001); nx6853_EXMPLR : inv01 port map ( Y=>nx6853, A=>nx16012); ix16752 : and02 port map ( Y=>nx16013, A0=>PRI_OUT_5_9_EXMPLR, A1=> PRI_IN_7(9)); nx11201_EXMPLR : oai22 port map ( Y=>nx11201, A0=>nx16013, A1=>nx8624, B0 =>nx16013, B1=>nx8976); ix16754 : and02 port map ( Y=>nx16014, A0=>nx15776, A1=>nx15777); ix16755 : and02 port map ( Y=>nx16015, A0=>nx17134, A1=>nx17116); ix16756 : nand04 port map ( Y=>nx16017, A0=>nx16015, A1=>nx16037, A2=> nx17188, A3=>nx16039); ix16757 : inv01 port map ( Y=>nx16019, A=>nx17116); ix16758 : inv02 port map ( Y=>nx16020, A=>nx11183); ix16759 : inv02 port map ( Y=>nx16021, A=>nx17134); ix16760 : aoi32 port map ( Y=>nx16022, A0=>nx16019, A1=>nx17134, A2=> nx16020, B0=>nx11084, B1=>nx16021); ix16761 : nand03 port map ( Y=>nx16023, A0=>nx16037, A1=>nx17188, A2=> nx16039); ix16762 : inv01 port map ( Y=>nx16024, A=>nx16039); ix16763 : and02 port map ( Y=>nx16025, A0=>nx17188, A1=>nx16040); ix16764 : inv02 port map ( Y=>nx16026, A=>nx16037); ix16765 : inv02 port map ( Y=>nx16027, A=>nx12093); ix16766 : inv01 port map ( Y=>nx16028, A=>nx17188); ix16767 : inv02 port map ( Y=>nx16029, A=>nx12987); ix16768 : aoi332 port map ( Y=>nx16030, A0=>nx13084, A1=>nx17188, A2=> nx16024, B0=>nx16025, B1=>nx16026, B2=>nx16027, C0=>nx16028, C1=> nx16029); nx17204_EXMPLR : oai221 port map ( Y=>nx17204, A0=>nx14453, A1=>nx16017, B0=>nx16022, B1=>nx16023, C0=>nx16030); ix16769 : nand04 port map ( Y=>nx16031, A0=>nx14453, A1=>nx16015, A2=> nx16040, A3=>nx16038); ix16770 : oai32 port map ( Y=>nx16032, A0=>nx17116, A1=>nx16021, A2=> nx16020, B0=>nx17134, B1=>nx11084); ix16772 : inv02 port map ( Y=>nx16033, A=>nx13084); ix16773 : aoi332 port map ( Y=>nx16034, A0=>nx16026, A1=>nx16040, A2=> nx12093, B0=>nx16032, B1=>nx16040, B2=>nx16038, C0=>nx16024, C1=> nx16033); nx14485_EXMPLR : nand02 port map ( Y=>nx14485, A0=>nx16031, A1=>nx16034); ix16774 : nand02 port map ( Y=>nx16035, A0=>nx16038, A1=>nx16015); nx17168_EXMPLR : oai222 port map ( Y=>nx17168, A0=>nx16038, A1=>nx12093, B0=>nx16026, B1=>nx16022, C0=>nx14453, C1=>nx16035); ix16775 : oai32 port map ( Y=>nx16036, A0=>nx16021, A1=>nx16020, A2=> nx17116, B0=>nx17134, B1=>nx11084); nx14469_EXMPLR : ao21 port map ( Y=>nx14469, A0=>nx14453, A1=>nx16015, B0 =>nx16036); nx17132_EXMPLR : oai22 port map ( Y=>nx17132, A0=>nx17116, A1=>nx11183, B0=>nx16019, B1=>nx14453); ix16776 : buf04 port map ( Y=>nx16037, A=>nx17152); ix16777 : buf04 port map ( Y=>nx16038, A=>nx17152); ix16778 : buf04 port map ( Y=>nx16039, A=>nx17170); ix16779 : buf04 port map ( Y=>nx16040, A=>nx17170); ix16780 : inv02 port map ( Y=>nx16041, A=>nx11573); ix16781 : inv02 port map ( Y=>nx16043, A=>C_MUX2_21_SEL); ix16782 : inv02 port map ( Y=>nx16044, A=>nx11781); ix16784 : oai221 port map ( Y=>nx16045, A0=>nx16041, A1=>nx16071, B0=> nx16044, B1=>C_MUX2_21_SEL, C0=>reg_50_q_c_11); ix16786 : inv02 port map ( Y=>nx16046, A=>reg_50_q_c_10); ix16788 : inv02 port map ( Y=>nx16047, A=>nx11337); ix16790 : inv02 port map ( Y=>nx16048, A=>nx11131); ix16791 : aoi22 port map ( Y=>nx16049, A0=>nx16071, A1=>nx16047, B0=> C_MUX2_21_SEL, B1=>nx16048); ix16792 : nand02 port map ( Y=>nx16050, A0=>nx16046, A1=>nx16049); ix16793 : inv02 port map ( Y=>nx16051, A=>reg_50_q_c_9); ix16794 : aoi221 port map ( Y=>nx16053, A0=>C_MUX2_21_SEL, A1=>nx10675, B0=>nx10871, B1=>nx16071, C0=>nx16051); ix16795 : inv02 port map ( Y=>nx16055, A=>reg_50_q_c_8); ix16796 : inv02 port map ( Y=>nx16057, A=>nx10431); ix16797 : inv02 port map ( Y=>nx16058, A=>nx10229); ix16798 : aoi22 port map ( Y=>nx16059, A0=>nx16071, A1=>nx16057, B0=> C_MUX2_21_SEL, B1=>nx16058); ix16799 : nor02 port map ( Y=>nx16060, A0=>nx16055, A1=>nx16059); ix16800 : inv02 port map ( Y=>nx16061, A=>nx10711); ix16801 : nor02 port map ( Y=>nx16062, A0=>nx16046, A1=>nx16049); ix16802 : inv02 port map ( Y=>nx16063, A=>nx11613); ix16803 : inv02 port map ( Y=>nx16065, A=>reg_50_q_c_11); ix16804 : aoi221 port map ( Y=>nx16067, A0=>nx11573, A1=>C_MUX2_21_SEL, B0=>nx11781, B1=>nx16071, C0=>nx16065); ix16805 : nor02 port map ( Y=>nx16068, A0=>nx16063, A1=>nx16067); nx6609_EXMPLR : oai22 port map ( Y=>nx6609, A0=>C_MUX2_21_SEL, A1=> nx11781, B0=>nx16072, B1=>nx11573); nx11026_EXMPLR : inv01 port map ( Y=>nx11026, A=>nx16254); nx6595_EXMPLR : oai22 port map ( Y=>nx6595, A0=>C_MUX2_21_SEL, A1=> nx11337, B0=>nx16072, B1=>nx11131); ix16806 : inv01 port map ( Y=>nx16069, A=>nx16255); ix16808 : aoi22 port map ( Y=>nx16070, A0=>nx11337, A1=>nx16072, B0=> C_MUX2_21_SEL, B1=>nx11131); nx11171_EXMPLR : oai22 port map ( Y=>nx11171, A0=>nx16070, A1=> reg_50_q_c_10, B0=>nx16046, B1=>nx16049); nx6579_EXMPLR : oai22 port map ( Y=>nx6579, A0=>C_MUX2_21_SEL, A1=> nx10871, B0=>nx16072, B1=>nx10675); nx9026_EXMPLR : or02 port map ( Y=>nx9026, A0=>nx16060, A1=>nx9024); nx6567_EXMPLR : oai22 port map ( Y=>nx6567, A0=>C_MUX2_21_SEL, A1=> nx10431, B0=>nx16072, B1=>nx10229); ix16809 : buf04 port map ( Y=>nx16071, A=>nx16043); ix16810 : buf04 port map ( Y=>nx16072, A=>nx16043); ix16811 : inv02 port map ( Y=>nx16073, A=>C_MUX2_15_SEL); ix16812 : ao22 port map ( Y=>nx16075, A0=>reg_13_q_c_0, A1=>nx16073, B0=> nx694, B1=>C_MUX2_15_SEL); nx6685_XX0_XREP239_EXMPLR : oai22 port map ( Y=>nx6685_XX0_XREP239, A0=> C_MUX2_15_SEL, A1=>reg_13_q_c_0, B0=>nx16073, B1=>nx694); ix16813 : inv01 port map ( Y=>nx16077, A=>C_MUX2_25_SEL); ix16814 : inv01 port map ( Y=>nx16078, A=>reg_16_q_c_3); ix16815 : inv01 port map ( Y=>nx16079, A=>PRI_IN_2(3)); ix16816 : aoi22 port map ( Y=>nx16080, A0=>nx16077, A1=>nx16078, B0=> C_MUX2_25_SEL, B1=>nx16079); ix16817 : inv01 port map ( Y=>nx16081, A=>C_MUX2_18_SEL); nx7905_EXMPLR : oai332 port map ( Y=>nx7905, A0=>nx16080, A1=>nx16386, A2 =>C_MUX2_18_SEL, B0=>reg_6_q_c_3, B1=>C_MUX2_20_SEL, B2=>C_MUX2_18_SEL, C0=>nx16081, C1=>reg_11_q_c_3); ix16818 : inv01 port map ( Y=>nx16082, A=>reg_6_q_c_3); nx6493_EXMPLR : oai332 port map ( Y=>nx6493, A0=>nx16387, A1=>nx16078, A2 =>C_MUX2_25_SEL, B0=>nx16386, B1=>nx16079, B2=>nx16077, C0=>nx16082, C1=>C_MUX2_20_SEL); nx7935_EXMPLR : oai22 port map ( Y=>nx7935, A0=>C_MUX2_25_SEL, A1=> reg_16_q_c_3, B0=>nx16077, B1=>PRI_IN_2(3)); ix16820 : and02 port map ( Y=>nx16083, A0=>nx16433, A1=>nx16430); nx11325_EXMPLR : oai22 port map ( Y=>nx11325, A0=>nx16083, A1=>nx9256, B0 =>nx16083, B1=>nx9258); ix16822 : inv02 port map ( Y=>nx16084, A=>nx16453); ix16824 : inv02 port map ( Y=>nx16085, A=>nx16451); ix16826 : oai22 port map ( Y=>nx16086, A0=>nx16084, A1=>nx16085, B0=> nx16451, B1=>nx16453); ix16827 : inv01 port map ( Y=>nx16087, A=>nx14817); ix16828 : inv02 port map ( Y=>nx16088, A=>C_MUX2_8_SEL); ix16829 : inv02 port map ( Y=>nx16089, A=>reg_5_q_c_12); ix16830 : inv02 port map ( Y=>nx16091, A=>PRI_IN_4(12)); ix16831 : aoi22 port map ( Y=>nx16092, A0=>nx16146, A1=>nx16089, B0=> C_MUX2_8_SEL, B1=>nx16091); ix16832 : aoi22 port map ( Y=>nx16093, A0=>reg_5_q_c_12, A1=>nx16146, B0 =>PRI_IN_4(12), B1=>C_MUX2_8_SEL); nx12171_EXMPLR : oai22 port map ( Y=>nx12171, A0=>nx16087, A1=>nx16092, B0=>nx16093, B1=>nx14817); ix16833 : inv01 port map ( Y=>nx16094, A=>nx12171); nx14843_EXMPLR : inv02 port map ( Y=>nx14843, A=>nx11675); ix16834 : ao22 port map ( Y=>nx16095, A0=>reg_5_q_c_12, A1=>nx16146, B0=> PRI_IN_4(12), B1=>C_MUX2_8_SEL); ix16835 : inv02 port map ( Y=>nx16096, A=>nx12136); ix16836 : inv01 port map ( Y=>nx16097, A=>nx16432); ix16837 : aoi22 port map ( Y=>nx16098, A0=>reg_5_q_c_10, A1=>nx16146, B0 =>C_MUX2_8_SEL, B1=>PRI_IN_4(10)); ix16838 : inv02 port map ( Y=>nx16099, A=>reg_5_q_c_10); ix16839 : inv02 port map ( Y=>nx16100, A=>PRI_IN_4(10)); ix16840 : aoi22 port map ( Y=>nx16101, A0=>nx16146, A1=>nx16099, B0=> C_MUX2_8_SEL, B1=>nx16100); ix16841 : oai22 port map ( Y=>nx16102, A0=>nx16097, A1=>nx16098, B0=> nx16101, B1=>nx16432); ix16842 : inv02 port map ( Y=>nx16103, A=>nx16428); ix16844 : inv01 port map ( Y=>nx16104, A=>nx15750); ix16845 : oai22 port map ( Y=>nx16105, A0=>nx16103, A1=>nx16104, B0=> nx15750, B1=>nx16428); ix16846 : ao22 port map ( Y=>nx16106, A0=>reg_5_q_c_8, A1=>nx16147, B0=> C_MUX2_8_SEL, B1=>PRI_IN_4(8)); ix16847 : inv01 port map ( Y=>nx16107, A=>nx16420); ix16848 : aoi22 port map ( Y=>nx16108, A0=>reg_5_q_c_8, A1=>nx16147, B0=> C_MUX2_8_SEL, B1=>PRI_IN_4(8)); ix16849 : nand02 port map ( Y=>nx16109, A0=>nx16421, A1=>nx16108); ix16850 : inv02 port map ( Y=>nx16110, A=>nx16417); ix16851 : inv01 port map ( Y=>nx16111, A=>nx6547); ix16852 : oai22 port map ( Y=>nx16113, A0=>nx16110, A1=>nx16111, B0=> nx6547, B1=>nx16417); ix16853 : inv01 port map ( Y=>nx16115, A=>nx16413); ix16854 : inv01 port map ( Y=>nx16116, A=>nx14799); ix16856 : oai22 port map ( Y=>nx16117, A0=>nx16115, A1=>nx14799, B0=> nx16116, B1=>nx16413); ix16858 : inv01 port map ( Y=>nx16118, A=>nx16409); ix16860 : inv01 port map ( Y=>nx16119, A=>nx16407); ix16862 : oai22 port map ( Y=>nx16120, A0=>nx16118, A1=>nx16119, B0=> nx16407, B1=>nx16409); ix16863 : inv01 port map ( Y=>nx16121, A=>nx4520); ix16864 : aoi332 port map ( Y=>nx16123, A0=>nx16407, A1=>nx8956, A2=> nx16118, B0=>nx16119, B1=>nx8956, B2=>nx16409, C0=>nx16120, C1=> nx16121); ix16865 : inv01 port map ( Y=>nx16125, A=>nx9411); ix16866 : aoi22 port map ( Y=>nx16126, A0=>nx16117, A1=>nx16123, B0=> nx16125, B1=>nx16115); ix16867 : aoi332 port map ( Y=>nx16127, A0=>nx6547, A1=>nx9855, A2=> nx16110, B0=>nx16111, B1=>nx9855, B2=>nx16417, C0=>nx16113, C1=> nx16126); ix16868 : aoi22 port map ( Y=>nx16128, A0=>nx16106, A1=>nx16107, B0=> nx16109, B1=>nx16127); ix16869 : aoi332 port map ( Y=>nx16129, A0=>nx15750, A1=>nx10766, A2=> nx16103, B0=>nx16104, B1=>nx10766, B2=>nx16428, C0=>nx16105, C1=> nx16128); ix16870 : nor02 port map ( Y=>nx16130, A0=>nx16098, A1=>nx11230); ix16871 : inv01 port map ( Y=>nx16131, A=>nx11707); ix16872 : nand02 port map ( Y=>nx16133, A0=>nx16131, A1=>nx16094); ix16873 : aoi321 port map ( Y=>nx16135, A0=>nx16094, A1=>nx11707, A2=> nx14843, B0=>nx16095, B1=>nx16096, C0=>nx16316); ix16874 : aoi332 port map ( Y=>nx16136, A0=>nx16451, A1=>nx12557, A2=> nx16084, B0=>nx16085, B1=>nx12557, B2=>nx16453, C0=>nx16086, C1=> nx16135); ix16875 : inv02 port map ( Y=>nx16137, A=>nx16457); ix16876 : inv02 port map ( Y=>nx16138, A=>nx16455); ix16877 : aoi22 port map ( Y=>nx16139, A0=>nx16455, A1=>nx16137, B0=> nx16457, B1=>nx16138); nx13075_EXMPLR : oai22 port map ( Y=>nx13075, A0=>nx16137, A1=>nx16138, B0=>nx16457, B1=>nx16455); ix16878 : nand02 port map ( Y=>nx16140, A0=>nx13075, A1=>nx16136); nx14460_EXMPLR : oai21 port map ( Y=>nx14460, A0=>nx16136, A1=>nx16139, B0=>nx16140); nx13073_EXMPLR : inv01 port map ( Y=>nx13073, A=>nx16136); nx12520_EXMPLR : inv01 port map ( Y=>nx12520, A=>nx16135); nx14845_EXMPLR : inv02 port map ( Y=>nx14845, A=>nx12557); nx12595_EXMPLR : oai22 port map ( Y=>nx12595, A0=>nx16085, A1=>nx16453, B0=>nx16084, B1=>nx16451); nx12169_EXMPLR : ao22 port map ( Y=>nx12169, A0=>nx16317, A1=>nx16131, B0 =>nx11707, B1=>nx11675); ix16880 : inv01 port map ( Y=>nx16141, A=>nx16094); nx12173_EXMPLR : oai22 port map ( Y=>nx12173, A0=>C_MUX2_8_SEL, A1=> reg_5_q_c_12, B0=>nx16147, B1=>PRI_IN_4(12)); nx10520_EXMPLR : inv01 port map ( Y=>nx10520, A=>nx16317); nx11266_EXMPLR : inv01 port map ( Y=>nx11266, A=>nx16129); nx11269_EXMPLR : oai22 port map ( Y=>nx11269, A0=>nx16097, A1=>nx16101, B0=>nx16098, B1=>nx16432); nx11271_EXMPLR : oai22 port map ( Y=>nx11271, A0=>C_MUX2_8_SEL, A1=> reg_5_q_c_10, B0=>nx16147, B1=>PRI_IN_4(10)); nx8520_EXMPLR : inv01 port map ( Y=>nx8520, A=>nx16128); nx14841_EXMPLR : inv01 port map ( Y=>nx14841, A=>nx10766); nx10799_EXMPLR : oai22 port map ( Y=>nx10799, A0=>nx16104, A1=>nx16428, B0=>nx16103, B1=>nx15750); nx10363_EXMPLR : inv01 port map ( Y=>nx10363, A=>nx16127); ix16881 : inv02 port map ( Y=>nx16142, A=>reg_5_q_c_8); ix16882 : inv02 port map ( Y=>nx16143, A=>PRI_IN_4(8)); ix16883 : aoi22 port map ( Y=>nx16144, A0=>nx16147, A1=>nx16142, B0=> C_MUX2_8_SEL, B1=>nx16143); nx10365_EXMPLR : oai22 port map ( Y=>nx10365, A0=>nx16107, A1=>nx16144, B0=>nx16108, B1=>nx16421); nx10367_EXMPLR : oai22 port map ( Y=>nx10367, A0=>C_MUX2_8_SEL, A1=> reg_5_q_c_8, B0=>nx16147, B1=>PRI_IN_4(8)); nx6520_EXMPLR : inv01 port map ( Y=>nx6520, A=>nx16126); nx14839_EXMPLR : inv01 port map ( Y=>nx14839, A=>nx9855); nx9891_EXMPLR : oai22 port map ( Y=>nx9891, A0=>nx16111, A1=>nx16417, B0 =>nx16110, B1=>nx6547); nx14837_EXMPLR : inv01 port map ( Y=>nx14837, A=>nx8956); ix16884 : aoi22 port map ( Y=>nx16145, A0=>nx16409, A1=>nx16407, B0=> nx16119, B1=>nx16118); nx9445_EXMPLR : oai332 port map ( Y=>nx9445, A0=>nx16407, A1=>nx14837, A2 =>nx16118, B0=>nx16119, B1=>nx14837, B2=>nx16409, C0=>nx16145, C1=> nx4520); nx9447_EXMPLR : oai22 port map ( Y=>nx9447, A0=>nx16116, A1=>nx16115, B0 =>nx16413, B1=>nx14799); nx8989_EXMPLR : oai22 port map ( Y=>nx8989, A0=>nx16119, A1=>nx16409, B0 =>nx16118, B1=>nx16407); ix16885 : buf04 port map ( Y=>nx16146, A=>nx16088); ix16886 : buf04 port map ( Y=>nx16147, A=>nx16088); nx2814_EXMPLR : oai33 port map ( Y=>nx2814, A0=>nx16381, A1=>nx16304, A2 =>C_MUX2_5_SEL, B0=>nx16380, B1=>nx16307, B2=>nx16305); nx8109_EXMPLR : oai22 port map ( Y=>nx8109, A0=>C_MUX2_5_SEL, A1=> PRI_IN_3(3), B0=>nx16305, B1=>reg_6_q_c_3); ix16887 : nand02 port map ( Y=>nx16148, A0=>PRI_OUT_5_8_EXMPLR, A1=> PRI_IN_7(8)); ix16888 : inv01 port map ( Y=>nx16149, A=>C_MUX2_5_SEL); ix16889 : inv01 port map ( Y=>nx16150, A=>PRI_IN_3(5)); ix16890 : inv01 port map ( Y=>nx16151, A=>reg_6_q_c_5); ix16892 : aoi22 port map ( Y=>nx16152, A0=>nx16149, A1=>nx16150, B0=> C_MUX2_5_SEL, B1=>nx16151); nx14727_EXMPLR : inv01 port map ( Y=>nx14727, A=>C_MUX2_11_SEL); ix16893 : inv01 port map ( Y=>nx16153, A=>C_MUX2_13_SEL); nx9136_EXMPLR : oai332 port map ( Y=>nx9136, A0=>nx16152, A1=>nx14727, A2 =>C_MUX2_13_SEL, B0=>reg_10_q_c_5, B1=>C_MUX2_11_SEL, B2=> C_MUX2_13_SEL, C0=>nx16153, C1=>reg_8_q_c_5); ix16894 : ao22 port map ( Y=>nx16154, A0=>PRI_IN_3(5), A1=>nx16149, B0=> reg_6_q_c_5, B1=>C_MUX2_5_SEL); nx9215_EXMPLR : aoi22 port map ( Y=>nx9215, A0=>reg_10_q_c_5, A1=>nx14727, B0=>C_MUX2_11_SEL, B1=>nx16154); nx4816_EXMPLR : inv01 port map ( Y=>nx4816, A=>nx9215); ix16895 : inv02 port map ( Y=>nx16155, A=>nx9215); nx9012_EXMPLR : oai22 port map ( Y=>nx9012, A0=>C_MUX2_5_SEL, A1=> PRI_IN_3(5), B0=>nx16149, B1=>reg_6_q_c_5); ix16896 : inv02 port map ( Y=>nx16156, A=>nx12398); ix16898 : nand04 port map ( Y=>nx16157, A0=>nx11398, A1=>nx8398, A2=> nx16184, A3=>nx9398); nx11043_EXMPLR : inv02 port map ( Y=>nx11043, A=>PRI_IN_0(9)); ix16899 : and02 port map ( Y=>nx16159, A0=>nx16433, A1=>nx11043); nx11953_EXMPLR : inv02 port map ( Y=>nx11953, A=>PRI_IN_0(11)); ix16900 : inv01 port map ( Y=>nx16160, A=>nx8398); ix16901 : inv02 port map ( Y=>nx16161, A=>PRI_IN_0(8)); ix16902 : nand04 port map ( Y=>nx16162, A0=>nx9398, A1=>nx16160, A2=> nx16184, A3=>nx16161); ix16903 : oai21 port map ( Y=>nx16163, A0=>nx16184, A1=>PRI_IN_0(10), B0 =>nx16162); ix16904 : aoi322 port map ( Y=>nx16164, A0=>nx11398, A1=>nx16185, A2=> nx16159, B0=>nx14645, B1=>nx11953, C0=>nx11398, C1=>nx16163); ix16905 : oai322 port map ( Y=>nx16165, A0=>nx16156, A1=>nx10135, A2=> nx16157, B0=>nx12398, B1=>PRI_IN_0(12), C0=>nx16156, C1=>nx16164); ix16906 : inv01 port map ( Y=>nx16166, A=>nx16165); nx12558_EXMPLR : inv01 port map ( Y=>nx12558, A=>nx16166); ix16907 : inv01 port map ( Y=>nx16167, A=>nx16166); ix16908 : nand02 port map ( Y=>nx16169, A0=>nx14645, A1=>nx11953); ix16909 : inv01 port map ( Y=>nx16171, A=>nx10135); ix16910 : and02 port map ( Y=>nx16173, A0=>nx16185, A1=>nx9398); ix16911 : inv01 port map ( Y=>nx16174, A=>nx9398); ix16912 : inv02 port map ( Y=>nx16175, A=>nx16434); ix16913 : oai32 port map ( Y=>nx16176, A0=>nx8398, A1=>nx16174, A2=> PRI_IN_0(8), B0=>nx16175, B1=>PRI_IN_0(9)); ix16914 : inv02 port map ( Y=>nx16177, A=>nx16185); ix16916 : inv02 port map ( Y=>nx16178, A=>PRI_IN_0(10)); ix16917 : aoi322 port map ( Y=>nx16179, A0=>nx16171, A1=>nx8398, A2=> nx16173, B0=>nx16185, B1=>nx16176, C0=>nx16177, C1=>nx16178); ix16918 : aoi21 port map ( Y=>nx16181, A0=>nx14645, A1=>nx11953, B0=> nx11398); nx11951_EXMPLR : ao21 port map ( Y=>nx11951, A0=>nx16169, A1=>nx16179, B0 =>nx16181); nx10558_EXMPLR : inv01 port map ( Y=>nx10558, A=>nx16179); ix16919 : aoi21 port map ( Y=>nx16183, A0=>PRI_IN_0(8), A1=>nx16160, B0=> nx16174); nx11041_EXMPLR : oai32 port map ( Y=>nx11041, A0=>nx16171, A1=>nx16160, A2=>nx16159, B0=>nx16159, B1=>nx16183); nx8558_EXMPLR : oai22 port map ( Y=>nx8558, A0=>nx8398, A1=>PRI_IN_0(8), B0=>nx16160, B1=>nx10135); ix16920 : buf04 port map ( Y=>nx16184, A=>nx10398); ix16921 : buf04 port map ( Y=>nx16185, A=>nx10398); ix16922 : and02 port map ( Y=>nx16186, A0=>reg_47_q_c_13, A1=> PRI_OUT_9_13_EXMPLR); ix16923 : and02 port map ( Y=>nx16187, A0=>reg_47_q_c_12, A1=> PRI_OUT_9_12_EXMPLR); ix16924 : inv02 port map ( Y=>nx16188, A=>nx12567); nx13043_EXMPLR : oai32 port map ( Y=>nx13043, A0=>nx12898, A1=>nx16186, A2=>nx16187, B0=>nx16188, B1=>nx16186); ix16925 : inv02 port map ( Y=>nx16189, A=>PRI_OUT_9_15_EXMPLR); ix16926 : inv02 port map ( Y=>nx16191, A=>reg_47_q_c_15); ix16927 : aoi22 port map ( Y=>nx16193, A0=>PRI_OUT_9_15_EXMPLR, A1=> reg_47_q_c_15, B0=>nx16189, B1=>nx16191); ix16928 : nor02 port map ( Y=>nx16194, A0=>reg_47_q_c_14, A1=> PRI_OUT_9_14_EXMPLR); ix16929 : nand02 port map ( Y=>nx16195, A0=>reg_47_q_c_13, A1=> PRI_OUT_9_13_EXMPLR); ix16930 : aoi221 port map ( Y=>nx16196, A0=>reg_47_q_c_13, A1=> PRI_OUT_9_13_EXMPLR, B0=>reg_47_q_c_12, B1=>PRI_OUT_9_12_EXMPLR, C0=> nx12898); ix16931 : aoi21 port map ( Y=>nx16197, A0=>nx12567, A1=>nx16195, B0=> nx16196); ix16932 : inv02 port map ( Y=>nx16198, A=>reg_47_q_c_14); ix16933 : inv02 port map ( Y=>nx16199, A=>PRI_OUT_9_14_EXMPLR); ix16934 : oai21 port map ( Y=>nx16200, A0=>nx16198, A1=>nx16199, B0=> nx16193); nx13466_EXMPLR : inv02 port map ( Y=>nx13466, A=>nx16193); ix16935 : and02 port map ( Y=>nx16201, A0=>reg_47_q_c_14, A1=> PRI_OUT_9_14_EXMPLR); ix16936 : aoi22 port map ( Y=>nx16202, A0=>nx16193, A1=>nx16194, B0=> nx13466, B1=>nx16201); nx14924_EXMPLR : oai321 port map ( Y=>nx14924, A0=>nx13043, A1=>nx16193, A2=>nx16194, B0=>nx16197, B1=>nx16200, C0=>nx16202); nx13047_EXMPLR : oai22 port map ( Y=>nx13047, A0=>nx16198, A1=>nx16199, B0=>reg_47_q_c_14, B1=>PRI_OUT_9_14_EXMPLR); nx12900_EXMPLR : or02 port map ( Y=>nx12900, A0=>nx16187, A1=>nx12898); ix16937 : and02 port map ( Y=>nx16203, A0=>PRI_OUT_2_13_EXMPLR, A1=> PRI_IN_6(13)); ix16938 : and02 port map ( Y=>nx16204, A0=>nx16449, A1=>PRI_IN_6(12)); ix16939 : inv02 port map ( Y=>nx16205, A=>nx14353); nx14358_EXMPLR : oai32 port map ( Y=>nx14358, A0=>nx16890, A1=>nx16203, A2=>nx16204, B0=>nx16205, B1=>nx16203); ix16940 : inv02 port map ( Y=>nx16207, A=>PRI_IN_6(15)); ix16942 : inv02 port map ( Y=>nx16208, A=>nx16459); ix16943 : aoi22 port map ( Y=>nx16209, A0=>PRI_IN_6(15), A1=>nx16459, B0 =>nx16207, B1=>nx16208); ix16944 : nor02 port map ( Y=>nx16210, A0=>nx16458, A1=>PRI_IN_6(14)); ix16945 : nand02 port map ( Y=>nx16211, A0=>PRI_OUT_2_13_EXMPLR, A1=> PRI_IN_6(13)); ix16946 : aoi221 port map ( Y=>nx16212, A0=>PRI_OUT_2_13_EXMPLR, A1=> PRI_IN_6(13), B0=>nx16449, B1=>PRI_IN_6(12), C0=>nx16890); ix16948 : aoi21 port map ( Y=>nx16213, A0=>nx14353, A1=>nx16211, B0=> nx16212); ix16949 : inv02 port map ( Y=>nx16214, A=>nx16458); ix16950 : inv02 port map ( Y=>nx16215, A=>PRI_IN_6(14)); ix16951 : oai222 port map ( Y=>nx16216, A0=>nx16207, A1=>nx16208, B0=> PRI_IN_6(15), B1=>nx16459, C0=>nx16214, C1=>nx16215); nx14372_EXMPLR : oai22 port map ( Y=>nx14372, A0=>nx16207, A1=>nx16208, B0=>PRI_IN_6(15), B1=>nx16459); ix16952 : and02 port map ( Y=>nx16217, A0=>nx16458, A1=>PRI_IN_6(14)); ix16953 : aoi22 port map ( Y=>nx16218, A0=>nx16209, A1=>nx16210, B0=> nx14372, B1=>nx16217); nx16932_EXMPLR : oai321 port map ( Y=>nx16932, A0=>nx14358, A1=>nx16209, A2=>nx16210, B0=>nx16213, B1=>nx16216, C0=>nx16218); nx14363_EXMPLR : oai22 port map ( Y=>nx14363, A0=>nx16214, A1=>nx16215, B0=>nx16458, B1=>PRI_IN_6(14)); nx16892_EXMPLR : or02 port map ( Y=>nx16892, A0=>nx16204, A1=>nx16890); ix16954 : nor02 port map ( Y=>nx16219, A0=>nx15847, A1=>nx15855); ix16956 : nor02 port map ( Y=>nx16220, A0=>nx15873, A1=>nx15874); ix16958 : aoi21 port map ( Y=>nx16221, A0=>nx7258, A1=>nx15872, B0=> nx16220); ix16959 : and02 port map ( Y=>nx16222, A0=>nx6469, A1=>nx15786); ix16960 : inv02 port map ( Y=>nx16223, A=>PRI_IN_3(1)); ix16961 : oai32 port map ( Y=>nx16224, A0=>nx16222, A1=>PRI_IN_3(1), A2=> nx16393, B0=>nx16223, B1=>nx16377); ix16962 : inv01 port map ( Y=>nx16225, A=>nx16224); nx900_EXMPLR : inv02 port map ( Y=>nx900, A=>nx16225); ix16963 : inv01 port map ( Y=>nx16226, A=>nx16225); PRI_OUT_3_1_EXMPLR_EXMPLR : oai22 port map ( Y=>PRI_OUT_3_dup0_1, A0=> nx16394, A1=>nx6469, B0=>nx16394, B1=>nx15786); ix16964 : inv02 port map ( Y=>PRI_OUT_3(1), A=>nx16377); ix16965 : inv01 port map ( Y=>nx16227, A=>nx16377); ix16966 : inv01 port map ( Y=>nx16229, A=>nx16401); ix16967 : inv01 port map ( Y=>nx16231, A=>nx16401); ix16968 : inv01 port map ( Y=>nx16232, A=>nx16401); nx14847_EXMPLR : buf04 port map ( Y=>nx14847, A=>nx16377); ix16969 : inv02 port map ( Y=>nx16233, A=>nx16062); ix16970 : nand02 port map ( Y=>nx16234, A0=>nx16045, A1=>nx16233); ix16971 : aoi321 port map ( Y=>nx16235, A0=>nx16061, A1=>nx16050, A2=> nx16060, B0=>nx16050, B1=>nx16053, C0=>nx16234); ix16972 : inv02 port map ( Y=>nx16236, A=>nx16050); ix16974 : inv02 port map ( Y=>nx16237, A=>nx16061); ix16976 : inv02 port map ( Y=>nx16239, A=>reg_50_q_c_8); ix16977 : inv02 port map ( Y=>nx16241, A=>nx6567); ix16978 : aoi22 port map ( Y=>nx16242, A0=>nx6567, A1=>nx16239, B0=> reg_50_q_c_8, B1=>nx16241); ix16979 : or04 port map ( Y=>nx16243, A0=>nx16236, A1=>nx16237, A2=> nx16242, A3=>nx16068); ix16980 : oai22 port map ( Y=>nx16244, A0=>nx16235, A1=>nx16068, B0=> nx10263, B1=>nx16243); nx12075_EXMPLR : inv01 port map ( Y=>nx12075, A=>nx16244); ix16981 : inv02 port map ( Y=>nx16245, A=>nx16060); ix16982 : inv02 port map ( Y=>nx16246, A=>nx16053); ix16983 : nand04 port map ( Y=>nx16247, A0=>nx10263, A1=>nx16245, A2=> nx16246, A3=>nx16233); ix16984 : aoi22 port map ( Y=>nx16249, A0=>reg_50_q_c_8, A1=>nx6567, B0=> nx16239, B1=>nx16241); ix16985 : oai21 port map ( Y=>nx16251, A0=>nx16249, A1=>nx16060, B0=> nx16061); ix16986 : nor02 port map ( Y=>nx16252, A0=>nx16053, A1=>nx16062); ix16987 : aoi22 port map ( Y=>nx16253, A0=>nx16236, A1=>nx16233, B0=> nx16251, B1=>nx16252); ix16988 : nand02 port map ( Y=>nx16254, A0=>nx16247, A1=>nx16253); ix16990 : oai321 port map ( Y=>nx16255, A0=>nx10263, A1=>nx16237, A2=> nx16242, B0=>nx16245, B1=>nx16237, C0=>nx16246); nx9024_EXMPLR : nor02 port map ( Y=>nx9024, A0=>nx16242, A1=>nx10263); nx10269_EXMPLR : oai22 port map ( Y=>nx10269, A0=>nx16239, A1=>nx16241, B0=>reg_50_q_c_8, B1=>nx6567); ix16992 : inv01 port map ( Y=>nx16256, A=>nx12041); ix16994 : inv01 port map ( Y=>nx16257, A=>nx10225); ix16995 : nor04 port map ( Y=>nx16258, A0=>nx16439, A1=>nx16279, A2=> nx11129, A3=>nx16423); ix16996 : inv01 port map ( Y=>nx16259, A=>nx12136); ix16997 : inv02 port map ( Y=>nx16260, A=>nx14843); ix16998 : inv01 port map ( Y=>nx16261, A=>nx16440); ix16999 : inv01 port map ( Y=>nx16262, A=>nx16279); ix17000 : inv01 port map ( Y=>nx16263, A=>nx11129); ix17001 : inv02 port map ( Y=>nx16264, A=>nx16421); ix17002 : nand04 port map ( Y=>nx16265, A0=>nx16262, A1=>nx16263, A2=> nx16423, A3=>nx16264); ix17003 : inv02 port map ( Y=>nx16266, A=>nx11230); ix17004 : aoi32 port map ( Y=>nx16267, A0=>nx16279, A1=>nx14841, A2=> nx16263, B0=>nx11129, B1=>nx16266); ix17005 : oai222 port map ( Y=>nx16268, A0=>nx16260, A1=>nx16261, B0=> nx16440, B1=>nx16265, C0=>nx16267, C1=>nx16440); ix17006 : aoi322 port map ( Y=>nx16269, A0=>nx16256, A1=>nx16257, A2=> nx16258, B0=>nx12041, B1=>nx16259, C0=>nx16268, C1=>nx16256); nx12650_EXMPLR : inv01 port map ( Y=>nx12650, A=>nx16269); ix17007 : inv01 port map ( Y=>nx16270, A=>nx16269); ix17008 : inv02 port map ( Y=>nx16271, A=>nx16425); ix17010 : nand04 port map ( Y=>nx16272, A0=>nx10225, A1=>nx16262, A2=> nx16263, A3=>nx16271); ix17012 : inv02 port map ( Y=>nx16273, A=>nx14841); ix17013 : and02 port map ( Y=>nx16275, A0=>nx16280, A1=>nx16273); ix17014 : aoi422 port map ( Y=>nx16276, A0=>nx16263, A1=>nx16262, A2=> nx16421, A3=>nx16425, B0=>nx16263, B1=>nx16275, C0=>nx11230, C1=> nx11129); nx12039_EXMPLR : oai222 port map ( Y=>nx12039, A0=>nx16261, A1=>nx14843, B0=>nx16440, B1=>nx16272, C0=>nx16276, C1=>nx16440); ix17015 : or02 port map ( Y=>nx16277, A0=>nx16280, A1=>nx11129); ix17016 : nand03 port map ( Y=>nx16278, A0=>nx16271, A1=>nx16262, A2=> nx16263); nx10650_EXMPLR : oai321 port map ( Y=>nx10650, A0=>nx16277, A1=>nx16271, A2=>nx16421, B0=>nx10225, B1=>nx16278, C0=>nx16267); nx11127_EXMPLR : oai332 port map ( Y=>nx11127, A0=>nx16257, A1=>nx16280, A2=>nx16425, B0=>nx16280, B1=>nx16264, B2=>nx16271, C0=>nx16262, C1=> nx14841); nx8650_EXMPLR : oai22 port map ( Y=>nx8650, A0=>nx16425, A1=>nx10225, B0 =>nx16271, B1=>nx16421); ix17017 : buf04 port map ( Y=>nx16279, A=>nx10673); ix17018 : buf04 port map ( Y=>nx16280, A=>nx10673); ix17019 : ao21 port map ( Y=>nx16281, A0=>nx15848, A1=>nx15853, B0=> nx16219); ix17020 : oai22 port map ( Y=>nx16282, A0=>nx16219, A1=>nx15848, B0=> nx16219, B1=>nx15853); ix17021 : inv02 port map ( Y=>nx16283, A=>nx13133); ix17022 : inv01 port map ( Y=>nx16285, A=>nx15781); ix17023 : and02 port map ( Y=>nx16287, A0=>nx15779, A1=>nx9258); ix17024 : nand03 port map ( Y=>nx16289, A0=>nx15779, A1=>nx16434, A2=> nx16430); ix17026 : inv01 port map ( Y=>nx16290, A=>nx16014); ix17028 : nand02 port map ( Y=>nx16291, A0=>nx16283, A1=>nx16325); nx14260_EXMPLR : oai21 port map ( Y=>nx14260, A0=>nx16283, A1=>nx16326, B0=>nx16291); ix17030 : and02 port map ( Y=>nx16292, A0=>nx16434, A1=>nx16430); ix17031 : ao21 port map ( Y=>nx16293, A0=>nx16434, A1=>nx16430, B0=> nx16014); ix17032 : oai322 port map ( Y=>nx16294, A0=>nx9256, A1=>nx16292, A2=> nx16014, B0=>nx15779, B1=>nx16014, C0=>nx9258, C1=>nx16293); ix17033 : inv01 port map ( Y=>nx16295, A=>C_MUX2_25_SEL); ix17034 : inv01 port map ( Y=>nx16297, A=>reg_16_q_c_2); ix17035 : inv01 port map ( Y=>nx16299, A=>PRI_IN_2(2)); ix17036 : aoi22 port map ( Y=>nx16300, A0=>nx16295, A1=>nx16297, B0=> C_MUX2_25_SEL, B1=>nx16299); nx14771_EXMPLR : inv01 port map ( Y=>nx14771, A=>C_MUX2_20_SEL); ix17037 : inv01 port map ( Y=>nx16301, A=>C_MUX2_18_SEL); nx7427_EXMPLR : oai332 port map ( Y=>nx7427, A0=>nx16300, A1=>nx16387, A2 =>C_MUX2_18_SEL, B0=>nx16398, B1=>C_MUX2_20_SEL, B2=>C_MUX2_18_SEL, C0 =>nx16301, C1=>reg_11_q_c_2); ix17038 : inv01 port map ( Y=>nx16302, A=>nx16398); nx14707_EXMPLR : oai332 port map ( Y=>nx14707, A0=>nx16387, A1=>nx16297, A2=>C_MUX2_25_SEL, B0=>nx16387, B1=>nx16299, B2=>nx16295, C0=>nx16302, C1=>C_MUX2_20_SEL); ix17039 : nand02 port map ( Y=>nx16303, A0=>reg_16_q_c_2, A1=>nx16295); nx1776_EXMPLR : oai32 port map ( Y=>nx1776, A0=>nx16387, A1=>nx16299, A2 =>nx16295, B0=>nx16387, B1=>nx16303); nx7469_EXMPLR : oai22 port map ( Y=>nx7469, A0=>C_MUX2_25_SEL, A1=> reg_16_q_c_2, B0=>nx16295, B1=>PRI_IN_2(2)); ix17040 : inv01 port map ( Y=>nx16304, A=>PRI_IN_3(3)); ix17041 : inv01 port map ( Y=>nx16305, A=>C_MUX2_5_SEL); ix17042 : inv01 port map ( Y=>nx16307, A=>reg_6_q_c_3); ix17043 : oai22 port map ( Y=>nx16309, A0=>C_MUX2_5_SEL, A1=>nx16304, B0 =>nx16305, B1=>nx16307); ix17044 : inv01 port map ( Y=>nx16310, A=>C_MUX2_24_SEL); ix17046 : inv01 port map ( Y=>nx16311, A=>C_MUX2_13_SEL); ix17048 : nand04 port map ( Y=>nx16312, A0=>nx16309, A1=>C_MUX2_11_SEL, A2=>nx16310, A3=>nx16311); ix17049 : nor02 port map ( Y=>nx16313, A0=>C_MUX2_24_SEL, A1=> C_MUX2_13_SEL); nx14723_EXMPLR : inv01 port map ( Y=>nx14723, A=>C_MUX2_11_SEL); ix17050 : aoi33 port map ( Y=>nx16314, A0=>nx16313, A1=>reg_10_q_c_3, A2 =>nx16381, B0=>reg_8_q_c_3, B1=>C_MUX2_13_SEL, B2=>nx16310); nx2846_EXMPLR : nand02 port map ( Y=>nx2846, A0=>nx16312, A1=>nx16314); ix17051 : inv01 port map ( Y=>nx16315, A=>nx16133); ix17052 : ao32 port map ( Y=>nx16316, A0=>nx16129, A1=>nx16102, A2=> nx16315, B0=>nx16130, B1=>nx16315); ix17053 : oai22 port map ( Y=>nx16317, A0=>nx16102, A1=>nx16130, B0=> nx16129, B1=>nx16130); ix17054 : aoi21 port map ( Y=>nx16318, A0=>nx15876, A1=>nx16221, B0=> nx10422); ix17055 : and02 port map ( Y=>nx16319, A0=>nx14657, A1=>nx16426); ix17056 : oai21 port map ( Y=>nx16320, A0=>nx16318, A1=>nx16319, B0=> nx16287); ix17057 : nand04 port map ( Y=>nx16321, A0=>nx16320, A1=>nx16290, A2=> nx16289, A3=>nx15780); ix17058 : and02 port map ( Y=>nx16323, A0=>nx16285, A1=>nx16321); ix17059 : or03 port map ( Y=>nx16324, A0=>nx16323, A1=>nx15767, A2=> nx13563); ix17060 : inv01 port map ( Y=>nx16325, A=>nx16323); ix17062 : inv01 port map ( Y=>nx16326, A=>nx16323); ix17064 : inv02 port map ( Y=>nx16327, A=>nx14657); ix17066 : inv02 port map ( Y=>nx16328, A=>nx16426); nx9256_EXMPLR : oai222 port map ( Y=>nx9256, A0=>nx16327, A1=>nx16328, B0 =>nx10422, B1=>nx16221, C0=>nx10422, C1=>nx15876); ix17067 : inv01 port map ( Y=>nx16329, A=>PRI_OUT_5_9_EXMPLR); ix17068 : inv02 port map ( Y=>nx16330, A=>PRI_IN_7(9)); ix17069 : inv01 port map ( Y=>nx16331, A=>nx8976); ix17070 : and02 port map ( Y=>nx16332, A0=>nx15838, A1=>nx16148); ix17071 : nand04 port map ( Y=>nx16333, A0=>nx15835, A1=>nx4624, A2=> nx4976, A3=>nx15836); ix17072 : oai222 port map ( Y=>nx16334, A0=>nx16329, A1=>nx16330, B0=> nx16331, B1=>nx16332, C0=>nx16331, C1=>nx16333); ix17073 : inv01 port map ( Y=>nx16335, A=>nx15825); ix17074 : nand02 port map ( Y=>nx16336, A0=>nx15818, A1=>nx15819); ix17075 : nand02 port map ( Y=>nx16337, A0=>nx15823, A1=>nx16336); ix17076 : aoi32 port map ( Y=>nx16338, A0=>nx16334, A1=>nx15821, A2=> nx16335, B0=>nx16337, B1=>nx16335); ix17077 : inv01 port map ( Y=>nx16339, A=>nx16338); ix17078 : inv01 port map ( Y=>nx16340, A=>nx16338); ix17079 : and02 port map ( Y=>nx16341, A0=>nx15818, A1=>nx15819); ix17080 : oai22 port map ( Y=>nx16342, A0=>nx16341, A1=>nx15821, B0=> nx16341, B1=>nx16334); nx8624_EXMPLR : nand03 port map ( Y=>nx8624, A0=>nx16333, A1=>nx15838, A2 =>nx16148); ix17082 : and02 port map ( Y=>nx16343, A0=>nx12878, A1=>nx11878); ix17084 : and02 port map ( Y=>nx16345, A0=>nx16443, A1=>nx16436); ix17085 : inv02 port map ( Y=>nx16347, A=>nx16444); ix17086 : inv02 port map ( Y=>nx16348, A=>nx11374); ix17087 : oai32 port map ( Y=>nx16349, A0=>nx16436, A1=>nx16347, A2=> nx16348, B0=>nx16444, B1=>reg_27_q_c_11); ix17088 : inv02 port map ( Y=>nx16350, A=>nx12878); ix17089 : inv02 port map ( Y=>nx16351, A=>nx12279); ix17090 : oai32 port map ( Y=>nx16352, A0=>nx11878, A1=>nx16350, A2=> nx16351, B0=>nx12878, B1=>reg_27_q_c_13); ix17091 : aoi321 port map ( Y=>nx16353, A0=>nx9856, A1=>nx16343, A2=> nx16345, B0=>nx16349, B1=>nx16343, C0=>nx16352); ix17092 : nor02 port map ( Y=>nx16355, A0=>nx16353, A1=>nx13878); nx13880_EXMPLR : ao21 port map ( Y=>nx13880, A0=>nx13878, A1=>nx16353, B0 =>nx16355); nx13856_EXMPLR : inv01 port map ( Y=>nx13856, A=>nx16353); ix17093 : inv02 port map ( Y=>nx16357, A=>nx11878); ix17094 : inv02 port map ( Y=>nx16358, A=>nx16437); ix17095 : aoi32 port map ( Y=>nx16359, A0=>nx16358, A1=>nx16444, A2=> nx16348, B0=>reg_27_q_c_11, B1=>nx16347); ix17096 : nand03 port map ( Y=>nx16360, A0=>nx11878, A1=>nx16444, A2=> nx16437); nx12753_EXMPLR : oai222 port map ( Y=>nx12753, A0=>nx11878, A1=>nx12279, B0=>nx16357, B1=>nx16359, C0=>nx9856, C1=>nx16360); ix17098 : oai32 port map ( Y=>nx16361, A0=>nx16347, A1=>nx16348, A2=> nx16437, B0=>nx16444, B1=>reg_27_q_c_11); nx11856_EXMPLR : ao21 port map ( Y=>nx11856, A0=>nx9856, A1=>nx16345, B0 =>nx16361); nx11853_EXMPLR : oai22 port map ( Y=>nx11853, A0=>nx16437, A1=>nx11374, B0=>nx16358, B1=>nx9856); ix17100 : and02 port map ( Y=>nx16362, A0=>nx7347, A1=>nx92); nx7474_EXMPLR : oai22 port map ( Y=>nx7474, A0=>nx16362, A1=>nx15732, B0 =>nx7347, B1=>nx92); ix17102 : inv02 port map ( Y=>nx16363, A=>nx15732); ix17103 : inv02 port map ( Y=>nx16365, A=>nx7347); nx942_EXMPLR : oai22 port map ( Y=>nx942, A0=>nx16363, A1=>nx7347, B0=> nx16365, B1=>nx15732); ix17104 : inv02 port map ( Y=>nx16367, A=>C_MUX2_25_SEL); ix17105 : aoi22 port map ( Y=>nx16368, A0=>reg_16_q_c_12, A1=>nx16367, B0 =>PRI_IN_2(12), B1=>C_MUX2_25_SEL); ix17106 : inv02 port map ( Y=>nx16369, A=>C_MUX2_18_SEL); ix17107 : nand03 port map ( Y=>nx16370, A0=>C_MUX2_20_SEL, A1=> C_MUX2_15_SEL, A2=>nx16369); nx7047_EXMPLR : inv01 port map ( Y=>nx7047, A=>C_MUX2_20_SEL); ix17108 : aoi43 port map ( Y=>nx16371, A0=>C_MUX2_15_SEL, A1=>nx16369, A2 =>reg_6_q_c_12, A3=>nx16446, B0=>reg_11_q_c_12, B1=>C_MUX2_15_SEL, B2 =>C_MUX2_18_SEL); nx12346_EXMPLR : oai21 port map ( Y=>nx12346, A0=>nx16368, A1=>nx16370, B0=>nx16371); nx14769_EXMPLR : inv02 port map ( Y=>nx14769, A=>C_MUX2_15_SEL); ix17109 : aoi332 port map ( Y=>nx16372, A0=>C_MUX2_20_SEL, A1=> PRI_IN_2(12), A2=>C_MUX2_25_SEL, B0=>C_MUX2_20_SEL, B1=>reg_16_q_c_12, B2=>nx16367, C0=>reg_6_q_c_12, C1=>nx16446); nx14717_EXMPLR : inv02 port map ( Y=>nx14717, A=>nx16372); ix17110 : inv02 port map ( Y=>nx16373, A=>nx16372); ix17111 : inv02 port map ( Y=>nx16374, A=>nx16372); ix17112 : inv02 port map ( Y=>nx16375, A=>PRI_IN_2(12)); ix17113 : nand02 port map ( Y=>nx16376, A0=>reg_16_q_c_12, A1=>nx16367); nx11776_EXMPLR : oai32 port map ( Y=>nx11776, A0=>nx16446, A1=>nx16375, A2=>nx16367, B0=>nx16446, B1=>nx16376); nx12015_EXMPLR : oai22 port map ( Y=>nx12015, A0=>C_MUX2_25_SEL, A1=> reg_16_q_c_12, B0=>nx16367, B1=>PRI_IN_2(12)); ix17114 : oai22 port map ( Y=>nx16377, A0=>nx16394, A1=>nx6469, B0=> nx15786, B1=>nx16394); ix17115 : buf16 port map ( Y=>nx16378, A=>nx14723); ix17116 : buf16 port map ( Y=>nx16379, A=>nx14723); ix17118 : buf16 port map ( Y=>nx16380, A=>nx14723); ix17120 : buf16 port map ( Y=>nx16381, A=>nx14723); ix17121 : buf16 port map ( Y=>nx16382, A=>nx15991); ix17122 : buf16 port map ( Y=>nx16383, A=>nx15991); ix17123 : buf16 port map ( Y=>nx16384, A=>nx14771); ix17124 : buf16 port map ( Y=>nx16385, A=>nx14771); ix17125 : buf16 port map ( Y=>nx16386, A=>nx14771); ix17126 : buf16 port map ( Y=>nx16387, A=>nx14771); ix17127 : buf16 port map ( Y=>nx16388, A=>nx14703); ix17128 : buf16 port map ( Y=>nx16389, A=>nx14703); ix17129 : buf16 port map ( Y=>nx16391, A=>nx14751); ix17130 : buf16 port map ( Y=>nx16392, A=>nx14751); ix17131 : buf16 port map ( Y=>nx16393, A=>nx15789); ix17132 : buf16 port map ( Y=>nx16394, A=>nx15789); ix17133 : buf16 port map ( Y=>nx16395, A=>nx7161); ix17134 : buf16 port map ( Y=>nx16396, A=>nx7161); ix17136 : buf16 port map ( Y=>nx16397, A=>reg_6_q_c_2); ix17138 : buf16 port map ( Y=>nx16398, A=>reg_6_q_c_2); ix17139 : buf16 port map ( Y=>nx16399, A=>nx14847); ix17140 : buf16 port map ( Y=>nx16401, A=>nx14847); ix17141 : buf16 port map ( Y=>PRI_OUT_2_2_EXMPLR, A=>PRI_OUT_2_dup0_2); ix17142 : buf16 port map ( Y=>nx16403, A=>PRI_OUT_2_dup0_2); ix17143 : buf16 port map ( Y=>PRI_OUT_3_4_EXMPLR, A=>nx14671); ix17144 : buf16 port map ( Y=>nx16405, A=>nx14671); ix17145 : buf16 port map ( Y=>nx16406, A=>nx6517); ix17146 : buf16 port map ( Y=>nx16407, A=>nx6517); ix17147 : buf16 port map ( Y=>nx16408, A=>nx8991); ix17148 : buf16 port map ( Y=>nx16409, A=>nx8991); ix17149 : buf16 port map ( Y=>PRI_OUT_3_6_EXMPLR, A=>nx14663); ix17150 : buf16 port map ( Y=>nx16410, A=>nx14663); ix17151 : buf16 port map ( Y=>nx16411, A=>nx9449); ix17152 : buf16 port map ( Y=>nx16413, A=>nx9449); ix17154 : buf16 port map ( Y=>PRI_OUT_2_6_EXMPLR, A=>PRI_OUT_2_dup0_6); ix17156 : buf16 port map ( Y=>nx16415, A=>PRI_OUT_2_dup0_6); ix17157 : buf16 port map ( Y=>nx16416, A=>nx9893); ix17158 : buf16 port map ( Y=>nx16417, A=>nx9893); ix17159 : buf16 port map ( Y=>nx16418, A=>nx14697); ix17160 : buf16 port map ( Y=>nx16419, A=>nx14697); ix17161 : buf16 port map ( Y=>nx16420, A=>nx10330); ix17162 : buf16 port map ( Y=>nx16421, A=>nx10330); ix17163 : buf16 port map ( Y=>nx16423, A=>nx10227); ix17164 : buf16 port map ( Y=>nx16425, A=>nx10227); ix17165 : buf16 port map ( Y=>PRI_OUT_2_8_EXMPLR, A=>PRI_OUT_2_dup0_8); ix17166 : buf16 port map ( Y=>nx16426, A=>PRI_OUT_2_dup0_8); ix17167 : buf16 port map ( Y=>nx16427, A=>nx10801); ix17168 : buf16 port map ( Y=>nx16428, A=>nx10801); ix17169 : buf16 port map ( Y=>nx16429, A=>nx14695); ix17170 : buf16 port map ( Y=>nx16430, A=>nx14695); ix17172 : buf16 port map ( Y=>nx16431, A=>nx14811); ix17174 : buf16 port map ( Y=>nx16432, A=>nx14811); ix17175 : buf16 port map ( Y=>nx16433, A=>nx14653); ix17176 : buf16 port map ( Y=>nx16434, A=>nx14653); ix17177 : buf16 port map ( Y=>PRI_OUT_2_10_EXMPLR, A=>PRI_OUT_2_dup0_10); ix17178 : buf16 port map ( Y=>nx16435, A=>PRI_OUT_2_dup0_10); ix17179 : buf16 port map ( Y=>nx16436, A=>nx9878); ix17180 : buf16 port map ( Y=>nx16437, A=>nx9878); ix17181 : buf16 port map ( Y=>nx16439, A=>nx11571); ix17182 : buf16 port map ( Y=>nx16440, A=>nx11571); ix17183 : buf16 port map ( Y=>nx16441, A=>nx11621); ix17184 : buf16 port map ( Y=>nx16442, A=>nx11621); ix17185 : buf16 port map ( Y=>nx16443, A=>nx10878); ix17186 : buf16 port map ( Y=>nx16444, A=>nx10878); ix17187 : buf16 port map ( Y=>nx16445, A=>nx7047); ix17188 : buf16 port map ( Y=>nx16446, A=>nx7047); ix17190 : buf16 port map ( Y=>nx16447, A=>nx12091); ix17192 : buf16 port map ( Y=>nx16448, A=>nx12091); ix17193 : buf16 port map ( Y=>PRI_OUT_2_12_EXMPLR, A=>PRI_OUT_2_dup0_12); ix17194 : buf16 port map ( Y=>nx16449, A=>PRI_OUT_2_dup0_12); ix17195 : buf16 port map ( Y=>nx16450, A=>nx6629); ix17196 : buf16 port map ( Y=>nx16451, A=>nx6629); ix17197 : buf16 port map ( Y=>nx16452, A=>nx12597); ix17198 : buf16 port map ( Y=>nx16453, A=>nx12597); ix17199 : buf16 port map ( Y=>nx16454, A=>nx14823); ix17200 : buf16 port map ( Y=>nx16455, A=>nx14823); ix17201 : buf16 port map ( Y=>nx16456, A=>nx13077); ix17202 : buf16 port map ( Y=>nx16457, A=>nx13077); ix17203 : buf16 port map ( Y=>PRI_OUT_2_14_EXMPLR, A=>PRI_OUT_2_dup0_14); ix17204 : buf16 port map ( Y=>nx16458, A=>PRI_OUT_2_dup0_14); ix17205 : buf16 port map ( Y=>PRI_OUT_2_15_EXMPLR, A=>PRI_OUT_2_dup0_15); ix17206 : buf16 port map ( Y=>nx16459, A=>PRI_OUT_2_dup0_15); ix17207 : buf02 port map ( Y=>nx17209, A=>nx13036); end CIRCUIT_arch ;