-- -- Definition of CIRCUIT -- -- 12/14/05 22:37:05 -- -- LeonardoSpectrum Level 3, 2004a.63 -- library IEEE; use IEEE.STD_LOGIC_1164.all; entity CIRCUIT is port ( PRI_IN_0 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_1 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_2 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_3 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_4 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_5 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_6 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_7 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_8 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_9 : IN std_logic_vector (15 DOWNTO 0) ; PRI_OUT_0 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_1 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_2 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_3 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_4 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_5 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_6 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_7 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_8 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_9 : OUT std_logic_vector (15 DOWNTO 0) ; C_MUX2_1_SEL : IN std_logic ; C_MUX2_2_SEL : IN std_logic ; C_MUX2_3_SEL : IN std_logic ; C_MUX2_4_SEL : IN std_logic ; C_MUX2_5_SEL : IN std_logic ; C_MUX2_6_SEL : IN std_logic ; C_MUX2_7_SEL : IN std_logic ; C_MUX2_8_SEL : IN std_logic ; C_MUX2_9_SEL : IN std_logic ; C_MUX2_10_SEL : IN std_logic ; C_MUX2_11_SEL : IN std_logic ; C_MUX2_12_SEL : IN std_logic ; C_MUX2_13_SEL : IN std_logic ; C_MUX2_14_SEL : IN std_logic ; C_MUX2_15_SEL : IN std_logic ; C_MUX2_16_SEL : IN std_logic ; C_MUX2_17_SEL : IN std_logic ; C_MUX2_18_SEL : IN std_logic ; C_MUX2_19_SEL : IN std_logic ; C_MUX2_20_SEL : IN std_logic ; C_MUX2_21_SEL : IN std_logic ; C_MUX2_22_SEL : IN std_logic ; C_MUX2_23_SEL : IN std_logic ; C_MUX2_24_SEL : IN std_logic ; C_MUX2_25_SEL : IN std_logic ; CLK : IN std_logic) ; end CIRCUIT ; architecture CIRCUIT_arch of CIRCUIT is signal PRI_OUT_0_15_EXMPLR, PRI_OUT_0_14_EXMPLR, PRI_OUT_0_13_EXMPLR, PRI_OUT_0_12_EXMPLR, PRI_OUT_0_11_EXMPLR, PRI_OUT_0_10_EXMPLR, PRI_OUT_0_9_EXMPLR, PRI_OUT_0_7_EXMPLR, PRI_OUT_0_6_EXMPLR, PRI_OUT_0_5_EXMPLR, PRI_OUT_0_4_EXMPLR, PRI_OUT_0_3_EXMPLR, PRI_OUT_0_2_EXMPLR, PRI_OUT_0_1_EXMPLR, PRI_OUT_0_0_EXMPLR, PRI_OUT_1_15_EXMPLR, PRI_OUT_1_14_EXMPLR, PRI_OUT_1_13_EXMPLR, PRI_OUT_1_12_EXMPLR, PRI_OUT_1_11_EXMPLR, PRI_OUT_1_10_EXMPLR, PRI_OUT_1_9_EXMPLR, PRI_OUT_1_8_EXMPLR, PRI_OUT_1_7_EXMPLR, PRI_OUT_1_6_EXMPLR, PRI_OUT_1_5_EXMPLR, PRI_OUT_1_4_EXMPLR, PRI_OUT_1_3_EXMPLR, PRI_OUT_1_2_EXMPLR, PRI_OUT_1_dup0_1, PRI_OUT_1_dup0_0, PRI_OUT_2_15_EXMPLR, PRI_OUT_2_10_EXMPLR, PRI_OUT_2_9_EXMPLR, PRI_OUT_2_8_EXMPLR, PRI_OUT_2_7_EXMPLR, PRI_OUT_2_6_EXMPLR, PRI_OUT_2_5_EXMPLR, PRI_OUT_2_4_EXMPLR, PRI_OUT_2_3_EXMPLR, PRI_OUT_2_2_EXMPLR, PRI_OUT_2_1_EXMPLR, PRI_OUT_2_0_EXMPLR, PRI_OUT_3_15_EXMPLR, PRI_OUT_3_14_EXMPLR, PRI_OUT_3_13_EXMPLR, PRI_OUT_3_12_EXMPLR, PRI_OUT_3_11_EXMPLR, PRI_OUT_5_15_EXMPLR, PRI_OUT_5_14_EXMPLR, PRI_OUT_5_13_EXMPLR, PRI_OUT_5_12_EXMPLR, PRI_OUT_5_11_EXMPLR, PRI_OUT_5_10_EXMPLR, PRI_OUT_5_9_EXMPLR, PRI_OUT_5_8_EXMPLR, PRI_OUT_5_7_EXMPLR, PRI_OUT_5_6_EXMPLR, PRI_OUT_5_5_EXMPLR, PRI_OUT_5_4_EXMPLR, PRI_OUT_5_3_EXMPLR, PRI_OUT_5_2_EXMPLR, PRI_OUT_5_1_EXMPLR, PRI_OUT_5_0_EXMPLR, PRI_OUT_7_15_EXMPLR, PRI_OUT_7_14_EXMPLR, PRI_OUT_7_12_EXMPLR, PRI_OUT_7_10_EXMPLR, PRI_OUT_7_8_EXMPLR, PRI_OUT_7_6_EXMPLR, PRI_OUT_7_4_EXMPLR, PRI_OUT_7_2_EXMPLR, PRI_OUT_7_0_EXMPLR, PRI_OUT_8_15_EXMPLR, PRI_OUT_8_14_EXMPLR, PRI_OUT_8_13_EXMPLR, PRI_OUT_8_12_EXMPLR, PRI_OUT_8_11_EXMPLR, PRI_OUT_8_10_EXMPLR, PRI_OUT_8_9_EXMPLR, PRI_OUT_8_8_EXMPLR, PRI_OUT_8_7_EXMPLR, PRI_OUT_8_6_EXMPLR, PRI_OUT_8_5_EXMPLR, PRI_OUT_8_4_EXMPLR, PRI_OUT_8_3_EXMPLR, PRI_OUT_8_2_EXMPLR, PRI_OUT_8_1_EXMPLR, PRI_OUT_8_0_EXMPLR, PRI_OUT_9_15_EXMPLR, PRI_OUT_9_14_EXMPLR, PRI_OUT_9_13_EXMPLR, PRI_OUT_9_12_EXMPLR, PRI_OUT_9_11_EXMPLR, PRI_OUT_9_10_EXMPLR, PRI_OUT_9_9_EXMPLR, PRI_OUT_9_8_EXMPLR, PRI_OUT_9_7_EXMPLR, PRI_OUT_9_6_EXMPLR, PRI_OUT_9_5_EXMPLR, PRI_OUT_9_4_EXMPLR, PRI_OUT_9_3_EXMPLR, PRI_OUT_9_2_EXMPLR, PRI_OUT_9_1_EXMPLR, PRI_OUT_9_0_EXMPLR, reg_44_q_c_0, reg_27_q_c_0, reg_19_q_c_0, reg_24_q_c_0, reg_41_q_c_0, reg_38_q_c_0, nx6, nx16, nx24, nx34, nx42, reg_25_q_c_0, reg_36_q_c_0, reg_43_q_c_0, reg_12_q_c_0, reg_33_q_c_0, reg_39_q_c_0, reg_22_q_c_0, reg_9_q_c_0, reg_20_q_c_0, nx64, reg_5_q_c_0, reg_45_q_c_0, reg_48_q_c_0, reg_46_q_c_0, nx72, reg_3_q_c_0, reg_37_q_c_0, nx6455, reg_30_q_c_0, reg_49_q_c_0, nx84, nx92, nx106, nx118, nx124, nx134, nx142, nx156, reg_8_q_c_0, nx178, nx204, nx230, nx234, nx256, nx270, reg_50_q_c_0, nx284, nx298, reg_7_q_c_0, nx312, nx320, nx334, nx342, nx360, reg_6_q_c_0, reg_42_q_c_0, nx410, nx418, nx428, nx436, nx446, nx454, nx464, nx472, reg_2_q_c_0, reg_28_q_c_0, reg_32_q_c_0, nx500, nx514, nx522, nx536, nx552, nx558, reg_29_q_c_0, nx578, reg_11_q_c_0, reg_47_q_c_0, nx580, nx586, nx594, nx600, nx620, nx638, nx646, nx660, nx670, nx678, nx688, nx696, nx710, nx724, nx732, nx746, nx756, nx764, nx784, nx790, nx800, nx808, nx830, nx838, nx856, nx866, nx874, nx884, nx892, nx906, reg_1_q_c_0, nx920, nx928, nx946, reg_44_q_c_1, reg_27_q_c_1, reg_19_q_c_1, reg_24_q_c_1, reg_38_q_c_1, nx956, nx964, nx966, nx976, reg_25_q_c_1, reg_36_q_c_1, reg_43_q_c_1, reg_12_q_c_1, reg_39_q_c_1, reg_22_q_c_1, reg_9_q_c_1, reg_20_q_c_1, reg_5_q_c_1, reg_45_q_c_1, reg_48_q_c_1, reg_46_q_c_1, reg_3_q_c_1, reg_37_q_c_1, reg_30_q_c_1, reg_49_q_c_1, nx1016, nx1018, nx1026, nx1028, nx1038, nx1040, nx1042, nx1050, nx1052, nx1060, nx1062, reg_8_q_c_1, nx6470, nx1076, nx1086, nx1098, nx1124, nx1126, nx1128, nx1138, nx1148, reg_50_q_c_1, nx1156, nx1158, nx1166, nx1168, reg_7_q_c_1, nx1180, nx1182, nx1194, nx1196, nx1206, reg_6_q_c_1, nx1240, nx1242, nx1250, nx1252, nx1260, nx1262, nx1270, nx1272, nx1280, nx1282, reg_2_q_c_1, reg_28_q_c_1, reg_32_q_c_1, nx1300, nx1302, nx1318, nx1320, nx1328, nx1330, nx1346, nx1348, reg_29_q_c_1, nx1368, reg_11_q_c_1, reg_47_q_c_1, nx1370, nx1372, nx1380, nx1382, nx1404, nx1406, nx1424, nx1432, nx1434, nx1442, nx1444, nx1452, nx1454, nx1462, nx1464, nx1476, nx1478, nx1486, nx1488, nx1496, nx1498, nx1520, nx1528, nx1530, nx1550, nx1552, nx1564, nx1566, nx1574, nx1576, nx1584, nx1586, nx1594, nx1596, nx1606, reg_1_q_c_1, nx1608, nx1610, nx1622, nx1624, reg_44_q_c_2, reg_27_q_c_2, nx1674, reg_19_q_c_2, reg_24_q_c_2, reg_41_q_c_2, nx1724, nx1732, nx1734, nx1742, nx1744, reg_25_q_c_2, reg_36_q_c_2, reg_43_q_c_2, reg_12_q_c_2, reg_33_q_c_2, reg_39_q_c_2, reg_22_q_c_2, reg_9_q_c_2, nx1842, reg_5_q_c_2, reg_45_q_c_2, nx1876, reg_48_q_c_2, nx1884, reg_46_q_c_2, nx1894, nx1902, reg_3_q_c_2, nx6475, reg_30_q_c_2, nx1964, nx1966, nx1976, nx1986, nx1990, nx1998, nx2000, nx2010, reg_8_q_c_2, nx2030, nx2034, nx2056, nx2082, nx2084, nx2096, nx2104, nx2106, nx2124, nx2134, reg_7_q_c_2, nx2156, nx2158, nx2168, nx2170, nx2180, nx2182, nx2200, reg_6_q_c_2, reg_35_q_c_2, reg_42_q_c_2, reg_40_q_c_2, nx2266, nx2274, nx2276, nx2284, nx2286, nx2294, nx2296, nx2304, nx2306, reg_2_q_c_2, nx2338, reg_32_q_c_2, nx2348, nx2350, nx2352, nx2366, nx2368, nx2370, nx2380, nx2406, reg_29_q_c_2, nx2434, reg_11_q_c_2, nx2452, nx2454, nx2462, nx2464, nx2488, nx2506, nx2516, nx2526, nx2534, nx2536, nx2546, nx2558, nx2560, nx2570, nx2578, nx2580, nx2602, nx2610, nx2612, nx2642, nx2644, nx2656, nx2658, nx2666, nx2668, nx2676, nx2678, nx2688, nx2698, reg_1_q_c_2, nx2710, nx2712, nx2726, nx2738, nx2740, reg_44_q_c_3, nx2748, reg_27_q_c_3, nx2758, nx2768, nx2786, reg_19_q_c_3, nx2792, nx2794, reg_24_q_c_3, nx2804, nx2814, reg_38_q_c_3, nx2824, nx2826, nx2834, nx2836, nx2844, nx2846, reg_25_q_c_3, nx2866, reg_36_q_c_3, nx2874, reg_43_q_c_3, nx2884, nx2896, reg_12_q_c_3, nx2906, nx2916, reg_39_q_c_3, nx2924, reg_22_q_c_3, nx2932, nx2934, reg_9_q_c_3, reg_20_q_c_3, nx2954, reg_5_q_c_3, nx2970, reg_45_q_c_3, reg_48_q_c_3, reg_46_q_c_3, reg_3_q_c_3, nx3024, reg_37_q_c_3, nx3034, nx3042, reg_30_q_c_3, nx3054, reg_49_q_c_3, nx3064, nx3066, nx3068, nx3076, nx3078, nx3088, nx3090, nx3092, nx3100, nx3102, nx3110, nx3112, reg_8_q_c_3, nx6495, nx3136, nx3146, nx3150, nx3154, nx3158, nx3184, nx3186, nx3188, nx3198, nx3208, reg_50_q_c_3, nx3222, nx3224, nx3226, nx3234, nx3236, reg_7_q_c_3, nx3256, nx3258, nx3260, nx3272, nx3274, nx6497, nx3284, nx3316, reg_6_q_c_3, nx3326, nx3336, nx3346, nx3356, nx3364, nx3366, nx3368, nx3376, nx3378, nx3386, nx3388, nx3396, nx3398, nx3406, nx3408, reg_2_q_c_3, nx3432, reg_28_q_c_3, reg_32_q_c_3, nx3452, nx3454, nx3470, nx3472, nx3480, nx3482, nx3504, nx3506, nx3508, reg_29_q_c_3, nx3536, reg_11_q_c_3, nx3544, reg_47_q_c_3, nx3552, nx3554, nx3556, nx3564, nx3566, nx3588, nx3590, nx3606, nx3608, nx3616, nx3618, nx3626, nx3628, nx3636, nx3638, nx3646, nx3648, nx3660, nx3662, nx3670, nx3672, nx3680, nx3682, nx3702, nx3704, nx3712, nx3714, nx3742, nx3744, nx3746, nx3758, nx3760, nx3768, nx3770, nx3778, nx3780, nx3788, nx3790, nx3800, reg_1_q_c_3, nx3810, nx3812, nx3814, nx3826, nx3828, reg_44_q_c_4, reg_27_q_c_4, nx3878, reg_19_q_c_4, reg_24_q_c_4, reg_41_q_c_4, nx3928, nx3936, nx3938, nx3946, nx3948, reg_25_q_c_4, reg_36_q_c_4, reg_43_q_c_4, reg_12_q_c_4, reg_33_q_c_4, reg_39_q_c_4, reg_22_q_c_4, reg_9_q_c_4, nx4046, reg_20_q_c_4, reg_5_q_c_4, reg_45_q_c_4, nx4080, reg_48_q_c_4, nx4088, reg_46_q_c_4, nx4098, nx4106, nx4110, reg_34_q_c_4, nx4118, reg_3_q_c_4, nx6499, reg_30_q_c_4, nx4168, nx4170, nx4180, nx4190, nx4194, nx4202, nx4204, nx4214, reg_8_q_c_4, nx4234, nx6501, nx4238, nx4252, nx4256, nx4258, nx4260, nx4286, nx4288, nx4290, nx4298, nx4300, nx4308, nx4310, nx4328, nx4338, reg_7_q_c_4, nx4360, nx4362, nx4372, nx4374, nx4376, nx4384, nx4386, reg_6_q_c_4, reg_35_q_c_4, reg_42_q_c_4, reg_40_q_c_4, nx4470, nx4478, nx4480, nx4488, nx4490, nx4498, nx4500, nx4508, nx4510, reg_2_q_c_4, nx4542, reg_32_q_c_4, nx4552, nx4554, nx4556, nx4570, nx4572, nx4574, nx4584, nx4594, nx4610, reg_29_q_c_4, nx4638, reg_11_q_c_4, nx4656, nx4658, nx4666, nx4668, nx4692, nx4710, nx4720, nx4730, nx4738, nx4740, nx4750, nx4762, nx4764, nx4774, nx4782, nx4784, nx4806, nx4814, nx4816, nx4846, nx4848, nx4860, nx4862, nx4870, nx4872, nx4880, nx4882, nx4892, nx4902, reg_1_q_c_4, nx4914, nx4916, nx4930, reg_44_q_c_5, nx4952, reg_27_q_c_5, nx4962, nx4972, reg_23_q_c_5, nx4990, reg_19_q_c_5, nx4998, reg_24_q_c_5, nx5008, nx5018, reg_38_q_c_5, nx6507, nx5028, nx5030, nx5038, nx5040, nx5048, nx5050, reg_25_q_c_5, nx5070, reg_36_q_c_5, nx5078, reg_43_q_c_5, nx5088, reg_31_q_c_5, nx5100, reg_12_q_c_5, nx5110, nx5120, reg_39_q_c_5, nx5128, reg_22_q_c_5, nx5136, reg_9_q_c_5, reg_20_q_c_5, nx5158, reg_5_q_c_5, nx5174, reg_45_q_c_5, reg_48_q_c_5, reg_46_q_c_5, nx5212, reg_34_q_c_5, reg_3_q_c_5, nx5228, reg_37_q_c_5, nx5238, nx5246, reg_30_q_c_5, nx5258, reg_49_q_c_5, nx5268, nx6509, nx5270, nx5272, nx5280, nx5282, nx5292, nx5294, nx5296, nx5304, nx5306, nx5314, nx5316, reg_8_q_c_5, nx6510, nx6511, nx5340, nx5350, nx5354, nx5358, nx5362, nx5388, nx5390, nx5392, nx5402, nx5412, reg_50_q_c_5, nx5426, nx5428, nx5430, nx5438, nx5440, reg_7_q_c_5, nx5460, nx5462, nx5464, nx5476, nx5478, nx6515, nx5488, nx5506, nx5510, nx5520, reg_6_q_c_5, nx5530, nx5540, nx5550, nx5560, nx5568, nx5570, nx5572, nx5580, nx5582, nx5590, nx5592, nx5600, nx5602, nx5610, nx5612, reg_2_q_c_5, nx5636, reg_28_q_c_5, reg_32_q_c_5, nx5656, nx5658, nx5674, nx5676, nx5684, nx5686, nx5708, nx5710, nx5712, reg_29_q_c_5, nx5740, reg_11_q_c_5, nx5748, reg_47_q_c_5, nx5756, nx5758, nx5760, nx5768, nx5770, nx5792, nx5794, nx5810, nx5812, nx5820, nx5822, nx5830, nx5832, nx5840, nx5842, nx5850, nx5852, nx5864, nx5866, nx5874, nx5876, nx5884, nx5886, nx5906, nx5908, nx5916, nx5918, nx5936, reg_21_q_c_5, nx5946, nx5948, nx5950, nx5962, nx5964, nx5972, nx5974, nx5982, nx5984, nx5992, nx5994, nx6004, reg_1_q_c_5, nx6014, nx6016, nx6018, nx6030, nx6032, reg_44_q_c_6, reg_27_q_c_6, nx6082, reg_19_q_c_6, reg_24_q_c_6, reg_41_q_c_6, nx6516, nx6132, nx6140, nx6142, nx6150, nx6152, reg_25_q_c_6, reg_36_q_c_6, reg_43_q_c_6, reg_31_q_c_6, reg_12_q_c_6, reg_33_q_c_6, reg_39_q_c_6, reg_22_q_c_6, reg_9_q_c_6, nx6250, reg_20_q_c_6, reg_5_q_c_6, reg_45_q_c_6, nx6284, reg_48_q_c_6, nx6292, reg_46_q_c_6, nx6302, nx6310, nx6314, reg_34_q_c_6, nx6322, reg_3_q_c_6, nx6517, reg_30_q_c_6, nx6372, nx6374, nx6384, nx6394, nx6398, nx6406, nx6408, nx6418, reg_8_q_c_6, nx6438, nx6519, nx6442, nx6456, nx6460, nx6462, nx6464, nx6490, nx6492, nx6494, nx6502, nx6504, nx6512, nx6514, nx6532, nx6542, reg_7_q_c_6, nx6564, nx6566, nx6576, nx6578, nx6580, nx6588, nx6590, nx6608, nx6612, nx6622, reg_6_q_c_6, reg_35_q_c_6, reg_42_q_c_6, reg_40_q_c_6, nx6674, nx6682, nx6684, nx6692, nx6694, nx6702, nx6704, nx6712, nx6714, nx6746, reg_32_q_c_6, nx6756, nx6758, nx6760, nx6774, nx6776, nx6778, nx6788, nx6798, nx6814, reg_29_q_c_6, nx6842, reg_11_q_c_6, nx6860, nx6862, nx6870, nx6872, nx6896, nx6914, nx6924, nx6934, nx6942, nx6944, nx6954, nx6966, nx6968, nx6978, nx6986, nx6988, nx7010, nx7018, nx7020, nx7038, reg_21_q_c_6, nx7050, nx7052, nx7064, nx7066, nx7074, nx7076, nx7084, nx7086, nx7096, nx7106, reg_1_q_c_6, nx7118, nx7120, nx7134, nx7148, reg_44_q_c_7, nx7156, reg_27_q_c_7, nx7166, reg_26_q_c_7, nx7176, reg_23_q_c_7, nx7194, reg_19_q_c_7, nx7200, nx7202, reg_24_q_c_7, nx7212, nx7222, reg_38_q_c_7, nx7230, nx6523, nx7232, nx7234, nx7242, nx7244, nx7252, nx7254, reg_25_q_c_7, nx7274, reg_36_q_c_7, nx7282, reg_43_q_c_7, nx7292, reg_31_q_c_7, nx7304, reg_12_q_c_7, nx7314, nx7324, reg_39_q_c_7, nx7332, reg_22_q_c_7, reg_9_q_c_7, reg_20_q_c_7, nx7362, reg_5_q_c_7, nx7378, reg_45_q_c_7, reg_48_q_c_7, reg_46_q_c_7, nx7416, reg_34_q_c_7, reg_3_q_c_7, nx7432, reg_37_q_c_7, nx7442, nx7450, reg_30_q_c_7, nx7462, reg_49_q_c_7, nx7472, nx7474, nx7476, nx7484, nx7486, nx7496, nx7498, nx7500, nx7508, nx7510, nx7518, nx7520, reg_8_q_c_7, nx6529, nx6531, nx7544, nx7554, nx7558, nx7562, nx7566, nx7592, nx7594, nx7596, nx6533, nx7606, nx7616, reg_50_q_c_7, nx7630, nx7632, nx7634, nx7642, nx7644, reg_7_q_c_7, nx7664, nx7666, nx7668, nx7680, nx7682, nx6534, nx7692, nx7710, nx7714, nx7724, reg_6_q_c_7, nx7734, nx7744, nx7754, nx7764, nx7772, nx7774, nx7776, nx7784, nx7786, nx7794, nx7796, nx7804, nx7806, nx7814, nx7816, nx7840, reg_28_q_c_7, reg_32_q_c_7, nx7860, nx7862, nx7878, nx7880, nx7888, nx7890, nx7912, nx7914, nx7916, reg_29_q_c_7, nx7944, reg_11_q_c_7, nx7952, reg_47_q_c_7, nx7960, nx7962, nx7964, nx7972, nx7974, nx7996, nx7998, nx8014, nx8016, nx8024, nx8026, nx8034, nx8036, nx8044, nx8046, nx8054, nx8056, nx8068, nx8070, nx8078, nx8080, nx8088, nx8090, nx8104, nx8110, nx8112, nx8120, nx8122, nx8140, reg_21_q_c_7, nx8150, nx8152, nx8154, nx8166, nx8168, nx8176, nx8178, nx8186, nx8188, nx8196, nx8198, nx8208, reg_1_q_c_7, nx8218, nx8220, nx8222, nx8234, nx8236, reg_44_q_c_8, reg_27_q_c_8, reg_26_q_c_8, nx8286, reg_19_q_c_8, reg_24_q_c_8, reg_41_q_c_8, nx6535, nx8336, nx8344, nx8346, nx8354, nx8356, reg_25_q_c_8, reg_36_q_c_8, reg_43_q_c_8, reg_31_q_c_8, reg_12_q_c_8, reg_33_q_c_8, reg_39_q_c_8, reg_22_q_c_8, reg_9_q_c_8, nx8454, reg_20_q_c_8, reg_5_q_c_8, reg_45_q_c_8, nx8488, reg_48_q_c_8, nx8496, reg_46_q_c_8, nx8506, nx8514, nx8518, reg_34_q_c_8, nx8526, reg_3_q_c_8, nx6536, reg_30_q_c_8, nx8576, nx8578, nx8588, nx8598, nx8602, nx8610, nx8612, nx8622, reg_8_q_c_8, nx8642, nx6538, nx8646, nx8660, nx8664, nx8666, nx8668, nx8694, nx8696, nx8698, nx6541, nx8706, nx8708, nx8716, nx8718, nx8736, nx8746, reg_7_q_c_8, nx8768, nx8770, nx8780, nx8782, nx8784, nx8792, nx8794, nx8812, nx8816, nx8826, reg_6_q_c_8, reg_35_q_c_8, reg_42_q_c_8, reg_40_q_c_8, nx8878, nx8886, nx8888, nx8896, nx8898, nx8906, nx8908, nx8916, nx8918, nx8950, reg_32_q_c_8, nx8960, nx8962, nx8964, nx8978, nx8980, nx8982, nx8992, nx9002, nx9018, reg_29_q_c_8, nx9046, reg_11_q_c_8, nx9064, nx9066, nx9074, nx9076, nx9100, nx9118, nx9128, nx9138, nx9146, nx9148, nx9158, nx9170, nx9172, nx9182, nx9190, nx9192, nx9206, nx9214, nx9222, nx9224, nx9242, reg_21_q_c_8, nx9254, nx9256, nx9268, nx9270, nx9278, nx9280, nx9288, nx9290, nx9300, nx9322, nx9324, nx9338, reg_44_q_c_9, nx9360, reg_27_q_c_9, nx9370, reg_26_q_c_9, nx9380, reg_23_q_c_9, nx9398, reg_19_q_c_9, reg_24_q_c_9, nx9416, nx9426, reg_38_q_c_9, nx6544, nx9436, nx9438, nx9446, nx9448, nx9456, nx9458, reg_25_q_c_9, nx9478, reg_36_q_c_9, nx9486, reg_43_q_c_9, nx9496, reg_31_q_c_9, nx9508, reg_12_q_c_9, nx9518, nx9528, reg_39_q_c_9, nx9536, reg_22_q_c_9, reg_9_q_c_9, reg_20_q_c_9, nx9566, reg_5_q_c_9, nx9582, reg_45_q_c_9, reg_48_q_c_9, reg_46_q_c_9, nx9620, reg_34_q_c_9, reg_3_q_c_9, nx9636, reg_37_q_c_9, nx9646, nx9654, reg_30_q_c_9, nx9666, reg_49_q_c_9, nx9676, nx9678, nx9680, nx9688, nx9690, nx9700, nx9702, nx9704, nx9712, nx9714, nx9722, nx9724, reg_8_q_c_9, nx6547, nx6548, nx9748, nx9758, nx9762, nx9766, nx9770, nx9796, nx9798, nx9800, nx6549, nx9810, nx9820, reg_50_q_c_9, nx9834, nx9836, nx9838, nx9846, nx9848, reg_7_q_c_9, nx9868, nx9870, nx9872, nx9884, nx9886, nx6551, nx9896, nx9914, nx9918, nx9928, reg_6_q_c_9, nx9938, nx9948, nx9958, nx9968, nx9976, nx9978, nx9980, nx9988, nx9990, nx9998, nx10000, nx10008, nx10010, nx10018, nx10020, nx10044, reg_28_q_c_9, reg_32_q_c_9, nx10064, nx10066, nx10082, nx10084, nx10092, nx10094, nx10116, nx10118, nx10120, reg_29_q_c_9, nx10148, reg_11_q_c_9, nx10156, reg_47_q_c_9, nx10164, nx10166, nx10168, nx10176, nx10178, nx10200, nx10202, nx10218, nx10220, nx10228, nx10230, nx10238, nx10240, nx10248, nx10250, nx10258, nx10260, nx10272, nx10274, nx10282, nx10284, nx10292, nx10294, nx10308, nx10314, nx10316, nx10324, nx10326, nx10344, reg_21_q_c_9, nx10354, nx10356, nx10358, nx10370, nx10372, nx10380, nx10382, nx10390, nx10392, nx10400, nx10402, nx10412, reg_1_q_c_9, nx10422, nx10424, nx10426, nx10438, nx10440, reg_44_q_c_10, reg_27_q_c_10, reg_26_q_c_10, nx10490, reg_23_q_c_10, reg_19_q_c_10, reg_24_q_c_10, reg_41_q_c_10, nx6553, nx10540, nx10548, nx10550, nx10558, nx10560, reg_25_q_c_10, reg_36_q_c_10, reg_43_q_c_10, reg_31_q_c_10, reg_12_q_c_10, reg_33_q_c_10, reg_39_q_c_10, reg_22_q_c_10, reg_9_q_c_10, nx10658, reg_20_q_c_10, reg_5_q_c_10, reg_45_q_c_10, nx10692, reg_48_q_c_10, nx10700, reg_46_q_c_10, nx10710, nx10718, nx10722, reg_34_q_c_10, nx10730, reg_3_q_c_10, nx6554, reg_30_q_c_10, nx6555, nx10780, nx10782, nx10792, nx10802, nx10806, nx10814, nx10816, nx10826, reg_8_q_c_10, nx10846, nx6556, nx10850, nx10864, nx10868, nx10870, nx10872, nx10898, nx10900, nx10902, nx10910, nx10912, nx10920, nx10922, nx10940, nx10950, reg_7_q_c_10, nx10972, nx10974, nx10984, nx10986, nx10988, nx10996, nx10998, nx11016, nx11020, nx11030, reg_6_q_c_10, reg_35_q_c_10, reg_42_q_c_10, reg_40_q_c_10, nx11082, nx11090, nx11092, nx11100, nx11102, nx11110, nx11112, nx11120, nx11122, reg_2_q_c_10, nx11154, reg_32_q_c_10, nx11164, nx11166, nx11168, nx11182, nx11184, nx11186, nx11196, nx11206, nx11222, reg_29_q_c_10, nx11250, reg_11_q_c_10, nx11268, nx11270, nx11278, nx11280, nx11304, nx11322, nx11332, nx11342, nx11350, nx11352, nx11362, nx11374, nx11376, nx11386, nx11394, nx11396, nx11410, nx11418, nx11426, nx11428, nx11446, reg_21_q_c_10, nx11458, nx11460, nx11472, nx11474, nx11482, nx11484, nx11492, nx11494, nx11504, nx11514, reg_1_q_c_10, nx11526, nx11528, nx11542, nx11556, reg_44_q_c_11, nx11564, reg_27_q_c_11, nx11574, reg_26_q_c_11, nx11584, reg_23_q_c_11, nx11602, reg_19_q_c_11, reg_24_q_c_11, nx11620, nx11630, reg_38_q_c_11, nx6563, nx11640, nx11642, nx11650, nx11652, nx11660, nx11662, reg_25_q_c_11, nx11682, reg_36_q_c_11, nx11690, reg_43_q_c_11, reg_31_q_c_11, nx11712, reg_12_q_c_11, nx11722, nx11732, reg_39_q_c_11, nx11740, reg_22_q_c_11, reg_9_q_c_11, reg_20_q_c_11, nx11770, reg_5_q_c_11, nx11786, reg_45_q_c_11, reg_48_q_c_11, reg_46_q_c_11, nx11824, reg_34_q_c_11, reg_3_q_c_11, nx11840, reg_37_q_c_11, nx11850, nx11858, reg_30_q_c_11, nx11870, reg_49_q_c_11, nx11880, nx6567, nx11882, nx11884, nx11892, nx11894, nx11904, nx11906, nx11908, nx11916, nx11918, nx11926, nx11928, reg_8_q_c_11, nx6568, nx6569, nx11952, nx11962, nx11966, nx11970, nx11974, nx12000, nx12002, nx12004, nx12014, nx12024, reg_50_q_c_11, nx12038, nx12040, nx12042, nx12050, nx12052, reg_7_q_c_11, nx12072, nx12074, nx12076, nx12088, nx12090, nx6571, nx12100, nx12118, nx12122, nx12132, reg_6_q_c_11, nx12142, nx12152, nx12162, nx12172, nx12180, nx12182, nx12184, nx12192, nx12194, nx12202, nx12204, nx12212, nx12214, nx12222, nx12224, reg_2_q_c_11, nx12248, reg_28_q_c_11, reg_32_q_c_11, nx12268, nx12270, nx12286, nx12288, nx12296, nx12298, nx12312, reg_4_q_c_11, nx12320, nx12322, nx12324, reg_29_q_c_11, nx12352, reg_11_q_c_11, nx12360, reg_47_q_c_11, nx12368, nx12370, nx12372, nx12380, nx12382, nx12404, nx12406, nx12422, nx12424, nx12432, nx12434, nx12442, nx12444, nx12452, nx12454, nx12462, nx12464, nx12476, nx12478, nx12486, nx12488, nx12496, nx12498, nx12512, nx12520, nx12528, nx12530, nx12548, reg_21_q_c_11, nx12558, nx12560, nx12562, nx12574, nx12576, nx12584, nx12586, nx12594, nx12596, nx12604, nx12606, nx12616, reg_1_q_c_11, nx12626, nx12628, nx12630, nx12642, nx12644, reg_44_q_c_12, reg_27_q_c_12, reg_26_q_c_12, nx12694, reg_23_q_c_12, reg_19_q_c_12, reg_24_q_c_12, reg_41_q_c_12, nx12744, nx12752, nx12754, nx12762, nx12764, reg_25_q_c_12, reg_36_q_c_12, reg_43_q_c_12, reg_31_q_c_12, reg_12_q_c_12, reg_33_q_c_12, reg_39_q_c_12, reg_22_q_c_12, reg_9_q_c_12, nx12862, reg_20_q_c_12, reg_5_q_c_12, reg_45_q_c_12, nx12896, reg_48_q_c_12, nx12904, reg_46_q_c_12, nx12914, nx12922, nx12926, reg_34_q_c_12, nx12934, reg_3_q_c_12, nx6573, reg_30_q_c_12, nx6575, nx12984, nx12986, nx12996, nx13006, nx13010, nx13018, nx13020, nx13030, reg_8_q_c_12, nx13050, nx6577, nx13054, nx13068, nx13072, nx13074, nx13076, nx13102, nx13104, nx13106, nx13114, nx13116, nx13124, nx13126, nx13144, nx13154, reg_7_q_c_12, nx13176, nx13178, nx13188, nx13190, nx13192, nx13200, nx13202, nx13220, nx13234, reg_6_q_c_12, reg_35_q_c_12, reg_42_q_c_12, reg_40_q_c_12, nx13286, nx13294, nx13296, nx13304, nx13306, nx13314, nx13316, nx13324, nx13326, reg_2_q_c_12, nx13358, reg_32_q_c_12, nx13368, nx13370, nx13372, nx13386, nx13388, nx13390, nx13400, nx13410, nx13414, reg_4_q_c_12, nx13426, reg_29_q_c_12, nx13454, reg_11_q_c_12, nx13472, nx13474, nx13482, nx13484, nx13526, nx13536, nx13546, nx13554, nx13556, nx13566, nx13578, nx13580, nx13590, nx13598, nx13600, nx13614, nx13622, nx13630, nx13632, nx13650, reg_21_q_c_12, nx13662, nx13664, nx13676, nx13678, nx13686, nx13688, nx13696, nx13698, nx13708, nx13718, reg_1_q_c_12, nx13730, nx13732, nx13746, reg_44_q_c_13, nx13768, reg_27_q_c_13, nx13778, reg_26_q_c_13, nx13788, reg_23_q_c_13, nx13806, reg_19_q_c_13, reg_24_q_c_13, nx13824, nx13834, reg_38_q_c_13, nx13846, nx13854, nx13856, nx13864, nx13866, reg_25_q_c_13, nx13886, reg_36_q_c_13, nx13894, reg_43_q_c_13, reg_31_q_c_13, nx13916, reg_12_q_c_13, nx13926, nx13936, reg_39_q_c_13, nx13944, reg_22_q_c_13, reg_9_q_c_13, reg_20_q_c_13, nx13974, reg_5_q_c_13, nx13990, reg_45_q_c_13, reg_48_q_c_13, reg_46_q_c_13, nx14028, reg_34_q_c_13, reg_3_q_c_13, nx14044, reg_37_q_c_13, nx14054, nx14062, reg_30_q_c_13, nx14074, reg_49_q_c_13, nx14084, nx6585, nx14086, nx14088, nx14096, nx14098, nx14108, nx14110, nx14112, nx14120, nx14122, nx14130, nx14132, reg_8_q_c_13, nx6586, nx6587, nx14156, nx14166, nx14170, nx14174, nx14178, nx14204, nx14206, nx14208, nx14218, nx14228, reg_50_q_c_13, nx14242, nx14244, nx14246, nx14254, nx14256, reg_7_q_c_13, nx14276, nx14278, nx14280, nx14292, nx14294, nx6591, nx14304, nx14322, nx14326, nx14336, reg_6_q_c_13, nx14346, nx14356, nx14366, nx14376, nx14384, nx14386, nx14388, nx14396, nx14398, nx14406, nx14408, nx14416, nx14418, nx14426, nx14428, reg_2_q_c_13, nx14452, reg_28_q_c_13, reg_32_q_c_13, nx14472, nx14474, nx14490, nx14492, nx14500, nx14502, nx14516, reg_4_q_c_13, nx14524, nx14526, nx14528, reg_29_q_c_13, nx14556, reg_11_q_c_13, nx14564, reg_47_q_c_13, nx14572, nx14574, nx14576, nx14584, nx14586, nx14608, nx14626, nx14628, nx14636, nx14638, nx14646, nx14648, nx14656, nx14658, nx14666, nx14668, nx14680, nx14682, nx14690, nx14692, nx14700, nx14702, nx14716, nx14724, nx14732, nx14734, nx14752, reg_21_q_c_13, nx14762, nx14764, nx14766, nx14778, nx14780, nx14788, nx14790, nx14798, nx14800, nx14808, nx14810, nx14820, reg_1_q_c_13, nx14830, nx14832, nx14834, nx14846, nx14848, reg_44_q_c_14, reg_27_q_c_14, reg_26_q_c_14, nx14898, reg_23_q_c_14, reg_19_q_c_14, reg_24_q_c_14, reg_41_q_c_14, nx14948, nx14956, nx14958, nx14966, nx14968, reg_25_q_c_14, reg_36_q_c_14, reg_43_q_c_14, reg_31_q_c_14, reg_12_q_c_14, reg_33_q_c_14, reg_39_q_c_14, reg_22_q_c_14, reg_9_q_c_14, nx15066, reg_20_q_c_14, reg_5_q_c_14, reg_45_q_c_14, nx15100, reg_48_q_c_14, nx15108, reg_46_q_c_14, nx15118, nx15126, nx15130, reg_34_q_c_14, reg_3_q_c_14, nx6593, reg_30_q_c_14, nx6594, nx15188, nx15190, nx15200, nx15210, nx15214, nx15222, nx15224, nx15234, reg_8_q_c_14, nx15254, nx6595, nx15258, nx15272, nx15276, nx15278, nx15280, nx15306, nx15308, nx15310, nx15318, nx15320, nx15328, nx15330, nx15348, nx15358, reg_7_q_c_14, nx15380, nx15382, nx15392, nx15394, nx15396, nx15404, nx15406, nx15424, nx15428, nx15438, reg_6_q_c_14, reg_35_q_c_14, reg_42_q_c_14, reg_40_q_c_14, nx15490, nx15498, nx15500, nx15508, nx15510, nx15518, nx15520, nx15528, nx15530, reg_2_q_c_14, nx15562, reg_32_q_c_14, nx15572, nx15574, nx15576, nx15590, nx15592, nx15594, nx15604, nx15614, nx15618, reg_4_q_c_14, nx15630, reg_29_q_c_14, nx15658, reg_11_q_c_14, nx15676, nx15678, nx15686, nx15688, nx15730, nx15740, nx15750, nx15758, nx15760, nx15770, nx15782, nx15784, nx15794, nx15804, nx15818, nx15834, nx15836, nx15854, reg_21_q_c_14, nx15868, nx15880, nx15882, nx15890, nx15892, nx15900, nx15902, nx15912, nx15922, reg_1_q_c_14, nx15934, nx15936, nx15950, reg_44_q_c_15, nx15972, reg_27_q_c_15, nx15982, reg_26_q_c_15, nx15992, reg_23_q_c_15, nx16010, reg_19_q_c_15, reg_24_q_c_15, nx16028, reg_41_q_c_15, nx16038, reg_38_q_c_15, nx6601, nx16060, nx16070, reg_25_q_c_15, reg_36_q_c_15, nx16098, reg_43_q_c_15, reg_31_q_c_15, nx16120, reg_12_q_c_15, nx16130, reg_33_q_c_15, nx16140, reg_39_q_c_15, nx16148, reg_22_q_c_15, reg_9_q_c_15, reg_20_q_c_15, nx16178, reg_5_q_c_15, nx16194, reg_45_q_c_15, reg_48_q_c_15, reg_46_q_c_15, nx16232, reg_34_q_c_15, reg_3_q_c_15, nx16248, reg_37_q_c_15, nx16258, nx16266, nx16270, reg_30_q_c_15, nx16278, reg_49_q_c_15, nx16288, nx6605, nx16292, nx16302, nx16312, nx16316, nx16326, nx16336, reg_8_q_c_15, nx6607, nx16358, nx16360, nx16374, nx16378, nx16380, nx16382, nx16408, nx16410, nx16412, nx6611, nx16420, nx16422, nx16430, nx16432, reg_50_q_c_15, nx16446, nx16450, nx16460, reg_7_q_c_15, nx16480, nx16484, nx16498, nx16506, nx16508, nx16526, nx16530, nx16540, reg_6_q_c_15, nx16550, nx16560, reg_35_q_c_15, nx16570, reg_42_q_c_15, nx16580, reg_40_q_c_15, nx16588, nx16592, nx16602, nx16612, nx16622, nx16632, reg_2_q_c_15, nx16656, reg_28_q_c_15, reg_32_q_c_15, nx16676, nx16678, nx16694, nx16696, nx16706, nx16720, reg_4_q_c_15, nx16728, nx16732, reg_29_q_c_15, nx16760, reg_11_q_c_15, nx16768, reg_47_q_c_15, nx16776, nx16780, nx16790, nx16842, nx16852, nx16862, nx16872, nx16886, nx16896, nx16906, nx16920, nx16938, nx16956, reg_21_q_c_15, nx16970, nx16982, nx16984, nx16994, nx17004, nx17014, reg_1_q_c_15, nx17034, nx17038, nx17046, nx17062, nx17070, nx17080, nx17100, nx17116, nx17120, nx17140, nx17156, nx17160, nx17180, nx17196, nx17200, nx17220, nx17236, nx17240, nx17260, nx17276, nx17280, nx17300, nx17316, nx17320, nx17340, nx17356, nx17360, nx17374, nx17382, nx17384, nx17398, nx17400, nx17402, nx17418, nx17420, nx17434, nx17436, nx17438, nx17454, nx17456, nx17470, nx17472, nx17474, nx17490, nx17492, nx17506, nx17508, nx17510, nx17526, nx17528, nx17542, nx17544, nx17546, nx17562, nx17564, nx17578, nx17580, nx17582, nx17598, nx17600, nx17614, nx17616, nx17618, nx17634, nx17636, nx17650, nx17660, nx17678, nx17694, nx17696, nx17714, nx17726, nx17728, nx17730, nx17732, nx17750, nx17762, nx17764, nx17766, nx17768, nx17786, nx17798, nx17800, nx17802, nx17804, nx17822, nx17834, nx17836, nx17838, nx17840, nx17858, nx17870, nx17876, nx17894, nx6647, nx6651, nx6677, nx6690, nx6718, nx6747, nx6750, nx6761, nx6764, nx6784, nx6787, nx6793, nx6795, nx6809, nx6821, nx6825, nx6827, nx6833, nx6839, nx6843, nx6845, nx6855, nx6859, nx6868, nx6883, nx6889, nx6893, nx6895, nx6904, nx6915, nx6920, nx6925, nx6933, nx6935, nx6938, nx6943, nx6951, nx6955, nx6961, nx6975, nx6977, nx6982, nx6984, nx6997, nx7015, nx7019, nx7021, nx7031, nx7033, nx7039, nx7045, nx7070, nx7077, nx7085, nx7087, nx7093, nx7099, nx7101, nx7105, nx7107, nx7127, nx7129, nx7131, nx7137, nx7139, nx7143, nx7145, nx7157, nx7159, nx7173, nx7177, nx7181, nx7183, nx7209, nx7231, nx7233, nx7235, nx7236, nx7237, nx7251, nx7256, nx7257, nx7261, nx7281, nx7283, nx7284, nx7293, nx7295, nx7301, nx7305, nx7315, nx7317, nx7323, nx7325, nx7335, nx7337, nx7357, nx7364, nx7372, nx7393, nx7397, nx7403, nx7407, nx7413, nx7421, nx7441, nx7449, nx7455, nx7465, nx7471, nx7475, nx7480, nx7485, nx7491, nx7495, nx7501, nx7509, nx7514, nx7519, nx7524, nx7533, nx7541, nx7543, nx7549, nx7559, nx7561, nx7569, nx7575, nx7579, nx7583, nx7593, nx7597, nx7609, nx7629, nx7635, nx7637, nx7641, nx7645, nx7649, nx7652, nx7655, nx7665, nx7669, nx7673, nx7679, nx7683, nx7686, nx7689, nx7693, nx7697, nx7705, nx7713, nx7723, nx7735, nx7741, nx7749, nx7753, nx7767, nx7775, nx7778, nx7780, nx7785, nx7791, nx7800, nx7803, nx7805, nx7807, nx7813, nx7817, nx7823, nx7833, nx7835, nx7837, nx7842, nx7849, nx7853, nx7857, nx7865, nx7870, nx7873, nx7877, nx7881, nx7884, nx7891, nx7892, nx7896, nx7917, nx7922, nx7941, nx7971, nx7978, nx7985, nx7995, nx8005, nx8013, nx8019, nx8030, nx8039, nx8042, nx8055, nx8057, nx8069, nx8075, nx8079, nx8087, nx8093, nx8095, nx8109, nx8113, nx8117, nx8126, nx8128, nx8133, nx8141, nx8147, nx8155, nx8160, nx8169, nx8171, nx8174, nx8179, nx8197, nx8211, nx8221, nx8223, nx8225, nx8226, nx8227, nx8241, nx8244, nx8251, nx8252, nx8257, nx8259, nx8275, nx8279, nx8281, nx8283, nx8295, nx8301, nx8309, nx8315, nx8321, nx8325, nx8331, nx8333, nx8337, nx8343, nx8351, nx8361, nx8367, nx8373, nx8383, nx8397, nx8411, nx8417, nx8421, nx8427, nx8435, nx8453, nx8461, nx8469, nx8477, nx8483, nx8487, nx8493, nx8497, nx8505, nx8509, nx8515, nx8519, nx8529, nx8535, nx8541, nx8547, nx8555, nx8557, nx8575, nx8577, nx8583, nx8589, nx8592, nx8597, nx8606, nx8609, nx8616, nx8628, nx8633, nx8639, nx8641, nx8647, nx8650, nx8655, nx8659, nx8661, nx8665, nx8671, nx8674, nx8679, nx8685, nx8689, nx8693, nx8697, nx8701, nx8705, nx8713, nx8719, nx8726, nx8735, nx8741, nx8747, nx8750, nx8773, nx8776, nx8779, nx8787, nx8793, nx8801, nx8805, nx8807, nx8809, nx8811, nx8817, nx8821, nx8831, nx8841, nx8845, nx8847, nx8850, nx8853, nx8863, nx8867, nx8875, nx8882, nx8885, nx8889, nx8892, nx8895, nx8901, nx8902, nx8907, nx8913, nx8923, nx8929, nx8949, nx8975, nx8983, nx8989, nx8997, nx9007, nx9017, nx9022, nx9035, nx9045, nx9048, nx9065, nx9067, nx9075, nx9081, nx9085, nx9095, nx9101, nx9103, nx9115, nx9119, nx9123, nx9132, nx9134, nx9141, nx9147, nx9152, nx9157, nx9163, nx9171, nx9173, nx9176, nx9181, nx9201, nx9219, nx9227, nx9229, nx9231, nx9232, nx9233, nx9239, nx9243, nx9249, nx9253, nx9258, nx9259, nx9263, nx9265, nx9267, nx9277, nx9279, nx9283, nx9285, nx9286, nx9289, nx9295, nx9299, nx9305, nx9309, nx9314, nx9317, nx9325, nx9327, nx9339, nx9345, nx9359, nx9367, nx9373, nx9381, nx9395, nx9409, nx9415, nx9419, nx9425, nx9433, nx9447, nx9453, nx9459, nx9464, nx9469, nx9473, nx9483, nx9491, nx9495, nx9501, nx9505, nx9511, nx9515, nx9521, nx9527, nx9533, nx9541, nx9543, nx9561, nx9563, nx9571, nx9579, nx9583, nx9589, nx9593, nx9599, nx9603, nx9611, nx9627, nx9635, nx9641, nx9643, nx9649, nx9653, nx9659, nx9663, nx9665, nx9669, nx9675, nx9679, nx9684, nx9689, nx9693, nx9696, nx9699, nx9703, nx9708, nx9715, nx9720, nx9728, nx9733, nx9741, nx9749, nx9752, nx9763, nx9769, nx9775, nx9779, nx9781, nx9787, nx9793, nx9803, nx9806, nx9809, nx9811, nx9812, nx9817, nx9821, nx9827, nx9839, nx9841, nx9842, nx9845, nx9847, nx9859, nx9867, nx9875, nx9878, nx9881, nx9885, nx9889, nx9895, nx9897, nx9901, nx9907, nx9921, nx9929, nx9949, nx9983, nx9989, nx9996, nx10004, nx10014, nx10021, nx10025, nx10037, nx10049, nx10053, nx10069, nx10071, nx10081, nx10088, nx10093, nx10101, nx10107, nx10109, nx10125, nx10129, nx10135, nx10143, nx10145, nx10153, nx10161, nx10167, nx10173, nx10179, nx10185, nx10187, nx10191, nx10197, nx10219, nx10234, nx10241, nx10243, nx10245, nx10246, nx10247, nx10253, nx10257, nx10263, nx10266, nx10271, nx10273, nx10278, nx10280, nx10283, nx10293, nx10295, nx10298, nx10300, nx10301, nx10311, nx10317, nx10322, nx10327, nx10331, nx10333, nx10339, nx10341, nx10355, nx10363, nx10375, nx10381, nx10386, nx10394, nx10403, nx10413, nx10417, nx10421, nx10427, nx10432, nx10446, nx10453, nx10461, nx10469, nx10475, nx10479, nx10489, nx10497, nx10501, nx10507, nx10511, nx10517, nx10521, nx10527, nx10533, nx10539, nx10545, nx10547, nx10554, nx10563, nx10565, nx10571, nx10579, nx10583, nx10589, nx10593, nx10599, nx10603, nx10611, nx10629, nx10637, nx10643, nx10645, nx10651, nx10655, nx10661, nx10665, nx10667, nx10671, nx10677, nx10681, nx10687, nx10695, nx10699, nx10703, nx10707, nx10711, nx10715, nx10723, nx10731, nx10741, nx10749, nx10757, nx10763, nx10767, nx10775, nx10781, nx10787, nx10791, nx10794, nx10799, nx10807, nx10815, nx10819, nx10821, nx10822, nx10823, nx10830, nx10833, nx10841, nx10852, nx10854, nx10855, nx10859, nx10861, nx10871, nx10875, nx10881, nx10889, nx10893, nx10897, nx10901, nx10905, nx10911, nx10913, nx10917, nx10923, nx10933, nx10941, nx10955, nx10981, nx10989, nx10995, nx11003, nx11013, nx11021, nx11025, nx11041, nx11051, nx11054, nx11071, nx11073, nx11086, nx11091, nx11096, nx11105, nx11109, nx11111, nx11123, nx11126, nx11130, nx11139, nx11141, nx11149, nx11157, nx11163, nx11171, nx11176, nx11185, nx11187, nx11190, nx11195, nx11217, nx11233, nx11243, nx11245, nx11247, nx11249, nx11251, nx11255, nx11261, nx11267, nx11271, nx11275, nx11276, nx11281, nx11283, nx11285, nx11293, nx11295, nx11299, nx11301, nx11303, nx11311, nx11315, nx11323, nx11327, nx11333, nx11335, nx11339, nx11341, nx11351, nx11358, nx11368, nx11375, nx11380, nx11388, nx11397, nx11409, nx11415, nx11419, nx11423, nx11429, nx11439, nx11447, nx11455, nx11463, nx11467, nx11471, nx11480, nx11487, nx11490, nx11495, nx11498, nx11503, nx11507, nx11515, nx11519, nx11527, nx11529, nx11534, nx11543, nx11545, nx11550, nx11559, nx11563, nx11569, nx11573, nx11579, nx11583, nx11591, nx11609, nx11617, nx11623, nx11625, nx11631, nx11635, nx11641, nx11645, nx11647, nx11651, nx11656, nx11659, nx11665, nx11670, nx11673, nx11677, nx11681, nx11685, nx11691, nx11701, nx11707, nx11717, nx11725, nx11733, nx11741, nx11745, nx11755, nx11761, nx11769, nx11772, nx11775, nx11783, nx11785, nx11791, nx11799, nx11803, nx11805, nx11806, nx11807, nx11809, nx11815, nx11819, nx11827, nx11839, nx11842, nx11843, nx11847, nx11849, nx11859, nx11863, nx11871, nx11879, nx11883, nx11887, nx11890, nx11895, nx11900, nx11901, nx11907, nx11913, nx11923, nx11929, nx11943, nx11971, nx11978, nx11985, nx11993, nx12005, nx12011, nx12017, nx12028, nx12037, nx12041, nx12055, nx12057, nx12067, nx12075, nx12080, nx12089, nx12094, nx12096, nx12109, nx12113, nx12119, nx12127, nx12129, nx12137, nx12145, nx12151, nx12159, nx12167, nx12177, nx12179, nx12183, nx12188, nx12208, nx12223, nx12230, nx12232, nx12235, nx12236, nx12237, nx12241, nx12247, nx12253, nx12257, nx12261, nx12263, nx12269, nx12271, nx12273, nx12283, nx12285, nx12289, nx12291, nx12292, nx12294, nx12301, nx12305, nx12313, nx12319, nx12325, nx12327, nx12331, nx12333, nx12347, nx12357, nx12371, nx12378, nx12385, nx12391, nx12405, nx12417, nx12423, nx12427, nx12431, nx12438, nx12450, nx12457, nx12463, nx12469, nx12473, nx12477, nx12485, nx12492, nx12495, nx12501, nx12504, nx12513, nx12524, nx12529, nx12535, nx12537, nx12543, nx12553, nx12555, nx12563, nx12568, nx12571, nx12580, nx12585, nx12589, nx12595, nx12607, nx12612, nx12617, nx12619, nx12625, nx12629, nx12634, nx12637, nx12639, nx12643, nx12648, nx12651, nx12657, nx12665, nx12669, nx12673, nx12677, nx12681, nx12687, nx12697, nx12705, nx12715, nx12723, nx12731, nx12739, nx12743, nx12757, nx12763, nx12766, nx12768, nx12773, nx12775, nx12781, nx12789, nx12793, nx12795, nx12796, nx12797, nx12799, nx12805, nx12809, nx12819, nx12831, nx12835, nx12836, nx12841, nx12843, nx12857, nx12865, nx12873, nx12877, nx12881, nx12885, nx12890, nx12897, nx12898, nx12905, nx12911, nx12925, nx12933, nx12955, nx12987, nx12992, nx13000, nx13007, nx13017, nx13025, nx13029, nx13039, nx13051, nx13055, nx13069, nx13071, nx13082, nx13089, nx13093, nx13103, nx13109, nx13111, nx13123, nx13127, nx13131, nx13141, nx13143, nx13149, nx13155, nx13160, nx13165, nx13173, nx13182, nx13184, nx13187, nx13193, nx13211, nx13229, nx13239, nx13241, nx13243, nx13245, nx13246, nx13251, nx13257, nx13263, nx13267, nx13273, nx13275, nx13281, nx13283, nx13285, nx13295, nx13297, nx13300, nx13302, nx13303, nx13307, nx13312, nx13317, nx13322, nx13327, nx13331, nx13333, nx13338, nx13341, nx13355, nx13363, nx13376, nx13383, nx13391, nx13397, nx13409, nx13423, nx13429, nx13432, nx13437, nx13445, nx13461, nx13469, nx13477, nx13483, nx13488, nx13491, nx13499, nx13507, nx13511, nx13515, nx13519, nx13529, nx13539, nx13543, nx13550, nx13552, nx13569, nx13575, nx13583, nx13586, nx13594, nx13599, nx13603, nx13609, nx13625, nx13631, nx13636, nx13638, nx13643, nx13647, nx13651, nx13655, nx13657, nx13661, nx13667, nx13670, nx13675, nx13682, nx13685, nx13689, nx13692, nx13695, nx13701, nx13707, nx13713, nx13721, nx13729, nx13736, nx13741, nx13745, nx13753, nx13759, nx13767, nx13770, nx13772, nx13779, nx13781, nx13787, nx13797, nx13801, nx13803, nx13805, nx13807, nx13808, nx13815, nx13819, nx13829, nx13841, nx13845, nx13847, nx13850, nx13852, nx13863, nx13870, nx13875, nx13879, nx13883, nx13887, nx13891, nx13897, nx13898, nx13905, nx13911, nx13933, nx13941, nx13949, nx13955, nx13973, nx13979, nx13987, nx13995, nx14005, nx14015, nx14025, nx14027, nx14043, nx14051, nx14059, nx14061, nx14083, nx14091, nx14093, nx14102, nx14104, nx14111, nx14116, nx14128, nx14131, nx14153, nx14169, nx14177, nx14182, nx14187, nx14195, nx14197, nx14203, nx14205, nx14213, nx14219, nx14225, nx14232, nx14243, nx14250, nx14255, nx14257, nx14262, nx14264, nx14273, nx14279, nx14284, nx14295, nx14301, nx14305, nx14310, nx14317, nx14321, nx14328, nx14335, nx14341, nx14349, nx14355, nx14369, nx14373, nx14383, nx14392, nx14397, nx14403, nx14405, nx14411, nx14427, nx14434, nx14447, nx14453, nx14455, nx14463, nx14469, nx14471, nx14478, nx14483, nx14485, nx14493, nx14498, nx14501, nx14507, nx14513, nx14515, nx14523, nx14529, nx14531, nx14537, nx14543, nx14545, nx14551, nx14561, nx14577, nx14589, nx14601, nx14616, nx14631, nx14644, nx14657, nx14667, nx14686, nx14691, nx14695, nx14703, nx14708, nx14711, nx14719, nx14725, nx14728, nx14737, nx14742, nx14745, nx14753, nx14759, nx14763, nx14771, nx14797, nx14801, nx14803, nx14805, nx14807, nx14809, nx14811, nx14813, nx14815, nx14817, nx14819, nx14821, nx14823, nx14825, nx14827, nx14829, nx14831, nx14833, nx14835, nx14837, nx14839, nx14841, nx14843, nx14849, nx14851, nx14853, nx14855, nx14857, nx14859, nx14861, nx14863, nx14865, nx14871, nx14873, nx14875, nx14877, nx14879, nx14887, nx14889, nx14891, nx14893, nx14895, nx14897, nx14899, nx14901, nx14903, nx14905, nx14907, nx14909, nx14917, nx14919, nx14921, nx14923, nx14925, nx14927, PRI_OUT_0_dup0_8, reg_1_q_c_8, nx9310, PRI_OUT_3_10_EXMPLR, reg_4_q_c_10, nx11210, nx11306, nx6546, reg_2_q_c_9, PRI_OUT_3_8_EXMPLR, reg_4_q_c_8, nx9006, PRI_OUT_3_8_XX0_XREP7, PRI_OUT_3_7_EXMPLR, reg_4_q_c_7, nx7904, PRI_OUT_3_7_XX0_XREP9, PRI_OUT_3_6_EXMPLR, reg_4_q_c_6, nx6802, PRI_OUT_3_6_XX0_XREP11, PRI_OUT_3_5_EXMPLR, reg_4_q_c_5, nx5700, PRI_OUT_3_5_XX0_XREP13, PRI_OUT_3_4_EXMPLR, reg_4_q_c_4, nx4598, PRI_OUT_3_4_XX0_XREP15, PRI_OUT_3_3_EXMPLR, reg_4_q_c_3, nx3496, PRI_OUT_3_3_XX0_XREP17, reg_4_q_c_2, PRI_OUT_3_2_XX0_XREP19, reg_4_q_c_1, PRI_OUT_3_0_EXMPLR, reg_4_q_c_0, nx550, PRI_OUT_3_0_XX0_XREP23, nx6880, reg_23_q_c_0, nx6880_XX0_XREP25, nx6453, reg_21_q_c_0, nx826, nx6453_XX0_XREP27, nx6733, reg_31_q_c_0, nx6671, nx6733_XX0_XREP29, nx6459, reg_34_q_c_0, nx6886, nx76, nx6459_XX0_XREP33, nx14799, nx242, nx6463, reg_26_q_c_0, nx778, nx6463_XX0_XREP37, reg_40_q_c_0, nx400, nx7263, reg_23_q_c_1, reg_21_q_c_1, nx14867, reg_31_q_c_1, nx6471, reg_26_q_c_1, nx7277_XX0_XREP53, nx6469, reg_34_q_c_1, nx7275, nx1010, nx6469_XX0_XREP55, nx7787, reg_23_q_c_2, nx7787_XX0_XREP59, nx6474, reg_21_q_c_2, nx2630, nx6474_XX0_XREP61, nx7587, reg_31_q_c_2, nx7808, reg_26_q_c_2, nx6483_XX0_XREP65, nx14915, nx2086, nx6479, reg_34_q_c_2, nx1906, nx6479_XX0_XREP69, reg_20_q_c_2, nx7845, nx2172, nx8261, reg_23_q_c_3, nx8261_XX0_XREP75, nx6487, reg_21_q_c_3, nx3732, nx6487_XX0_XREP77, nx14869, reg_31_q_c_3, nx6496, reg_26_q_c_3, nx3696, nx6496_XX0_XREP85, nx6493, reg_34_q_c_3, nx8273, nx3008, nx6493_XX0_XREP89, nx8789, reg_23_q_c_4, nx8789_XX0_XREP97, nx6498, reg_21_q_c_4, nx4834, nx6498_XX0_XREP99, nx8601, reg_31_q_c_4, nx8810, nx6505, reg_26_q_c_4, nx4798, nx6505_XX0_XREP105, nx6513, reg_26_q_c_5, nx5900, nx6513_XX0_XREP123, nx9789, reg_23_q_c_6, nx9789_XX0_XREP131, nx6521, reg_26_q_c_6, nx7002, nx6521_XX0_XREP133, nx10801, reg_23_q_c_8, PRI_OUT_3_9_EXMPLR, reg_4_q_c_9, nx10108, nx10825, nx6537, reg_2_q_c_8, nx10304, nx6527, reg_2_q_c_7, nx9813, nx6518, reg_2_q_c_6, nx7277, nx7277_XX0_XREP254, PRI_OUT_0_8_EXMPLR, nx15650, nx15651, nx15652, nx15653, nx15654, \[55496__XX0_XREP4\, nx15655, \[62457__XX0_XREP8\, nx15656, nx15657, nx15659, \[69410__XX0_XREP12\, nx15660, nx15661, nx15662, \[101637__XX0_XREP18\, nx15663, nx15664, nx15665, nx15666, nx15667, nx15668, nx12847, nx15669, nx15670, nx15671, nx13855, nx15672, nx15673, nx15674, nx15675, nx16832, nx13345, nx15677, nx13954, nx15679, nx15680, nx15681, nx15682, nx15683, nx15684, nx15685, nx15687, nx15689, nx15690, PRI_OUT_2_14_EXMPLR, nx15691, nx15692, PRI_OUT_2_13_EXMPLR, PRI_OUT_2_12_EXMPLR, nx15693, nx15694, nx14885, nx15695, nx15696, nx15697, nx15698, nx15699, nx15700, nx15701, nx7241, nx15702, nx15703, nx15704, nx15705, nx15706, PRI_OUT_3_1_XX0_XREP21, nx15707, nx15708, nx15709, nx15710, nx15711, nx15713, nx7286, nx15714, nx6468, nx15715, nx15716, nx15717, nx15718, nx15719, nx15720, nx2394, nx15721, nx15722, nx15723, nx15724, nx2390, nx7809, nx15725, nx15726, nx6477, nx15727, nx15728, nx15729, nx15731, nx6901, nx15732, nx15733, nx15734, nx15735, nx546, nx15736, nx15737, nx15738, nx6817, nx15739, nx15741, nx15742, nx15743, nx2204, nx15744, nx15745, nx15746, nx15747, nx15748, nx15749, nx15751, nx13925, nx15752, nx15753, nx17052, nx13760, nx7151, nx15754, nx15755, nx15756, nx15757, nx15759, nx15761, nx15762, nx15763, nx7329, nx15764, nx1422, nx15765, nx15766, nx7025, nx15767, nx15768, nx15769, nx15771, nx15772, nx15773, PRI_OUT_3_2_EXMPLR, nx15774, nx15775, nx15776, nx15777, nx15778, nx15779, nx15780, nx15781, nx15783, nx15785, nx15786, nx13419, nx15787, nx13758, nx12413, nx15788, nx15789, nx15790, nx10865, nx15791, nx15792, nx15793, nx15795, nx15796, nx15797, nx11853, nx15798, nx15799, nx15800, nx12337, nx15801, nx15803, nx15805, nx15806, nx11477, nx11345, nx9546, nx15807, nx15808, nx15809, nx15810, nx15811, nx14845, nx15812, nx15813, nx15814, nx8287, nx15815, nx6491, nx15816, nx15817, nx15819, nx12342, nx7903, nx2052, nx7659, nx15820, nx15821, nx15822, nx15823, nx15824, nx15825, nx15827, nx15828, nx15829, nx15830, nx15831, NOT_nx11750, nx15832, nx15833, nx15835, nx9544, nx15837, nx15838, nx916, nx196, nx6707, nx15839, nx15840, nx15841, nx15842, nx15843, nx15844, nx15845, nx15846, nx15847, nx15848, nx15849, nx15850, nx15851, nx15852, nx15853, nx15855, nx15856, nx15857, nx14037, nx15858, nx15859, nx16928, nx6597, nx6589, nx6581, nx15860, nx15861, nx15862, nx15863, nx15864, nx15865, nx15867, nx15869, nx15870, nx15871, nx15872, nx15873, nx15874, nx15875, nx15876, nx13567, nx15877, nx15878, nx15879, nx16050, nx6592, nx15881, nx15883, nx6583, nx13842, nx6572, nx15884, nx15885, nx15886, nx15887, nx11554, nx15888, nx15889, nx15891, nx15893, nx11405, nx9352, nx10410, nx15894, nx15895, nx3526, nx15896, nx15897, nx15898, nx15899, nx15901, nx15903, nx15904, nx15905, nx15906, nx15907, nx15908, nx15909, nx15910, nx15911, nx15913, nx15914, nx15915, nx15916, nx15917, nx15826, nx12519, nx15918, nx15919, nx15920, nx15921, nx15923, nx15924, nx15925, nx15926, nx15927, nx954, nx15928, nx15929, nx15930, nx7072, nx6466_XX0_XREP43, nx1548, nx15931, nx15932, nx10138, nx15933, nx15935, nx2822, nx15937, nx15938, nx15939, nx15940, nx15941, nx15942, nx15943, nx15944, nx15945, nx15946, nx15947, nx15948, nx15949, nx15951, nx12509, nx6570, nx6559, nx11511, nx15952, nx15953, nx15954, nx8857, nx15955, nx15956, nx15957, nx15958, nx15959, nx15960, nx15961, nx9851, nx15962, nx7342, nx15963, nx15964, nx15965, nx15966, nx10485, nx15967, nx10345, nx15968, nx15969, nx15970, nx15971, nx9479, nx9330, nx5138, nx15973, nx15974, nx15975, nx5730, nx9855, nx15976, nx15977, nx15978, nx13840, nx15979, nx15980, nx7934, nx15981, nx15983, nx5024, nx15984, nx8565, nx15985, nx15986, nx11638, nx15987, nx15988, nx15989, nx12853, nx15990, nx15991, nx15993, nx15994, nx15995, nx15712, nx15996, nx15997, nx15998, nx15999, PRI_OUT_2_11_EXMPLR, nx16000, nx16001, nx16002, nx14777, nx16003, nx16004, nx16005, nx16006, nx16007, nx16008, nx16009, nx16011, nx16012, nx16013, nx14789, nx16014, nx16015, nx17912, nx14781, nx17872, nx16016, nx16017, nx16018, nx16019, nx16020, nx16021, nx16022, nx8759, nx16023, nx16024, nx7056, nx6473, nx16025, nx16026, nx16027, nx16029, nx1518, nx16030, nx7051, nx16031, nx1512, nx16032, nx16033, nx16034, nx16035, nx16036, nx16037, nx16039, nx16040, nx13525, nx16041, nx11610, nx16042, nx16043, nx16044, nx16045, nx7146, nx16046, nx16047, nx16048, nx16049, nx9405, nx4944, nx8407, nx16051, nx16052, nx16053, nx9551, nx16054, nx16055, nx16056, nx16057, nx16058, nx16059, nx14610, nx16061, nx16062, nx16063, nx16814, nx16064, nx16065, nx16066, nx16067, nx13952, nx16068, nx16069, nx9434, nx16071, nx16072, nx16073, nx16074, nx16075, nx16076, nx16077, nx16078, nx16079, nx16080, nx16081, nx16082, nx16108, nx16083, nx16084, nx16085, nx16086, nx16087, nx16088, nx16089, nx13591, nx16091, nx16092, nx16093, nx16094, nx13904, nx16095, nx16096, nx12577, nx11700, nx16097, nx16099, nx16100, nx16101, nx16102, nx16103, nx16104, nx16105, nx16106, nx16107, nx13814, nx13812, nx16109, nx16110, nx4996, nx16111, nx8525, nx16112, nx16113, nx16114, nx16115, nx16116, nx16117, nx16118, nx16119, nx16121, nx17692, nx16122, nx16123, nx16124, nx14674, nx16125, nx16126, nx14677, nx14669, nx16127, PRI_OUT_1_1_EXMPLR, nx16128, nx16129, nx16131, nx16132, nx16133, nx16134, nx16135, nx16136, nx16137, nx2054, nx16138, nx16139, nx16141, nx7622, nx16142, nx16143, nx16144, nx16145, nx16146, nx16147, nx16149, nx16150, nx16151, nx16152, nx16153, nx16154, nx13495, nx16155, nx16156, nx16157, nx16158, nx12482, nx16159, nx16160, nx16161, nx16162, nx16163, nx16164, nx16165, nx16166, nx16167, nx16168, nx2094, nx7602, nx16169, nx6483, nx16170, nx2594, nx7528, nx16171, nx16172, nx16173, nx16174, nx16175, nx16176, nx16177, nx8231, nx16179, nx16180, nx13508, nx16181, nx16182, nx9406, nx16183, nx15138, nx14143, nx16184, nx16185, nx16186, nx16187, nx974, nx6466, nx16188, nx7228, nx5026, nx16189, nx16190, nx12751, nx16191, nx16192, nx16193, nx16195, nx16196, nx16197, nx16198, nx16199, nx16200, nx16201, nx16202, nx16203, nx16204, nx16205, nx16206, nx16207, nx16208, nx16209, nx16210, nx1914, nx16211, nx16212, nx7383, nx16213, nx16214, nx7121, nx7123, nx16215, nx16216, nx16217, nx16218, nx16219, nx16220, nx16221, nx16222, nx16223, nx16224, nx16966, nx15866, nx16225, nx16226, nx16227, nx16228, nx16090, nx15802, nx16229, nx14847, nx6500, nx16230, nx16231, PRI_OUT_1_0_EXMPLR, nx16233, nx16234, nx16235, nx16236, nx16237, nx16238, nx16239, nx16240, nx16241, nx16242, nx16243, nx16244, nx16245, nx16246, nx16247, nx16249, nx16250, nx16251, nx16252: std_logic ; begin PRI_OUT_0(15) <= PRI_OUT_0_15_EXMPLR ; PRI_OUT_0(14) <= PRI_OUT_0_14_EXMPLR ; PRI_OUT_0(13) <= PRI_OUT_0_13_EXMPLR ; PRI_OUT_0(12) <= PRI_OUT_0_12_EXMPLR ; PRI_OUT_0(11) <= PRI_OUT_0_11_EXMPLR ; PRI_OUT_0(10) <= PRI_OUT_0_10_EXMPLR ; PRI_OUT_0(9) <= PRI_OUT_0_9_EXMPLR ; PRI_OUT_0(8) <= PRI_OUT_0_8_EXMPLR ; PRI_OUT_0(7) <= PRI_OUT_0_7_EXMPLR ; PRI_OUT_0(6) <= PRI_OUT_0_6_EXMPLR ; PRI_OUT_0(5) <= PRI_OUT_0_5_EXMPLR ; PRI_OUT_0(4) <= PRI_OUT_0_4_EXMPLR ; PRI_OUT_0(3) <= PRI_OUT_0_3_EXMPLR ; PRI_OUT_0(2) <= PRI_OUT_0_2_EXMPLR ; PRI_OUT_0(1) <= PRI_OUT_0_1_EXMPLR ; PRI_OUT_0(0) <= PRI_OUT_0_0_EXMPLR ; PRI_OUT_1(15) <= PRI_OUT_1_15_EXMPLR ; PRI_OUT_1(14) <= PRI_OUT_1_14_EXMPLR ; PRI_OUT_1(13) <= PRI_OUT_1_13_EXMPLR ; PRI_OUT_1(12) <= PRI_OUT_1_12_EXMPLR ; PRI_OUT_1(11) <= PRI_OUT_1_11_EXMPLR ; PRI_OUT_1(10) <= PRI_OUT_1_10_EXMPLR ; PRI_OUT_1(9) <= PRI_OUT_1_9_EXMPLR ; PRI_OUT_1(8) <= PRI_OUT_1_8_EXMPLR ; PRI_OUT_1(7) <= PRI_OUT_1_7_EXMPLR ; PRI_OUT_1(6) <= PRI_OUT_1_6_EXMPLR ; PRI_OUT_1(5) <= PRI_OUT_1_5_EXMPLR ; PRI_OUT_1(4) <= PRI_OUT_1_4_EXMPLR ; PRI_OUT_1(3) <= PRI_OUT_1_3_EXMPLR ; PRI_OUT_1(2) <= PRI_OUT_1_2_EXMPLR ; PRI_OUT_1(1) <= PRI_OUT_1_1_EXMPLR ; PRI_OUT_1(0) <= PRI_OUT_1_0_EXMPLR ; PRI_OUT_2(15) <= PRI_OUT_2_15_EXMPLR ; PRI_OUT_2(14) <= PRI_OUT_2_14_EXMPLR ; PRI_OUT_2(13) <= PRI_OUT_2_13_EXMPLR ; PRI_OUT_2(12) <= PRI_OUT_2_12_EXMPLR ; PRI_OUT_2(11) <= PRI_OUT_2_11_EXMPLR ; PRI_OUT_2(10) <= PRI_OUT_2_10_EXMPLR ; PRI_OUT_2(9) <= PRI_OUT_2_9_EXMPLR ; PRI_OUT_2(8) <= PRI_OUT_2_8_EXMPLR ; PRI_OUT_2(7) <= PRI_OUT_2_7_EXMPLR ; PRI_OUT_2(6) <= PRI_OUT_2_6_EXMPLR ; PRI_OUT_2(5) <= PRI_OUT_2_5_EXMPLR ; PRI_OUT_2(4) <= PRI_OUT_2_4_EXMPLR ; PRI_OUT_2(3) <= PRI_OUT_2_3_EXMPLR ; PRI_OUT_2(2) <= PRI_OUT_2_2_EXMPLR ; PRI_OUT_2(1) <= PRI_OUT_2_1_EXMPLR ; PRI_OUT_2(0) <= PRI_OUT_2_0_EXMPLR ; PRI_OUT_3(15) <= PRI_OUT_3_15_EXMPLR ; PRI_OUT_3(14) <= PRI_OUT_3_14_EXMPLR ; PRI_OUT_3(13) <= PRI_OUT_3_13_EXMPLR ; PRI_OUT_3(12) <= PRI_OUT_3_12_EXMPLR ; PRI_OUT_3(11) <= PRI_OUT_3_11_EXMPLR ; PRI_OUT_3(10) <= PRI_OUT_3_10_EXMPLR ; PRI_OUT_3(9) <= PRI_OUT_3_9_EXMPLR ; PRI_OUT_3(8) <= PRI_OUT_3_8_EXMPLR ; PRI_OUT_3(7) <= PRI_OUT_3_7_EXMPLR ; PRI_OUT_3(6) <= PRI_OUT_3_6_EXMPLR ; PRI_OUT_3(5) <= PRI_OUT_3_5_EXMPLR ; PRI_OUT_3(4) <= PRI_OUT_3_4_EXMPLR ; PRI_OUT_3(3) <= PRI_OUT_3_3_EXMPLR ; PRI_OUT_3(2) <= PRI_OUT_3_2_EXMPLR ; PRI_OUT_3(0) <= PRI_OUT_3_0_EXMPLR ; PRI_OUT_5(15) <= PRI_OUT_5_15_EXMPLR ; PRI_OUT_5(14) <= PRI_OUT_5_14_EXMPLR ; PRI_OUT_5(13) <= PRI_OUT_5_13_EXMPLR ; PRI_OUT_5(12) <= PRI_OUT_5_12_EXMPLR ; PRI_OUT_5(11) <= PRI_OUT_5_11_EXMPLR ; PRI_OUT_5(10) <= PRI_OUT_5_10_EXMPLR ; PRI_OUT_5(9) <= PRI_OUT_5_9_EXMPLR ; PRI_OUT_5(8) <= PRI_OUT_5_8_EXMPLR ; PRI_OUT_5(7) <= PRI_OUT_5_7_EXMPLR ; PRI_OUT_5(6) <= PRI_OUT_5_6_EXMPLR ; PRI_OUT_5(5) <= PRI_OUT_5_5_EXMPLR ; PRI_OUT_5(4) <= PRI_OUT_5_4_EXMPLR ; PRI_OUT_5(3) <= PRI_OUT_5_3_EXMPLR ; PRI_OUT_5(2) <= PRI_OUT_5_2_EXMPLR ; PRI_OUT_5(1) <= PRI_OUT_5_1_EXMPLR ; PRI_OUT_5(0) <= PRI_OUT_5_0_EXMPLR ; PRI_OUT_7(15) <= PRI_OUT_7_15_EXMPLR ; PRI_OUT_7(14) <= PRI_OUT_7_14_EXMPLR ; PRI_OUT_7(12) <= PRI_OUT_7_12_EXMPLR ; PRI_OUT_7(10) <= PRI_OUT_7_10_EXMPLR ; PRI_OUT_7(8) <= PRI_OUT_7_8_EXMPLR ; PRI_OUT_7(6) <= PRI_OUT_7_6_EXMPLR ; PRI_OUT_7(4) <= PRI_OUT_7_4_EXMPLR ; PRI_OUT_7(2) <= PRI_OUT_7_2_EXMPLR ; PRI_OUT_7(0) <= PRI_OUT_7_0_EXMPLR ; PRI_OUT_8(15) <= PRI_OUT_8_15_EXMPLR ; PRI_OUT_8(14) <= PRI_OUT_8_14_EXMPLR ; PRI_OUT_8(13) <= PRI_OUT_8_13_EXMPLR ; PRI_OUT_8(12) <= PRI_OUT_8_12_EXMPLR ; PRI_OUT_8(11) <= PRI_OUT_8_11_EXMPLR ; PRI_OUT_8(10) <= PRI_OUT_8_10_EXMPLR ; PRI_OUT_8(9) <= PRI_OUT_8_9_EXMPLR ; PRI_OUT_8(8) <= PRI_OUT_8_8_EXMPLR ; PRI_OUT_8(7) <= PRI_OUT_8_7_EXMPLR ; PRI_OUT_8(6) <= PRI_OUT_8_6_EXMPLR ; PRI_OUT_8(5) <= PRI_OUT_8_5_EXMPLR ; PRI_OUT_8(4) <= PRI_OUT_8_4_EXMPLR ; PRI_OUT_8(3) <= PRI_OUT_8_3_EXMPLR ; PRI_OUT_8(2) <= PRI_OUT_8_2_EXMPLR ; PRI_OUT_8(1) <= PRI_OUT_8_1_EXMPLR ; PRI_OUT_8(0) <= PRI_OUT_8_0_EXMPLR ; PRI_OUT_9(15) <= PRI_OUT_9_15_EXMPLR ; PRI_OUT_9(14) <= PRI_OUT_9_14_EXMPLR ; PRI_OUT_9(13) <= PRI_OUT_9_13_EXMPLR ; PRI_OUT_9(12) <= PRI_OUT_9_12_EXMPLR ; PRI_OUT_9(11) <= PRI_OUT_9_11_EXMPLR ; PRI_OUT_9(10) <= PRI_OUT_9_10_EXMPLR ; PRI_OUT_9(9) <= PRI_OUT_9_9_EXMPLR ; PRI_OUT_9(8) <= PRI_OUT_9_8_EXMPLR ; PRI_OUT_9(7) <= PRI_OUT_9_7_EXMPLR ; PRI_OUT_9(6) <= PRI_OUT_9_6_EXMPLR ; PRI_OUT_9(5) <= PRI_OUT_9_5_EXMPLR ; PRI_OUT_9(4) <= PRI_OUT_9_4_EXMPLR ; PRI_OUT_9(3) <= PRI_OUT_9_3_EXMPLR ; PRI_OUT_9(2) <= PRI_OUT_9_2_EXMPLR ; PRI_OUT_9(1) <= PRI_OUT_9_1_EXMPLR ; PRI_OUT_9(0) <= PRI_OUT_9_0_EXMPLR ; REG_18_reg_q_0 : dff port map ( Q=>PRI_OUT_9_0_EXMPLR, QB=>OPEN, D=>nx946, CLK=>CLK); ix947 : xor2 port map ( Y=>nx946, A0=>reg_44_q_c_0, A1=> PRI_OUT_0_0_EXMPLR); REG_44_reg_q_0 : dff port map ( Q=>reg_44_q_c_0, QB=>OPEN, D=>nx906, CLK =>CLK); ix893 : ao21 port map ( Y=>nx892, A0=>reg_26_q_c_0, A1=>nx6833, B0=> nx6955); ix875 : ao21 port map ( Y=>nx874, A0=>PRI_OUT_5_0_EXMPLR, A1=>nx6886, B0 =>nx6951); REG_14_reg_q_0 : dff port map ( Q=>PRI_OUT_5_0_EXMPLR, QB=>OPEN, D=>nx856, CLK=>CLK); ix857 : xnor2 port map ( Y=>nx856, A0=>nx6453, A1=>nx6733); ix839 : oai21 port map ( Y=>nx838, A0=>nx6647, A1=>reg_28_q_c_0, B0=> nx830); ix697 : oai21 port map ( Y=>nx696, A0=>nx6651, A1=>reg_33_q_c_0, B0=> nx688); ix6652 : inv02 port map ( Y=>nx6651, A=>PRI_IN_6(0)); REG_33_reg_q_0 : dff port map ( Q=>reg_33_q_c_0, QB=>OPEN, D=>nx678, CLK =>CLK); ix679 : ao21 port map ( Y=>nx678, A0=>reg_39_q_c_0, A1=>nx6733, B0=> nx6943); REG_39_reg_q_0 : dff port map ( Q=>reg_39_q_c_0, QB=>OPEN, D=>nx660, CLK =>CLK); REG_22_reg_q_0 : dff port map ( Q=>reg_22_q_c_0, QB=>OPEN, D=>nx646, CLK =>CLK); ix647 : ao21 port map ( Y=>nx646, A0=>PRI_OUT_3_0_EXMPLR, A1=>nx6915, B0 =>nx6938); ix559 : aoi21 port map ( Y=>nx558, A0=>nx6671, A1=>nx14843, B0=>nx552); REG_12_reg_q_0 : dff port map ( Q=>reg_12_q_c_0, QB=>nx6647, D=>nx696, CLK=>CLK); ix205 : xor2 port map ( Y=>nx204, A0=>nx14797, A1=>nx196); REG_45_reg_q_0 : dff port map ( Q=>reg_45_q_c_0, QB=>OPEN, D=>nx270, CLK =>CLK); REG_48_reg_q_0 : dff port map ( Q=>reg_48_q_c_0, QB=>nx6677, D=>nx256, CLK=>CLK); REG_5_reg_q_0 : dff port map ( Q=>reg_5_q_c_0, QB=>OPEN, D=>nx298, CLK=> CLK); ix299 : xor2 port map ( Y=>nx298, A0=>nx14797, A1=>reg_50_q_c_0); REG_50_reg_q_0 : dff port map ( Q=>reg_50_q_c_0, QB=>OPEN, D=>nx284, CLK =>CLK); REG_3_reg_q_0 : dff port map ( Q=>reg_3_q_c_0, QB=>OPEN, D=>nx156, CLK=> CLK); REG_37_reg_q_0 : dff port map ( Q=>reg_37_q_c_0, QB=>OPEN, D=>nx142, CLK =>CLK); ix143 : oai21 port map ( Y=>nx142, A0=>PRI_OUT_7_0_EXMPLR, A1=>nx14843, B0=>nx134); REG_16_reg_q_0 : dff port map ( Q=>PRI_OUT_7_0_EXMPLR, QB=>OPEN, D=>nx124, CLK=>CLK); ix6719 : mux21 port map ( Y=>nx6718, A0=>nx6455, A1=>reg_30_q_c_0, S0=> C_MUX2_3_SEL); ix107 : xor2 port map ( Y=>nx106, A0=>nx15652, A1=>reg_49_q_c_0); REG_49_reg_q_0 : dff port map ( Q=>reg_49_q_c_0, QB=>OPEN, D=>nx92, CLK=> CLK); ix733 : xnor2 port map ( Y=>nx732, A0=>nx6733, A1=>nx546); REG_2_reg_q_0 : dff port map ( Q=>reg_2_q_c_0, QB=>OPEN, D=>nx536, CLK=> CLK); REG_28_reg_q_0 : dff port map ( Q=>reg_28_q_c_0, QB=>OPEN, D=>nx522, CLK =>CLK); ix523 : xor2 port map ( Y=>nx522, A0=>PRI_IN_5(0), A1=>nx514); ix515 : mux21 port map ( Y=>nx514, A0=>nx6747, A1=>nx6718, S0=> C_MUX2_10_SEL); REG_32_reg_q_0 : dff port map ( Q=>reg_32_q_c_0, QB=>nx6747, D=>nx500, CLK=>CLK); ix501 : ao21 port map ( Y=>nx500, A0=>nx6750, A1=>nx64, B0=>nx6809); ix6752 : mux21 port map ( Y=>nx6750, A0=>reg_24_q_c_0, A1=>reg_25_q_c_0, S0=>C_MUX2_1_SEL); REG_24_reg_q_0 : dff port map ( Q=>reg_24_q_c_0, QB=>OPEN, D=>nx42, CLK=> CLK); ix43 : oai21 port map ( Y=>nx42, A0=>reg_41_q_c_0, A1=>nx6764, B0=>nx34); REG_41_reg_q_0 : dff port map ( Q=>reg_41_q_c_0, QB=>OPEN, D=>nx24, CLK=> CLK); ix25 : oai21 port map ( Y=>nx24, A0=>PRI_IN_0(0), A1=>nx6761, B0=>nx16); REG_38_reg_q_0 : dff port map ( Q=>reg_38_q_c_0, QB=>nx6761, D=>nx6, CLK =>CLK); ix809 : ao21 port map ( Y=>nx808, A0=>reg_19_q_c_0, A1=>nx6793, B0=> nx6795); REG_19_reg_q_0 : dff port map ( Q=>reg_19_q_c_0, QB=>OPEN, D=>nx790, CLK =>CLK); REG_25_reg_q_0 : dff port map ( Q=>reg_25_q_c_0, QB=>OPEN, D=>nx764, CLK =>CLK); ix765 : oai21 port map ( Y=>nx764, A0=>nx6784, A1=>reg_37_q_c_0, B0=> nx756); REG_36_reg_q_0 : dff port map ( Q=>reg_36_q_c_0, QB=>nx6784, D=>nx746, CLK=>CLK); REG_43_reg_q_0 : dff port map ( Q=>reg_43_q_c_0, QB=>nx6787, D=>nx732, CLK=>CLK); ix757 : nand02 port map ( Y=>nx756, A0=>reg_37_q_c_0, A1=>nx6784); REG_27_reg_q_0 : dff port map ( Q=>reg_27_q_c_0, QB=>nx6793, D=>nx892, CLK=>CLK); ix6796 : nor02 port map ( Y=>nx6795, A0=>nx6793, A1=>reg_19_q_c_0); ix17 : nand02 port map ( Y=>nx16, A0=>nx6761, A1=>PRI_IN_0(0)); ix35 : nand02 port map ( Y=>nx34, A0=>nx6764, A1=>reg_41_q_c_0); ix6810 : nor02 port map ( Y=>nx6809, A0=>nx64, A1=>nx6750); REG_46_reg_q_0 : dff port map ( Q=>reg_46_q_c_0, QB=>OPEN, D=>nx242, CLK =>CLK); REG_6_reg_q_0 : dff port map ( Q=>reg_6_q_c_0, QB=>OPEN, D=>nx472, CLK=> CLK); ix473 : ao21 port map ( Y=>nx472, A0=>nx6821, A1=>PRI_OUT_1_0_EXMPLR, B0 =>nx6859); REG_7_reg_q_0 : dff port map ( Q=>reg_7_q_c_0, QB=>nx6821, D=>nx320, CLK =>CLK); ix321 : ao21 port map ( Y=>nx320, A0=>PRI_OUT_9_0_EXMPLR, A1=>nx6825, B0 =>nx6827); REG_30_reg_q_0 : dff port map ( Q=>reg_30_q_c_0, QB=>nx6825, D=>nx106, CLK=>CLK); ix6828 : nor02 port map ( Y=>nx6827, A0=>nx6825, A1=>PRI_OUT_9_0_EXMPLR); REG_10_reg_q_0 : dff port map ( Q=>PRI_OUT_1_dup0_0, QB=>OPEN, D=>nx454, CLK=>CLK); ix455 : ao21 port map ( Y=>nx454, A0=>PRI_IN_1(0), A1=>nx6833, B0=>nx6855 ); REG_35_reg_q_0 : dff port map ( Q=>OPEN, QB=>nx6833, D=>nx436, CLK=>CLK); ix437 : oai21 port map ( Y=>nx436, A0=>nx6651, A1=>reg_42_q_c_0, B0=> nx428); REG_42_reg_q_0 : dff port map ( Q=>reg_42_q_c_0, QB=>OPEN, D=>nx418, CLK =>CLK); ix419 : oai21 port map ( Y=>nx418, A0=>nx14843, A1=>nx15653, B0=>nx410); REG_20_reg_q_0 : dff port map ( Q=>reg_20_q_c_0, QB=>nx6839, D=>nx342, CLK=>CLK); ix343 : ao21 port map ( Y=>nx342, A0=>nx64, A1=>nx6843, B0=>nx6845); ix6844 : mux21 port map ( Y=>nx6843, A0=>reg_5_q_c_0, A1=>reg_7_q_c_0, S0 =>C_MUX2_8_SEL); ix6846 : nor02 port map ( Y=>nx6845, A0=>nx6843, A1=>nx64); ix411 : nand02 port map ( Y=>nx410, A0=>reg_40_q_c_0, A1=>nx14843); ix429 : nand02 port map ( Y=>nx428, A0=>reg_42_q_c_0, A1=>nx6651); ix6856 : nor02 port map ( Y=>nx6855, A0=>nx6833, A1=>PRI_IN_1(0)); ix6860 : nor02 port map ( Y=>nx6859, A0=>PRI_OUT_1_0_EXMPLR, A1=>nx6821); REG_9_reg_q_0 : dff port map ( Q=>reg_9_q_c_0, QB=>OPEN, D=>nx360, CLK=> CLK); ix361 : ao21 port map ( Y=>nx360, A0=>reg_20_q_c_0, A1=>nx6750, B0=> nx6868); ix6869 : nor02 port map ( Y=>nx6868, A0=>nx6750, A1=>reg_20_q_c_0); ix135 : nand02 port map ( Y=>nx134, A0=>nx14843, A1=>PRI_OUT_7_0_EXMPLR); REG_8_reg_q_0 : dff port map ( Q=>reg_8_q_c_0, QB=>OPEN, D=>nx178, CLK=> CLK); ix179 : ao21 port map ( Y=>nx178, A0=>nx6459, A1=>nx6880, B0=>nx6883); ix6884 : nor02 port map ( Y=>nx6883, A0=>nx6880, A1=>nx6459_XX0_XREP33); ix6890 : mux21 port map ( Y=>nx6889, A0=>PRI_IN_8(0), A1=>PRI_IN_3(0), S0 =>C_MUX2_9_SEL); ix231 : mux21 port map ( Y=>nx230, A0=>nx6893, A1=>nx6895, S0=> C_MUX2_13_SEL); ix6894 : mux21 port map ( Y=>nx6893, A0=>reg_20_q_c_0, A1=>reg_22_q_c_0, S0=>C_MUX2_19_SEL); ix6896 : mux21 port map ( Y=>nx6895, A0=>PRI_IN_9(0), A1=>reg_19_q_c_0, S0=>C_MUX2_23_SEL); ix6905 : inv02 port map ( Y=>nx6904, A=>C_MUX2_21_SEL); ix6916 : mux21 port map ( Y=>nx6915, A0=>reg_29_q_c_0, A1=>reg_30_q_c_0, S0=>C_MUX2_4_SEL); REG_29_reg_q_0 : dff port map ( Q=>reg_29_q_c_0, QB=>OPEN, D=>nx620, CLK =>CLK); ix621 : aoi21 port map ( Y=>nx620, A0=>PRI_OUT_3_0_EXMPLR, A1=> PRI_OUT_2_0_EXMPLR, B0=>nx6935); ix613 : ao21 port map ( Y=>PRI_OUT_2_0_EXMPLR, A0=>nx14855, A1=> reg_11_q_c_0, B0=>nx578); ix6921 : inv02 port map ( Y=>nx6920, A=>C_MUX2_6_SEL); REG_11_reg_q_0 : dff port map ( Q=>reg_11_q_c_0, QB=>OPEN, D=>nx600, CLK =>CLK); ix601 : aoi21 port map ( Y=>nx600, A0=>nx6880, A1=>nx6925, B0=>nx594); REG_47_reg_q_0 : dff port map ( Q=>reg_47_q_c_0, QB=>nx6925, D=>nx586, CLK=>CLK); ix587 : aoi21 port map ( Y=>nx586, A0=>nx6707, A1=>nx6839, B0=>nx580); ix581 : nor02 port map ( Y=>nx580, A0=>nx6839, A1=>nx6707); ix595 : nor02 port map ( Y=>nx594, A0=>nx6925, A1=>nx6880); ix579 : nor02 port map ( Y=>nx578, A0=>nx14855, A1=>nx6933); ix6934 : mux21 port map ( Y=>nx6933, A0=>PRI_OUT_2_0_EXMPLR, A1=> reg_12_q_c_0, S0=>C_MUX2_25_SEL); ix6936 : nor02 port map ( Y=>nx6935, A0=>PRI_OUT_2_0_EXMPLR, A1=> PRI_OUT_3_0_EXMPLR); ix6939 : nor02 port map ( Y=>nx6938, A0=>nx6915, A1=> PRI_OUT_3_0_XX0_XREP23); ix6944 : nor02 port map ( Y=>nx6943, A0=>nx6733_XX0_XREP29, A1=> reg_39_q_c_0); ix689 : nand02 port map ( Y=>nx688, A0=>reg_33_q_c_0, A1=>nx6651); ix831 : nand02 port map ( Y=>nx830, A0=>reg_28_q_c_0, A1=>nx6647); ix6952 : nor02 port map ( Y=>nx6951, A0=>nx6886, A1=>PRI_OUT_5_0_EXMPLR); ix6956 : nor02 port map ( Y=>nx6955, A0=>nx6833, A1=>reg_26_q_c_0); ix939 : ao21 port map ( Y=>PRI_OUT_0_0_EXMPLR, A0=>C_MUX2_5_SEL, A1=> reg_1_q_c_0, B0=>nx916); REG_1_reg_q_0 : dff port map ( Q=>reg_1_q_c_0, QB=>OPEN, D=>nx928, CLK=> CLK); ix929 : ao21 port map ( Y=>nx928, A0=>nx6821, A1=>PRI_OUT_5_0_EXMPLR, B0 =>nx6961); ix6962 : nor02 port map ( Y=>nx6961, A0=>PRI_OUT_5_0_EXMPLR, A1=>nx6821); REG_18_reg_q_1 : dff port map ( Q=>PRI_OUT_9_1_EXMPLR, QB=>OPEN, D=> nx1624, CLK=>CLK); ix1625 : xor2 port map ( Y=>nx1624, A0=>nx6975, A1=>nx6977); ix6976 : nand02 port map ( Y=>nx6975, A0=>reg_44_q_c_0, A1=> PRI_OUT_0_0_EXMPLR); ix6978 : xnor2 port map ( Y=>nx6977, A0=>reg_44_q_c_1, A1=> PRI_OUT_0_1_EXMPLR); REG_44_reg_q_1 : dff port map ( Q=>reg_44_q_c_1, QB=>OPEN, D=>nx1596, CLK =>CLK); ix1597 : xor2 port map ( Y=>nx1596, A0=>nx6982, A1=>nx6984); REG_27_reg_q_1 : dff port map ( Q=>reg_27_q_c_1, QB=>nx7372, D=>nx1586, CLK=>CLK); ix1587 : xnor2 port map ( Y=>nx1586, A0=>nx6955, A1=>nx1584); ix1577 : xnor2 port map ( Y=>nx1576, A0=>nx6951, A1=>nx1574); REG_14_reg_q_1 : dff port map ( Q=>PRI_OUT_5_1_EXMPLR, QB=>OPEN, D=> nx1566, CLK=>CLK); ix1567 : xnor2 port map ( Y=>nx1566, A0=>nx6997, A1=>nx1564); ix6998 : nand02 port map ( Y=>nx6997, A0=>nx6453_XX0_XREP27, A1=>nx6455); ix1565 : xnor2 port map ( Y=>nx1564, A0=>nx6466, A1=>\[55496__XX0_XREP4\ ); ix1553 : xor2 port map ( Y=>nx1552, A0=>nx830, A1=>nx1550); REG_12_reg_q_1 : dff port map ( Q=>reg_12_q_c_1, QB=>OPEN, D=>nx1454, CLK =>CLK); ix1455 : xor2 port map ( Y=>nx1454, A0=>nx688, A1=>nx1452); REG_33_reg_q_1 : dff port map ( Q=>OPEN, QB=>nx7364, D=>nx1444, CLK=>CLK ); ix1445 : xor2 port map ( Y=>nx1444, A0=>nx6943, A1=>nx7015); ix7016 : xnor2 port map ( Y=>nx7015, A0=>reg_39_q_c_1, A1=>nx15654); REG_39_reg_q_1 : dff port map ( Q=>reg_39_q_c_1, QB=>OPEN, D=>nx1434, CLK =>CLK); ix1435 : xor2 port map ( Y=>nx1434, A0=>nx7019, A1=>nx7021); ix7020 : nand02 port map ( Y=>nx7019, A0=>reg_22_q_c_0, A1=>reg_47_q_c_0 ); ix7022 : xnor2 port map ( Y=>nx7021, A0=>reg_22_q_c_1, A1=>reg_47_q_c_1); REG_22_reg_q_1 : dff port map ( Q=>reg_22_q_c_1, QB=>OPEN, D=>nx1424, CLK =>CLK); ix1425 : xor2 port map ( Y=>nx1424, A0=>nx6938, A1=>nx7025); ix1349 : xor2 port map ( Y=>nx1348, A0=>nx7031, A1=>nx7033); ix7034 : xnor2 port map ( Y=>nx7033, A0=>nx14801, A1=>reg_31_q_c_1); REG_20_reg_q_1 : dff port map ( Q=>reg_20_q_c_1, QB=>nx7325, D=>nx1196, CLK=>CLK); ix1197 : xnor2 port map ( Y=>nx1196, A0=>nx6845, A1=>nx1194); ix1195 : xnor2 port map ( Y=>nx1194, A0=>nx7039, A1=>nx7323); ix7040 : mux21 port map ( Y=>nx7039, A0=>PRI_IN_9(1), A1=>reg_19_q_c_1, S0=>C_MUX2_23_SEL); REG_19_reg_q_1 : dff port map ( Q=>reg_19_q_c_1, QB=>OPEN, D=>nx1520, CLK =>CLK); ix1521 : xor2 port map ( Y=>nx1520, A0=>nx7045, A1=>nx7051); ix7046 : nand02 port map ( Y=>nx7045, A0=>PRI_IN_7(0), A1=> nx6463_XX0_XREP37); REG_24_reg_q_1 : dff port map ( Q=>reg_24_q_c_1, QB=>OPEN, D=>nx976, CLK =>CLK); ix977 : xor2 port map ( Y=>nx976, A0=>nx34, A1=>nx974); REG_41_reg_q_1 : dff port map ( Q=>OPEN, QB=>nx7077, D=>nx966, CLK=>CLK); ix967 : xor2 port map ( Y=>nx966, A0=>nx16, A1=>nx964); ix965 : xnor2 port map ( Y=>nx964, A0=>PRI_IN_0(1), A1=>reg_38_q_c_1); REG_38_reg_q_1 : dff port map ( Q=>reg_38_q_c_1, QB=>OPEN, D=>nx956, CLK =>CLK); ix957 : xor2 port map ( Y=>nx956, A0=>nx7070, A1=>nx7072); ix7071 : nand02 port map ( Y=>nx7070, A0=>PRI_IN_4(0), A1=>nx6453); REG_25_reg_q_1 : dff port map ( Q=>reg_25_q_c_1, QB=>OPEN, D=>nx1498, CLK =>CLK); ix1499 : xor2 port map ( Y=>nx1498, A0=>nx756, A1=>nx1496); REG_36_reg_q_1 : dff port map ( Q=>reg_36_q_c_1, QB=>OPEN, D=>nx1488, CLK =>CLK); ix1489 : xor2 port map ( Y=>nx1488, A0=>nx7085, A1=>nx7087); ix7086 : nand02 port map ( Y=>nx7085, A0=>PRI_IN_1(0), A1=>reg_43_q_c_0); REG_43_reg_q_1 : dff port map ( Q=>reg_43_q_c_1, QB=>nx7317, D=>nx1478, CLK=>CLK); ix1479 : xor2 port map ( Y=>nx1478, A0=>nx724, A1=>nx1476); ix725 : nand02 port map ( Y=>nx724, A0=>nx15736, A1=>nx6733); ix1477 : xnor2 port map ( Y=>nx1476, A0=>nx15654, A1=>nx7286); ix7094 : mux21 port map ( Y=>nx7093, A0=>PRI_IN_2(1), A1=>reg_31_q_c_1, S0=>C_MUX2_22_SEL); ix1465 : xor2 port map ( Y=>nx1464, A0=>nx7099, A1=>nx7101); REG_48_reg_q_1 : dff port map ( Q=>reg_48_q_c_1, QB=>nx7284, D=>nx1138, CLK=>CLK); ix1139 : xor2 port map ( Y=>nx1138, A0=>nx7105, A1=>nx7107); ix7106 : nand02 port map ( Y=>nx7105, A0=>nx14799, A1=>nx6463_XX0_XREP37 ); ix7108 : xnor2 port map ( Y=>nx7107, A0=>nx14803, A1=>nx6471); REG_46_reg_q_1 : dff port map ( Q=>reg_46_q_c_1, QB=>nx7283, D=>nx1128, CLK=>CLK); ix1129 : xor2 port map ( Y=>nx1128, A0=>nx234, A1=>nx1126); ix235 : nand02 port map ( Y=>nx234, A0=>nx230, A1=>nx6690); ix1127 : xnor2 port map ( Y=>nx1126, A0=>nx6469, A1=>nx1124); ix1099 : xor2 port map ( Y=>nx1098, A0=>nx7121, A1=>nx7123); REG_45_reg_q_1 : dff port map ( Q=>reg_45_q_c_1, QB=>nx7131, D=>nx1148, CLK=>CLK); ix1149 : xor2 port map ( Y=>nx1148, A0=>nx7127, A1=>nx7129); ix7128 : nand02 port map ( Y=>nx7127, A0=>reg_48_q_c_0, A1=> nx6459_XX0_XREP33); REG_5_reg_q_1 : dff port map ( Q=>reg_5_q_c_1, QB=>OPEN, D=>nx1168, CLK=> CLK); ix1169 : xor2 port map ( Y=>nx1168, A0=>nx7137, A1=>nx7139); ix7138 : nand02 port map ( Y=>nx7137, A0=>nx14797, A1=>reg_50_q_c_0); REG_50_reg_q_1 : dff port map ( Q=>reg_50_q_c_1, QB=>OPEN, D=>nx1158, CLK =>CLK); ix1159 : xor2 port map ( Y=>nx1158, A0=>nx7143, A1=>nx7145); ix7144 : nand02 port map ( Y=>nx7143, A0=>reg_44_q_c_0, A1=>nx14797); REG_3_reg_q_1 : dff port map ( Q=>reg_3_q_c_1, QB=>OPEN, D=>nx1062, CLK=> CLK); ix1063 : xor2 port map ( Y=>nx1062, A0=>nx7157, A1=>nx7159); ix7158 : nand02 port map ( Y=>nx7157, A0=>reg_37_q_c_0, A1=>nx15652); REG_37_reg_q_1 : dff port map ( Q=>reg_37_q_c_1, QB=>nx7257, D=>nx1052, CLK=>CLK); ix1053 : xor2 port map ( Y=>nx1052, A0=>nx134, A1=>nx1050); REG_16_reg_q_1 : dff port map ( Q=>PRI_OUT_7(1), QB=>nx7256, D=>nx1042, CLK=>CLK); ix1043 : xnor2 port map ( Y=>nx1042, A0=>nx118, A1=>nx7173); ix119 : nor02 port map ( Y=>nx118, A0=>nx6671, A1=>nx6718); ix7174 : xnor2 port map ( Y=>nx7173, A0=>reg_31_q_c_1, A1=>nx1038); ix1039 : mux21 port map ( Y=>nx1038, A0=>nx14867, A1=>nx7177, S0=> C_MUX2_3_SEL); ix1029 : xor2 port map ( Y=>nx1028, A0=>nx7181, A1=>nx7183); ix7182 : nand02 port map ( Y=>nx7181, A0=>nx15652, A1=>reg_49_q_c_0); ix7184 : xnor2 port map ( Y=>nx7183, A0=>nx14803, A1=>reg_49_q_c_1); REG_49_reg_q_1 : dff port map ( Q=>reg_49_q_c_1, QB=>OPEN, D=>nx1018, CLK =>CLK); ix1019 : xor2 port map ( Y=>nx1018, A0=>nx84, A1=>nx1016); ix85 : nand02 port map ( Y=>nx84, A0=>nx6817, A1=>reg_43_q_c_0); REG_6_reg_q_1 : dff port map ( Q=>reg_6_q_c_1, QB=>OPEN, D=>nx1282, CLK=> CLK); ix1283 : xnor2 port map ( Y=>nx1282, A0=>nx6859, A1=>nx1280); REG_7_reg_q_1 : dff port map ( Q=>reg_7_q_c_1, QB=>nx7209, D=>nx1182, CLK =>CLK); ix1183 : xnor2 port map ( Y=>nx1182, A0=>nx6827, A1=>nx1180); REG_30_reg_q_1 : dff port map ( Q=>reg_30_q_c_1, QB=>nx7177, D=>nx1028, CLK=>CLK); REG_10_reg_q_1 : dff port map ( Q=>PRI_OUT_1_dup0_1, QB=>OPEN, D=>nx1272, CLK=>CLK); ix1273 : xnor2 port map ( Y=>nx1272, A0=>nx6855, A1=>nx1270); REG_35_reg_q_1 : dff port map ( Q=>OPEN, QB=>nx7237, D=>nx1262, CLK=>CLK ); ix1263 : xor2 port map ( Y=>nx1262, A0=>nx428, A1=>nx1260); REG_42_reg_q_1 : dff port map ( Q=>OPEN, QB=>nx7236, D=>nx1252, CLK=>CLK ); ix1253 : xor2 port map ( Y=>nx1252, A0=>nx410, A1=>nx1250); REG_40_reg_q_1 : dff port map ( Q=>OPEN, QB=>nx7235, D=>nx1242, CLK=>CLK ); ix1243 : xor2 port map ( Y=>nx1242, A0=>nx7231, A1=>nx7233); ix7232 : nand02 port map ( Y=>nx7231, A0=>PRI_IN_6(0), A1=>reg_36_q_c_0); ix7234 : xnor2 port map ( Y=>nx7233, A0=>PRI_IN_6(1), A1=>reg_36_q_c_1); REG_9_reg_q_1 : dff port map ( Q=>reg_9_q_c_1, QB=>OPEN, D=>nx1206, CLK=> CLK); ix1207 : xor2 port map ( Y=>nx1206, A0=>nx6868, A1=>nx7251); ix7252 : xnor2 port map ( Y=>nx7251, A0=>nx14801, A1=>nx16032); REG_8_reg_q_1 : dff port map ( Q=>reg_8_q_c_1, QB=>OPEN, D=>nx1076, CLK=> CLK); ix1077 : xor2 port map ( Y=>nx1076, A0=>nx6883, A1=>nx7261); ix7262 : xnor2 port map ( Y=>nx7261, A0=>nx6469, A1=>nx7263); ix1531 : xnor2 port map ( Y=>nx1530, A0=>nx6795, A1=>nx1528); ix1125 : mux21 port map ( Y=>nx1124, A0=>nx7281, A1=>nx7039, S0=> C_MUX2_13_SEL); ix7282 : mux21 port map ( Y=>nx7281, A0=>nx14801, A1=>reg_22_q_c_1, S0=> C_MUX2_19_SEL); REG_2_reg_q_1 : dff port map ( Q=>reg_2_q_c_1, QB=>OPEN, D=>nx1330, CLK=> CLK); ix1331 : xor2 port map ( Y=>nx1330, A0=>nx7293, A1=>nx7295); ix7294 : nand02 port map ( Y=>nx7293, A0=>reg_28_q_c_0, A1=>reg_46_q_c_0 ); REG_28_reg_q_1 : dff port map ( Q=>reg_28_q_c_1, QB=>nx7315, D=>nx1320, CLK=>CLK); ix1321 : xnor2 port map ( Y=>nx1320, A0=>nx7301, A1=>nx1318); ix7302 : nand02 port map ( Y=>nx7301, A0=>PRI_IN_5(0), A1=>nx514); ix1319 : xnor2 port map ( Y=>nx1318, A0=>PRI_IN_5(1), A1=>nx7305); ix7306 : mux21 port map ( Y=>nx7305, A0=>reg_32_q_c_1, A1=>nx1038, S0=> C_MUX2_10_SEL); REG_32_reg_q_1 : dff port map ( Q=>reg_32_q_c_1, QB=>OPEN, D=>nx1302, CLK =>CLK); ix1303 : xnor2 port map ( Y=>nx1302, A0=>nx6809, A1=>nx1300); ix1301 : xnor2 port map ( Y=>nx1300, A0=>nx16033, A1=>nx7039); ix7324 : mux21 port map ( Y=>nx7323, A0=>reg_5_q_c_1, A1=>reg_7_q_c_1, S0 =>C_MUX2_8_SEL); REG_29_reg_q_1 : dff port map ( Q=>reg_29_q_c_1, QB=>OPEN, D=>nx1406, CLK =>CLK); ix1407 : xor2 port map ( Y=>nx1406, A0=>nx7335, A1=>nx7337); ix7336 : nand02 port map ( Y=>nx7335, A0=>PRI_OUT_3_0_EXMPLR, A1=> PRI_OUT_2_0_EXMPLR); ix7338 : xnor2 port map ( Y=>nx7337, A0=>PRI_OUT_3_1_XX0_XREP21, A1=> PRI_OUT_2_1_EXMPLR); ix1395 : ao21 port map ( Y=>PRI_OUT_2_1_EXMPLR, A0=>nx14855, A1=> reg_11_q_c_1, B0=>nx1368); REG_11_reg_q_1 : dff port map ( Q=>reg_11_q_c_1, QB=>OPEN, D=>nx1382, CLK =>CLK); ix1383 : xor2 port map ( Y=>nx1382, A0=>nx594, A1=>nx1380); ix1381 : xnor2 port map ( Y=>nx1380, A0=>reg_47_q_c_1, A1=>nx7263); REG_47_reg_q_1 : dff port map ( Q=>reg_47_q_c_1, QB=>OPEN, D=>nx1372, CLK =>CLK); ix1373 : xor2 port map ( Y=>nx1372, A0=>nx580, A1=>nx1370); ix1369 : nor02 port map ( Y=>nx1368, A0=>nx14855, A1=>nx7357); ix7358 : mux21 port map ( Y=>nx7357, A0=>PRI_OUT_2_1_EXMPLR, A1=> reg_12_q_c_1, S0=>C_MUX2_25_SEL); ix1621 : ao21 port map ( Y=>PRI_OUT_0_1_EXMPLR, A0=>C_MUX2_5_SEL, A1=> reg_1_q_c_1, B0=>nx1606); REG_1_reg_q_1 : dff port map ( Q=>reg_1_q_c_1, QB=>OPEN, D=>nx1610, CLK=> CLK); ix1611 : xnor2 port map ( Y=>nx1610, A0=>nx6961, A1=>nx1608); ix1607 : nor02 port map ( Y=>nx1606, A0=>C_MUX2_5_SEL, A1=>nx7383); REG_18_reg_q_2 : dff port map ( Q=>PRI_OUT_9_2_EXMPLR, QB=>OPEN, D=> nx2726, CLK=>CLK); ix2727 : xor2 port map ( Y=>nx2726, A0=>nx7393, A1=>nx7397); ix7394 : aoi32 port map ( Y=>nx7393, A0=>reg_44_q_c_0, A1=> PRI_OUT_0_0_EXMPLR, A2=>nx1622, B0=>PRI_OUT_0_1_EXMPLR, B1=> reg_44_q_c_1); REG_44_reg_q_2 : dff port map ( Q=>reg_44_q_c_2, QB=>nx7892, D=>nx2688, CLK=>CLK); ix2689 : xor2 port map ( Y=>nx2688, A0=>nx7403, A1=>nx7407); ix7404 : aoi32 port map ( Y=>nx7403, A0=>reg_27_q_c_0, A1=>reg_38_q_c_0, A2=>nx1594, B0=>reg_38_q_c_1, B1=>reg_27_q_c_1); REG_27_reg_q_2 : dff port map ( Q=>reg_27_q_c_2, QB=>nx7891, D=>nx2678, CLK=>CLK); ix2679 : xnor2 port map ( Y=>nx2678, A0=>nx7413, A1=>nx2676); ix7414 : aoi22 port map ( Y=>nx7413, A0=>nx7237, A1=>reg_26_q_c_1, B0=> nx884, B1=>nx1584); ix2677 : xnor2 port map ( Y=>nx2676, A0=>reg_26_q_c_2, A1=>reg_35_q_c_2); ix2669 : xnor2 port map ( Y=>nx2668, A0=>nx7421, A1=>nx2666); ix7422 : aoi22 port map ( Y=>nx7421, A0=>nx7275, A1=>PRI_OUT_5_1_EXMPLR, B0=>nx866, B1=>nx1574); ix2667 : xnor2 port map ( Y=>nx2666, A0=>PRI_OUT_5_2_EXMPLR, A1=> reg_34_q_c_2); REG_14_reg_q_2 : dff port map ( Q=>PRI_OUT_5_2_EXMPLR, QB=>OPEN, D=> nx2658, CLK=>CLK); ix2659 : xor2 port map ( Y=>nx2658, A0=>nx1674, A1=>nx2656); ix1675 : mux21 port map ( Y=>nx1674, A0=>nx7093, A1=>nx6997, S0=>nx1564); ix2657 : xnor2 port map ( Y=>nx2656, A0=>nx6474, A1=>\[62457__XX0_XREP8\ ); ix2645 : xnor2 port map ( Y=>nx2644, A0=>nx7441, A1=>nx2642); ix7442 : aoi22 port map ( Y=>nx7441, A0=>nx7315, A1=>reg_12_q_c_1, B0=> nx830, B1=>nx1550); REG_12_reg_q_2 : dff port map ( Q=>reg_12_q_c_2, QB=>nx7884, D=>nx2536, CLK=>CLK); ix2537 : xnor2 port map ( Y=>nx2536, A0=>nx7449, A1=>nx2534); ix7450 : aoi22 port map ( Y=>nx7449, A0=>nx7364, A1=>PRI_IN_6(1), B0=> nx688, B1=>nx1452); ix2535 : xnor2 port map ( Y=>nx2534, A0=>PRI_IN_6(2), A1=>reg_33_q_c_2); REG_33_reg_q_2 : dff port map ( Q=>reg_33_q_c_2, QB=>OPEN, D=>nx2526, CLK =>CLK); ix2527 : xor2 port map ( Y=>nx2526, A0=>nx7455, A1=>nx7465); ix7456 : aoi22 port map ( Y=>nx7455, A0=>nx14867, A1=>reg_39_q_c_1, B0=> nx670, B1=>nx1442); ix7466 : xnor2 port map ( Y=>nx7465, A0=>reg_39_q_c_2, A1=>nx15655); REG_39_reg_q_2 : dff port map ( Q=>reg_39_q_c_2, QB=>OPEN, D=>nx2516, CLK =>CLK); ix2517 : xor2 port map ( Y=>nx2516, A0=>nx7471, A1=>nx7475); ix7472 : aoi32 port map ( Y=>nx7471, A0=>reg_22_q_c_0, A1=>reg_47_q_c_0, A2=>nx1432, B0=>reg_47_q_c_1, B1=>reg_22_q_c_1); REG_22_reg_q_2 : dff port map ( Q=>reg_22_q_c_2, QB=>nx7881, D=>nx2506, CLK=>CLK); ix2507 : xor2 port map ( Y=>nx2506, A0=>nx7480, A1=>nx7485); ix7481 : aoi22 port map ( Y=>nx7480, A0=>nx15769, A1=>nx15767, B0=>nx638, B1=>nx1422); ix7486 : xnor2 port map ( Y=>nx7485, A0=>PRI_OUT_3_2_XX0_XREP19, A1=> nx7849); ix2407 : xor2 port map ( Y=>nx2406, A0=>nx7491, A1=>nx7495); ix7492 : aoi32 port map ( Y=>nx7491, A0=>reg_20_q_c_0, A1=>reg_31_q_c_0, A2=>nx1346, B0=>reg_31_q_c_1, B1=>reg_20_q_c_1); ix7502 : mux21 port map ( Y=>nx7501, A0=>nx7323, A1=>nx334, S0=>nx1194); ix2171 : xnor2 port map ( Y=>nx2170, A0=>nx7509, A1=>nx7842); ix7510 : mux21 port map ( Y=>nx7509, A0=>PRI_IN_9(2), A1=>reg_19_q_c_2, S0=>C_MUX2_23_SEL); REG_19_reg_q_2 : dff port map ( Q=>reg_19_q_c_2, QB=>OPEN, D=>nx2602, CLK =>CLK); ix2603 : xor2 port map ( Y=>nx2602, A0=>nx7514, A1=>nx7524); ix7515 : aoi22 port map ( Y=>nx7514, A0=>nx6471, A1=>PRI_IN_7(1), B0=> nx784, B1=>nx1518); ix7525 : xnor2 port map ( Y=>nx7524, A0=>PRI_IN_7(2), A1=> nx6483_XX0_XREP65); REG_24_reg_q_2 : dff port map ( Q=>reg_24_q_c_2, QB=>OPEN, D=>nx1744, CLK =>CLK); ix1745 : xnor2 port map ( Y=>nx1744, A0=>nx7533, A1=>nx1742); ix7534 : mux21 port map ( Y=>nx7533, A0=>nx7077, A1=>nx34, S0=>nx974); ix1743 : xnor2 port map ( Y=>nx1742, A0=>reg_41_q_c_2, A1=>nx6474); REG_41_reg_q_2 : dff port map ( Q=>reg_41_q_c_2, QB=>OPEN, D=>nx1734, CLK =>CLK); ix1735 : xnor2 port map ( Y=>nx1734, A0=>nx7541, A1=>nx1732); ix7542 : aoi22 port map ( Y=>nx7541, A0=>nx7543, A1=>reg_38_q_c_1, B0=> nx16, B1=>nx964); ix7544 : inv02 port map ( Y=>nx7543, A=>PRI_IN_0(1)); REG_38_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7561, D=>nx1724, CLK=>CLK ); ix1725 : xor2 port map ( Y=>nx1724, A0=>nx7549, A1=>nx7559); ix7550 : aoi32 port map ( Y=>nx7549, A0=>PRI_IN_4(0), A1=> nx6453_XX0_XREP27, A2=>nx954, B0=>nx6466_XX0_XREP43, B1=>PRI_IN_4(1)); ix7560 : xnor2 port map ( Y=>nx7559, A0=>PRI_IN_4(2), A1=> nx6474_XX0_XREP61); REG_25_reg_q_2 : dff port map ( Q=>reg_25_q_c_2, QB=>OPEN, D=>nx2580, CLK =>CLK); ix2581 : xnor2 port map ( Y=>nx2580, A0=>nx7569, A1=>nx2578); ix7570 : aoi22 port map ( Y=>nx7569, A0=>nx7257, A1=>reg_36_q_c_1, B0=> nx756, B1=>nx1496); REG_36_reg_q_2 : dff port map ( Q=>reg_36_q_c_2, QB=>nx7837, D=>nx2570, CLK=>CLK); ix2571 : xor2 port map ( Y=>nx2570, A0=>nx7575, A1=>nx7579); ix7576 : aoi32 port map ( Y=>nx7575, A0=>PRI_IN_1(0), A1=>reg_43_q_c_0, A2=>nx1486, B0=>reg_43_q_c_1, B1=>PRI_IN_1(1)); REG_43_reg_q_2 : dff port map ( Q=>reg_43_q_c_2, QB=>nx7835, D=>nx2560, CLK=>CLK); ix2561 : xnor2 port map ( Y=>nx2560, A0=>nx7583, A1=>nx2558); ix7584 : mux21 port map ( Y=>nx7583, A0=>nx15714, A1=>nx724, S0=>nx1476); ix2559 : xnor2 port map ( Y=>nx2558, A0=>\[62457__XX0_XREP8\, A1=>nx7809 ); ix2547 : xor2 port map ( Y=>nx2546, A0=>nx7593, A1=>nx7597); ix7594 : aoi32 port map ( Y=>nx7593, A0=>reg_12_q_c_0, A1=>reg_48_q_c_0, A2=>nx1462, B0=>reg_48_q_c_1, B1=>reg_12_q_c_1); REG_48_reg_q_2 : dff port map ( Q=>reg_48_q_c_2, QB=>nx7807, D=>nx2096, CLK=>CLK); ix2097 : xnor2 port map ( Y=>nx2096, A0=>nx1884, A1=>nx7602); ix1885 : oai22 port map ( Y=>nx1884, A0=>nx7519, A1=>nx7283, B0=>nx7105, B1=>nx7107); REG_46_reg_q_2 : dff port map ( Q=>reg_46_q_c_2, QB=>nx7805, D=>nx2086, CLK=>CLK); ix1895 : mux21 port map ( Y=>nx1894, A0=>nx1124, A1=>nx7609, S0=>nx1126); ix2085 : xnor2 port map ( Y=>nx2084, A0=>nx6479, A1=>nx2082); ix2057 : xnor2 port map ( Y=>nx2056, A0=>nx1914, A1=>nx7622); REG_45_reg_q_2 : dff port map ( Q=>reg_45_q_c_2, QB=>nx7637, D=>nx2106, CLK=>CLK); ix2107 : xnor2 port map ( Y=>nx2106, A0=>nx1876, A1=>nx7635); ix1877 : oai22 port map ( Y=>nx1876, A0=>nx7629, A1=>nx7284, B0=>nx7127, B1=>nx7129); REG_5_reg_q_2 : dff port map ( Q=>reg_5_q_c_2, QB=>OPEN, D=>nx2134, CLK=> CLK); ix2135 : xor2 port map ( Y=>nx2134, A0=>nx7641, A1=>nx7645); ix7642 : aoi32 port map ( Y=>nx7641, A0=>reg_45_q_c_0, A1=>reg_50_q_c_0, A2=>nx1166, B0=>reg_50_q_c_1, B1=>reg_45_q_c_1); REG_50_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7655, D=>nx2124, CLK=>CLK ); ix2125 : xor2 port map ( Y=>nx2124, A0=>nx7649, A1=>nx7652); ix7650 : aoi32 port map ( Y=>nx7649, A0=>reg_44_q_c_0, A1=>reg_45_q_c_0, A2=>nx1156, B0=>reg_45_q_c_1, B1=>reg_44_q_c_1); REG_3_reg_q_2 : dff port map ( Q=>reg_3_q_c_2, QB=>OPEN, D=>nx2010, CLK=> CLK); ix2011 : xor2 port map ( Y=>nx2010, A0=>nx7665, A1=>nx7669); ix7666 : aoi32 port map ( Y=>nx7665, A0=>reg_37_q_c_0, A1=>reg_46_q_c_0, A2=>nx1060, B0=>nx14803, B1=>reg_37_q_c_1); REG_37_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7780, D=>nx2000, CLK=>CLK ); ix2001 : xnor2 port map ( Y=>nx2000, A0=>nx7673, A1=>nx1998); ix7674 : aoi22 port map ( Y=>nx7673, A0=>nx7256, A1=>reg_20_q_c_1, B0=> nx134, B1=>nx1050); ix1999 : xnor2 port map ( Y=>nx1998, A0=>PRI_OUT_7_2_EXMPLR, A1=>nx14805 ); REG_16_reg_q_2 : dff port map ( Q=>PRI_OUT_7_2_EXMPLR, QB=>OPEN, D=> nx1990, CLK=>CLK); ix1991 : xor2 port map ( Y=>nx1990, A0=>nx7679, A1=>nx7683); ix7680 : aoi22 port map ( Y=>nx7679, A0=>nx1038, A1=>reg_31_q_c_1, B0=> nx118, B1=>nx1040); ix1987 : mux21 port map ( Y=>nx1986, A0=>nx7587, A1=>nx7686, S0=> C_MUX2_3_SEL); ix1977 : xor2 port map ( Y=>nx1976, A0=>nx7689, A1=>nx7693); ix7690 : aoi32 port map ( Y=>nx7689, A0=>reg_46_q_c_0, A1=>reg_49_q_c_0, A2=>nx1026, B0=>reg_49_q_c_1, B1=>reg_46_q_c_1); REG_49_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7778, D=>nx1966, CLK=>CLK ); ix1967 : xnor2 port map ( Y=>nx1966, A0=>nx7697, A1=>nx1964); ix7698 : mux21 port map ( Y=>nx7697, A0=>nx7317, A1=>nx84, S0=>nx1016); REG_6_reg_q_2 : dff port map ( Q=>reg_6_q_c_2, QB=>OPEN, D=>nx2306, CLK=> CLK); ix2307 : xnor2 port map ( Y=>nx2306, A0=>nx7705, A1=>nx2304); ix7706 : aoi22 port map ( Y=>nx7705, A0=>nx7209, A1=>PRI_OUT_1_1_EXMPLR, B0=>nx464, B1=>nx1280); ix2305 : xnor2 port map ( Y=>nx2304, A0=>nx16238, A1=>PRI_OUT_1_2_EXMPLR ); REG_7_reg_q_2 : dff port map ( Q=>reg_7_q_c_2, QB=>OPEN, D=>nx2158, CLK=> CLK); ix2159 : xnor2 port map ( Y=>nx2158, A0=>nx7713, A1=>nx2156); ix7714 : aoi22 port map ( Y=>nx7713, A0=>nx7177, A1=>PRI_OUT_9_1_EXMPLR, B0=>nx312, B1=>nx1180); REG_30_reg_q_2 : dff port map ( Q=>reg_30_q_c_2, QB=>nx7686, D=>nx1976, CLK=>CLK); REG_10_reg_q_2 : dff port map ( Q=>PRI_OUT_1_2_EXMPLR, QB=>OPEN, D=> nx2296, CLK=>CLK); ix2297 : xnor2 port map ( Y=>nx2296, A0=>nx7723, A1=>nx2294); ix7724 : aoi22 port map ( Y=>nx7723, A0=>nx7237, A1=>PRI_IN_1(1), B0=> nx446, B1=>nx1270); ix2295 : xnor2 port map ( Y=>nx2294, A0=>PRI_IN_1(2), A1=>reg_35_q_c_2); REG_35_reg_q_2 : dff port map ( Q=>reg_35_q_c_2, QB=>OPEN, D=>nx2286, CLK =>CLK); ix2287 : xnor2 port map ( Y=>nx2286, A0=>nx7735, A1=>nx2284); ix7736 : aoi22 port map ( Y=>nx7735, A0=>nx7236, A1=>PRI_IN_6(1), B0=> nx428, B1=>nx1260); ix2285 : xnor2 port map ( Y=>nx2284, A0=>PRI_IN_6(2), A1=>reg_42_q_c_2); REG_42_reg_q_2 : dff port map ( Q=>reg_42_q_c_2, QB=>OPEN, D=>nx2276, CLK =>CLK); ix2277 : xnor2 port map ( Y=>nx2276, A0=>nx7741, A1=>nx2274); ix7742 : aoi22 port map ( Y=>nx7741, A0=>nx7235, A1=>reg_20_q_c_1, B0=> nx410, B1=>nx1250); ix2275 : xnor2 port map ( Y=>nx2274, A0=>nx14805, A1=>reg_40_q_c_2); REG_40_reg_q_2 : dff port map ( Q=>reg_40_q_c_2, QB=>OPEN, D=>nx2266, CLK =>CLK); ix2267 : xor2 port map ( Y=>nx2266, A0=>nx7749, A1=>nx7753); ix7750 : aoi32 port map ( Y=>nx7749, A0=>PRI_IN_6(0), A1=>reg_36_q_c_0, A2=>nx1240, B0=>reg_36_q_c_1, B1=>PRI_IN_6(1)); ix2201 : nor02 port map ( Y=>nx2200, A0=>C_MUX2_2_SEL, A1=>nx7767); ix7768 : mux21 port map ( Y=>nx7767, A0=>PRI_IN_6(2), A1=>reg_9_q_c_2, S0 =>C_MUX2_24_SEL); REG_9_reg_q_2 : dff port map ( Q=>reg_9_q_c_2, QB=>OPEN, D=>nx2182, CLK=> CLK); ix2183 : xnor2 port map ( Y=>nx2182, A0=>nx1842, A1=>nx7775); ix1843 : oai22 port map ( Y=>nx1842, A0=>nx6473, A1=>nx7325, B0=>nx6868, B1=>nx7251); ix7776 : xnor2 port map ( Y=>nx7775, A0=>nx14805, A1=>nx7528); REG_8_reg_q_2 : dff port map ( Q=>reg_8_q_c_2, QB=>OPEN, D=>nx2034, CLK=> CLK); ix2035 : xnor2 port map ( Y=>nx2034, A0=>nx2030, A1=>nx7785); ix2031 : mux21 port map ( Y=>nx2030, A0=>nx6883, A1=>nx6470, S0=>nx7261); ix7786 : xnor2 port map ( Y=>nx7785, A0=>nx6479, A1=>nx7787); ix2613 : xnor2 port map ( Y=>nx2612, A0=>nx7791, A1=>nx2610); ix7792 : aoi22 port map ( Y=>nx7791, A0=>nx7372, A1=>reg_19_q_c_1, B0=> nx800, B1=>nx1528); ix7801 : mux21 port map ( Y=>nx7800, A0=>PRI_IN_8(2), A1=>PRI_IN_3(2), S0 =>C_MUX2_9_SEL); ix2083 : mux21 port map ( Y=>nx2082, A0=>nx7803, A1=>nx7509, S0=> C_MUX2_13_SEL); ix7804 : mux21 port map ( Y=>nx7803, A0=>nx14805, A1=>reg_22_q_c_2, S0=> C_MUX2_19_SEL); REG_2_reg_q_2 : dff port map ( Q=>reg_2_q_c_2, QB=>OPEN, D=>nx2380, CLK=> CLK); ix2381 : xor2 port map ( Y=>nx2380, A0=>nx7813, A1=>nx7817); ix7814 : aoi32 port map ( Y=>nx7813, A0=>reg_28_q_c_0, A1=>reg_46_q_c_0, A2=>nx1328, B0=>reg_46_q_c_1, B1=>reg_28_q_c_1); REG_28_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7833, D=>nx2370, CLK=>CLK ); ix2371 : xor2 port map ( Y=>nx2370, A0=>nx2338, A1=>nx2368); ix2339 : mux21 port map ( Y=>nx2338, A0=>nx7305, A1=>nx7301, S0=>nx1318); ix2369 : xnor2 port map ( Y=>nx2368, A0=>PRI_IN_5(2), A1=>nx7823); ix7824 : mux21 port map ( Y=>nx7823, A0=>reg_32_q_c_2, A1=>nx1986, S0=> C_MUX2_10_SEL); REG_32_reg_q_2 : dff port map ( Q=>reg_32_q_c_2, QB=>OPEN, D=>nx2352, CLK =>CLK); ix2353 : xor2 port map ( Y=>nx2352, A0=>nx2348, A1=>nx2350); ix2349 : mux21 port map ( Y=>nx2348, A0=>nx6473, A1=>nx6809, S0=>nx1300); ix2351 : xnor2 port map ( Y=>nx2350, A0=>nx7528, A1=>nx7509); ix7844 : mux21 port map ( Y=>nx7842, A0=>reg_5_q_c_2, A1=>nx16238, S0=> C_MUX2_8_SEL); ix7850 : mux21 port map ( Y=>nx7849, A0=>reg_29_q_c_2, A1=>reg_30_q_c_2, S0=>C_MUX2_4_SEL); REG_29_reg_q_2 : dff port map ( Q=>reg_29_q_c_2, QB=>OPEN, D=>nx2488, CLK =>CLK); ix2489 : xor2 port map ( Y=>nx2488, A0=>nx7853, A1=>nx7857); ix7854 : aoi32 port map ( Y=>nx7853, A0=>PRI_OUT_3_0_EXMPLR, A1=> PRI_OUT_2_0_EXMPLR, A2=>nx1404, B0=>nx15768, B1=>PRI_OUT_2_1_EXMPLR); ix7858 : xnor2 port map ( Y=>nx7857, A0=>PRI_OUT_3_2_EXMPLR, A1=> PRI_OUT_2_2_EXMPLR); ix2477 : ao21 port map ( Y=>PRI_OUT_2_2_EXMPLR, A0=>nx14855, A1=> reg_11_q_c_2, B0=>nx2434); REG_11_reg_q_2 : dff port map ( Q=>reg_11_q_c_2, QB=>OPEN, D=>nx2464, CLK =>CLK); ix2465 : xnor2 port map ( Y=>nx2464, A0=>nx7865, A1=>nx2462); ix7866 : aoi22 port map ( Y=>nx7865, A0=>nx594, A1=>nx1380, B0=>nx6470, B1=>reg_47_q_c_1); REG_47_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7873, D=>nx2454, CLK=>CLK ); ix2455 : xnor2 port map ( Y=>nx2454, A0=>nx7870, A1=>nx2452); ix7871 : aoi22 port map ( Y=>nx7870, A0=>nx580, A1=>nx1370, B0=>nx1086, B1=>reg_20_q_c_1); ix2435 : nor02 port map ( Y=>nx2434, A0=>nx14857, A1=>nx7877); ix7878 : mux21 port map ( Y=>nx7877, A0=>PRI_OUT_2_2_EXMPLR, A1=> reg_12_q_c_2, S0=>C_MUX2_25_SEL); ix2723 : ao21 port map ( Y=>PRI_OUT_0_2_EXMPLR, A0=>C_MUX2_5_SEL, A1=> reg_1_q_c_2, B0=>nx2698); REG_1_reg_q_2 : dff port map ( Q=>reg_1_q_c_2, QB=>OPEN, D=>nx2712, CLK=> CLK); ix2713 : xnor2 port map ( Y=>nx2712, A0=>nx7896, A1=>nx2710); ix7897 : aoi22 port map ( Y=>nx7896, A0=>nx7209, A1=>PRI_OUT_5_1_EXMPLR, B0=>nx920, B1=>nx1608); ix2711 : xnor2 port map ( Y=>nx2710, A0=>nx16238, A1=>PRI_OUT_5_2_EXMPLR ); ix2699 : nor02 port map ( Y=>nx2698, A0=>C_MUX2_5_SEL, A1=>nx7903); REG_18_reg_q_3 : dff port map ( Q=>PRI_OUT_9_3_EXMPLR, QB=>OPEN, D=> nx3828, CLK=>CLK); ix3829 : xnor2 port map ( Y=>nx3828, A0=>nx2740, A1=>nx7917); ix2741 : ao21 port map ( Y=>nx2740, A0=>PRI_OUT_0_2_EXMPLR, A1=> reg_44_q_c_2, B0=>nx2738); ix2739 : nor02 port map ( Y=>nx2738, A0=>nx7393, A1=>nx7397); ix7918 : xnor2 port map ( Y=>nx7917, A0=>reg_44_q_c_3, A1=> PRI_OUT_0_3_EXMPLR); REG_44_reg_q_3 : dff port map ( Q=>reg_44_q_c_3, QB=>OPEN, D=>nx3790, CLK =>CLK); ix3791 : xnor2 port map ( Y=>nx3790, A0=>nx2748, A1=>nx7922); ix2749 : oai22 port map ( Y=>nx2748, A0=>nx7403, A1=>nx7407, B0=>nx7561, B1=>nx7891); REG_27_reg_q_3 : dff port map ( Q=>reg_27_q_c_3, QB=>nx8383, D=>nx3780, CLK=>CLK); ix3781 : xor2 port map ( Y=>nx3780, A0=>nx2758, A1=>nx3778); ix2759 : mux21 port map ( Y=>nx2758, A0=>reg_35_q_c_2, A1=>nx7413, S0=> nx2676); ix3771 : xor2 port map ( Y=>nx3770, A0=>nx2768, A1=>nx3768); ix2769 : mux21 port map ( Y=>nx2768, A0=>reg_34_q_c_2, A1=>nx7421, S0=> nx2666); REG_14_reg_q_3 : dff port map ( Q=>PRI_OUT_5_3_EXMPLR, QB=>OPEN, D=> nx3760, CLK=>CLK); ix3761 : xnor2 port map ( Y=>nx3760, A0=>nx7941, A1=>nx3758); ix7942 : mux21 port map ( Y=>nx7941, A0=>nx6475, A1=>nx1674, S0=>nx2656); ix3759 : xnor2 port map ( Y=>nx3758, A0=>nx6487, A1=>\[69410__XX0_XREP12\ ); ix3747 : xor2 port map ( Y=>nx3746, A0=>nx3742, A1=>nx3744); REG_12_reg_q_3 : dff port map ( Q=>reg_12_q_c_3, QB=>OPEN, D=>nx3638, CLK =>CLK); ix3639 : xor2 port map ( Y=>nx3638, A0=>nx2906, A1=>nx3636); ix2907 : mux21 port map ( Y=>nx2906, A0=>reg_33_q_c_2, A1=>nx7449, S0=> nx2534); REG_33_reg_q_3 : dff port map ( Q=>OPEN, QB=>nx8373, D=>nx3628, CLK=>CLK ); ix3629 : xnor2 port map ( Y=>nx3628, A0=>nx2916, A1=>nx7971); ix2917 : mux21 port map ( Y=>nx2916, A0=>nx7455, A1=>nx6475, S0=>nx7465); ix7972 : xnor2 port map ( Y=>nx7971, A0=>reg_39_q_c_3, A1=>nx15659); REG_39_reg_q_3 : dff port map ( Q=>reg_39_q_c_3, QB=>OPEN, D=>nx3618, CLK =>CLK); ix3619 : xnor2 port map ( Y=>nx3618, A0=>nx2924, A1=>nx7978); ix2925 : oai22 port map ( Y=>nx2924, A0=>nx7471, A1=>nx7475, B0=>nx7873, B1=>nx7881); ix7979 : xnor2 port map ( Y=>nx7978, A0=>reg_22_q_c_3, A1=>reg_47_q_c_3); REG_22_reg_q_3 : dff port map ( Q=>reg_22_q_c_3, QB=>OPEN, D=>nx3608, CLK =>CLK); ix3609 : xnor2 port map ( Y=>nx3608, A0=>nx2934, A1=>nx7985); ix2935 : ao21 port map ( Y=>nx2934, A0=>nx7849, A1=>PRI_OUT_3_2_EXMPLR, B0=>nx2932); ix2933 : nor02 port map ( Y=>nx2932, A0=>nx7480, A1=>nx7485); ix7986 : xnor2 port map ( Y=>nx7985, A0=>PRI_OUT_3_3_XX0_XREP17, A1=> nx8337); ix3509 : xnor2 port map ( Y=>nx3508, A0=>nx3504, A1=>nx7995); ix3505 : oai22 port map ( Y=>nx3504, A0=>nx7491, A1=>nx7495, B0=>nx7808, B1=>nx15657); ix7996 : xnor2 port map ( Y=>nx7995, A0=>nx14807, A1=>reg_31_q_c_3); REG_20_reg_q_3 : dff port map ( Q=>reg_20_q_c_3, QB=>nx8333, D=>nx3274, CLK=>CLK); ix3275 : xor2 port map ( Y=>nx3274, A0=>nx2954, A1=>nx3272); ix2955 : mux21 port map ( Y=>nx2954, A0=>nx2168, A1=>nx7501, S0=>nx2170); ix3273 : xnor2 port map ( Y=>nx3272, A0=>nx8005, A1=>nx8331); ix8006 : mux21 port map ( Y=>nx8005, A0=>PRI_IN_9(3), A1=>reg_19_q_c_3, S0=>C_MUX2_23_SEL); REG_19_reg_q_3 : dff port map ( Q=>reg_19_q_c_3, QB=>OPEN, D=>nx3704, CLK =>CLK); ix3705 : xnor2 port map ( Y=>nx3704, A0=>nx2794, A1=>nx8013); ix2795 : ao21 port map ( Y=>nx2794, A0=>nx6483, A1=>PRI_IN_7(2), B0=> nx2792); ix2793 : nor02 port map ( Y=>nx2792, A0=>nx7514, A1=>nx7524); ix8014 : xnor2 port map ( Y=>nx8013, A0=>PRI_IN_7(3), A1=> nx6496_XX0_XREP85); ix8020 : mux21 port map ( Y=>nx8019, A0=>reg_24_q_c_3, A1=>reg_25_q_c_3, S0=>C_MUX2_1_SEL); REG_24_reg_q_3 : dff port map ( Q=>reg_24_q_c_3, QB=>OPEN, D=>nx2846, CLK =>CLK); ix2847 : xor2 port map ( Y=>nx2846, A0=>nx2804, A1=>nx2844); ix2805 : mux21 port map ( Y=>nx2804, A0=>reg_41_q_c_2, A1=>nx7533, S0=> nx1742); REG_41_reg_q_3 : dff port map ( Q=>OPEN, QB=>nx8042, D=>nx2836, CLK=>CLK ); ix2837 : xor2 port map ( Y=>nx2836, A0=>nx2814, A1=>nx2834); ix2815 : oai22 port map ( Y=>nx2814, A0=>nx7541, A1=>nx8030, B0=> PRI_IN_0(2), B1=>nx7561); ix2835 : xnor2 port map ( Y=>nx2834, A0=>PRI_IN_0(3), A1=>reg_38_q_c_3); REG_38_reg_q_3 : dff port map ( Q=>reg_38_q_c_3, QB=>OPEN, D=>nx2826, CLK =>CLK); ix2827 : xnor2 port map ( Y=>nx2826, A0=>nx2822, A1=>nx8039); ix8040 : xnor2 port map ( Y=>nx8039, A0=>PRI_IN_4(3), A1=> nx6487_XX0_XREP77); REG_25_reg_q_3 : dff port map ( Q=>reg_25_q_c_3, QB=>OPEN, D=>nx3682, CLK =>CLK); ix3683 : xor2 port map ( Y=>nx3682, A0=>nx2866, A1=>nx3680); REG_36_reg_q_3 : dff port map ( Q=>reg_36_q_c_3, QB=>OPEN, D=>nx3672, CLK =>CLK); ix3673 : xnor2 port map ( Y=>nx3672, A0=>nx2874, A1=>nx8057); ix2875 : oai22 port map ( Y=>nx2874, A0=>nx7575, A1=>nx7579, B0=>nx7835, B1=>nx8055); ix8056 : inv02 port map ( Y=>nx8055, A=>PRI_IN_1(2)); REG_43_reg_q_3 : dff port map ( Q=>reg_43_q_c_3, QB=>nx8325, D=>nx3662, CLK=>CLK); ix3663 : xor2 port map ( Y=>nx3662, A0=>nx2884, A1=>nx3660); ix2885 : mux21 port map ( Y=>nx2884, A0=>nx2390, A1=>nx7583, S0=>nx2558); ix3661 : xnor2 port map ( Y=>nx3660, A0=>\[69410__XX0_XREP12\, A1=>nx8287 ); ix8070 : mux21 port map ( Y=>nx8069, A0=>PRI_IN_2(3), A1=>reg_31_q_c_3, S0=>C_MUX2_22_SEL); ix3649 : xnor2 port map ( Y=>nx3648, A0=>nx2896, A1=>nx8075); ix2897 : oai22 port map ( Y=>nx2896, A0=>nx7593, A1=>nx7597, B0=>nx7807, B1=>nx7884); REG_48_reg_q_3 : dff port map ( Q=>reg_48_q_c_3, QB=>nx8283, D=>nx3198, CLK=>CLK); ix3199 : xor2 port map ( Y=>nx3198, A0=>nx8079, A1=>nx8087); ix8080 : aoi22 port map ( Y=>nx8079, A0=>nx16170, A1=>reg_46_q_c_2, B0=> nx1884, B1=>nx2094); ix8088 : xnor2 port map ( Y=>nx8087, A0=>nx14809, A1=>nx6496); REG_46_reg_q_3 : dff port map ( Q=>reg_46_q_c_3, QB=>nx8281, D=>nx3188, CLK=>CLK); ix3189 : xnor2 port map ( Y=>nx3188, A0=>nx8093, A1=>nx3186); ix8094 : mux21 port map ( Y=>nx8093, A0=>nx8095, A1=>nx1894, S0=>nx2084); ix3187 : xnor2 port map ( Y=>nx3186, A0=>nx6493, A1=>nx3184); ix3159 : xor2 port map ( Y=>nx3158, A0=>nx8109, A1=>nx8113); ix8110 : aoi22 port map ( Y=>nx8109, A0=>nx2052, A1=>reg_45_q_c_2, B0=> nx16211, B1=>nx2054); REG_45_reg_q_3 : dff port map ( Q=>reg_45_q_c_3, QB=>nx8128, D=>nx3208, CLK=>CLK); ix3209 : xor2 port map ( Y=>nx3208, A0=>nx8117, A1=>nx8126); ix8118 : aoi22 port map ( Y=>nx8117, A0=>nx6479_XX0_XREP69, A1=> reg_48_q_c_2, B0=>nx1876, B1=>nx2104); ix3155 : ao21 port map ( Y=>nx3154, A0=>C_MUX2_7_SEL, A1=>reg_5_q_c_3, B0 =>nx3150); REG_5_reg_q_3 : dff port map ( Q=>reg_5_q_c_3, QB=>OPEN, D=>nx3236, CLK=> CLK); ix3237 : xnor2 port map ( Y=>nx3236, A0=>nx2970, A1=>nx8133); ix2971 : oai22 port map ( Y=>nx2970, A0=>nx7641, A1=>nx7645, B0=>nx7655, B1=>nx16242); REG_50_reg_q_3 : dff port map ( Q=>reg_50_q_c_3, QB=>OPEN, D=>nx3226, CLK =>CLK); ix3227 : xnor2 port map ( Y=>nx3226, A0=>nx3222, A1=>nx8141); ix3223 : oai22 port map ( Y=>nx3222, A0=>nx7649, A1=>nx7652, B0=>nx16242, B1=>nx7892); ix3151 : nor02 port map ( Y=>nx3150, A0=>C_MUX2_7_SEL, A1=>nx8147); ix8148 : mux21 port map ( Y=>nx8147, A0=>reg_3_q_c_3, A1=>reg_8_q_c_3, S0 =>C_MUX2_14_SEL); REG_3_reg_q_3 : dff port map ( Q=>reg_3_q_c_3, QB=>OPEN, D=>nx3112, CLK=> CLK); ix3113 : xnor2 port map ( Y=>nx3112, A0=>nx3024, A1=>nx8155); ix3025 : oai22 port map ( Y=>nx3024, A0=>nx7665, A1=>nx7669, B0=>nx15656, B1=>nx7780); REG_37_reg_q_3 : dff port map ( Q=>reg_37_q_c_3, QB=>nx8252, D=>nx3102, CLK=>CLK); ix3103 : xor2 port map ( Y=>nx3102, A0=>nx3034, A1=>nx3100); ix3035 : oai22 port map ( Y=>nx3034, A0=>nx7673, A1=>nx8160, B0=> PRI_OUT_7_2_EXMPLR, B1=>nx15657); REG_16_reg_q_3 : dff port map ( Q=>PRI_OUT_7(3), QB=>nx8251, D=>nx3092, CLK=>CLK); ix3093 : xnor2 port map ( Y=>nx3092, A0=>nx3042, A1=>nx8171); ix3043 : oai22 port map ( Y=>nx3042, A0=>nx7679, A1=>nx7683, B0=>nx8169, B1=>nx7808); ix8172 : xnor2 port map ( Y=>nx8171, A0=>reg_31_q_c_3, A1=>nx3088); ix3089 : mux21 port map ( Y=>nx3088, A0=>nx14869, A1=>nx8174, S0=> C_MUX2_3_SEL); ix3079 : xnor2 port map ( Y=>nx3078, A0=>nx3054, A1=>nx8179); ix3055 : oai22 port map ( Y=>nx3054, A0=>nx7689, A1=>nx7693, B0=>nx7778, B1=>nx15656); ix8180 : xnor2 port map ( Y=>nx8179, A0=>nx14809, A1=>reg_49_q_c_3); REG_49_reg_q_3 : dff port map ( Q=>reg_49_q_c_3, QB=>OPEN, D=>nx3068, CLK =>CLK); ix3069 : xor2 port map ( Y=>nx3068, A0=>nx3064, A1=>nx3066); ix3065 : mux21 port map ( Y=>nx3064, A0=>reg_43_q_c_2, A1=>nx7697, S0=> nx1964); REG_6_reg_q_3 : dff port map ( Q=>reg_6_q_c_3, QB=>OPEN, D=>nx3408, CLK=> CLK); ix3409 : xor2 port map ( Y=>nx3408, A0=>nx3326, A1=>nx3406); ix3327 : mux21 port map ( Y=>nx3326, A0=>nx16239, A1=>nx7705, S0=>nx2304 ); REG_7_reg_q_3 : dff port map ( Q=>reg_7_q_c_3, QB=>nx8197, D=>nx3260, CLK =>CLK); ix3261 : xor2 port map ( Y=>nx3260, A0=>nx3256, A1=>nx3258); ix3257 : mux21 port map ( Y=>nx3256, A0=>reg_30_q_c_2, A1=>nx7713, S0=> nx2156); REG_30_reg_q_3 : dff port map ( Q=>reg_30_q_c_3, QB=>nx8174, D=>nx3078, CLK=>CLK); REG_10_reg_q_3 : dff port map ( Q=>PRI_OUT_1_3_EXMPLR, QB=>OPEN, D=> nx3398, CLK=>CLK); ix3399 : xor2 port map ( Y=>nx3398, A0=>nx3336, A1=>nx3396); ix3337 : mux21 port map ( Y=>nx3336, A0=>reg_35_q_c_2, A1=>nx7723, S0=> nx2294); REG_35_reg_q_3 : dff port map ( Q=>OPEN, QB=>nx8227, D=>nx3388, CLK=>CLK ); ix3389 : xor2 port map ( Y=>nx3388, A0=>nx3346, A1=>nx3386); ix3347 : mux21 port map ( Y=>nx3346, A0=>reg_42_q_c_2, A1=>nx7735, S0=> nx2284); REG_42_reg_q_3 : dff port map ( Q=>OPEN, QB=>nx8226, D=>nx3378, CLK=>CLK ); ix3379 : xor2 port map ( Y=>nx3378, A0=>nx3356, A1=>nx3376); ix3357 : oai22 port map ( Y=>nx3356, A0=>nx7741, A1=>nx8211, B0=> reg_40_q_c_2, B1=>nx15657); REG_40_reg_q_3 : dff port map ( Q=>OPEN, QB=>nx8225, D=>nx3368, CLK=>CLK ); ix3369 : xnor2 port map ( Y=>nx3368, A0=>nx3364, A1=>nx8223); ix3365 : oai22 port map ( Y=>nx3364, A0=>nx7749, A1=>nx7753, B0=>nx7837, B1=>nx8221); ix8222 : inv02 port map ( Y=>nx8221, A=>PRI_IN_6(2)); ix8224 : xnor2 port map ( Y=>nx8223, A0=>PRI_IN_6(3), A1=>reg_36_q_c_3); ix3317 : nor02 port map ( Y=>nx3316, A0=>nx14847, A1=>nx8231); REG_9_reg_q_3 : dff port map ( Q=>reg_9_q_c_3, QB=>OPEN, D=>nx3284, CLK=> CLK); ix3285 : xor2 port map ( Y=>nx3284, A0=>nx8241, A1=>nx8244); ix8242 : aoi22 port map ( Y=>nx8241, A0=>nx7528, A1=>reg_20_q_c_2, B0=> nx1842, B1=>nx2180); ix8246 : xnor2 port map ( Y=>nx8244, A0=>nx14807, A1=>nx8019); REG_8_reg_q_3 : dff port map ( Q=>reg_8_q_c_3, QB=>OPEN, D=>nx3136, CLK=> CLK); ix3137 : xor2 port map ( Y=>nx3136, A0=>nx8257, A1=>nx8259); ix8258 : mux21 port map ( Y=>nx8257, A0=>nx2030, A1=>nx7787, S0=>nx7785); ix8260 : xnor2 port map ( Y=>nx8259, A0=>nx6493, A1=>nx8261); ix3715 : xor2 port map ( Y=>nx3714, A0=>nx2786, A1=>nx3712); ix2787 : mux21 port map ( Y=>nx2786, A0=>reg_27_q_c_2, A1=>nx7791, S0=> nx2610); ix8276 : mux21 port map ( Y=>nx8275, A0=>PRI_IN_8(3), A1=>PRI_IN_3(3), S0 =>C_MUX2_9_SEL); ix3185 : mux21 port map ( Y=>nx3184, A0=>nx8279, A1=>nx8005, S0=> C_MUX2_13_SEL); ix8280 : mux21 port map ( Y=>nx8279, A0=>nx14807, A1=>reg_22_q_c_3, S0=> C_MUX2_19_SEL); REG_2_reg_q_3 : dff port map ( Q=>reg_2_q_c_3, QB=>OPEN, D=>nx3482, CLK=> CLK); ix3483 : xnor2 port map ( Y=>nx3482, A0=>nx3432, A1=>nx8295); ix3433 : oai22 port map ( Y=>nx3432, A0=>nx7813, A1=>nx7817, B0=>nx15656, B1=>nx7833); REG_28_reg_q_3 : dff port map ( Q=>reg_28_q_c_3, QB=>nx8321, D=>nx3472, CLK=>CLK); ix3473 : xnor2 port map ( Y=>nx3472, A0=>nx8301, A1=>nx3470); ix8302 : aoi22 port map ( Y=>nx8301, A0=>nx2366, A1=>PRI_IN_5(2), B0=> nx2338, B1=>nx2368); ix3471 : xnor2 port map ( Y=>nx3470, A0=>PRI_IN_5(3), A1=>nx8309); ix8310 : mux21 port map ( Y=>nx8309, A0=>reg_32_q_c_3, A1=>nx3088, S0=> C_MUX2_10_SEL); REG_32_reg_q_3 : dff port map ( Q=>reg_32_q_c_3, QB=>OPEN, D=>nx3454, CLK =>CLK); ix3455 : xnor2 port map ( Y=>nx3454, A0=>nx8315, A1=>nx3452); ix8316 : mux21 port map ( Y=>nx8315, A0=>nx7528, A1=>nx2348, S0=>nx2350); ix3453 : xnor2 port map ( Y=>nx3452, A0=>nx8019, A1=>nx8005); ix8332 : mux21 port map ( Y=>nx8331, A0=>reg_5_q_c_3, A1=>reg_7_q_c_3, S0 =>C_MUX2_8_SEL); ix8338 : mux21 port map ( Y=>nx8337, A0=>reg_29_q_c_3, A1=>reg_30_q_c_3, S0=>C_MUX2_4_SEL); REG_29_reg_q_3 : dff port map ( Q=>reg_29_q_c_3, QB=>OPEN, D=>nx3590, CLK =>CLK); ix3591 : xnor2 port map ( Y=>nx3590, A0=>nx3526, A1=>nx8343); ix8344 : xnor2 port map ( Y=>nx8343, A0=>PRI_OUT_3_3_EXMPLR, A1=> PRI_OUT_2_3_EXMPLR); ix3579 : ao21 port map ( Y=>PRI_OUT_2_3_EXMPLR, A0=>nx14857, A1=> reg_11_q_c_3, B0=>nx3536); REG_11_reg_q_3 : dff port map ( Q=>reg_11_q_c_3, QB=>OPEN, D=>nx3566, CLK =>CLK); ix3567 : xor2 port map ( Y=>nx3566, A0=>nx3544, A1=>nx3564); ix3545 : oai22 port map ( Y=>nx3544, A0=>nx7865, A1=>nx8351, B0=>nx7787, B1=>nx7873); ix3565 : xnor2 port map ( Y=>nx3564, A0=>reg_47_q_c_3, A1=>nx8261); REG_47_reg_q_3 : dff port map ( Q=>reg_47_q_c_3, QB=>OPEN, D=>nx3556, CLK =>CLK); ix3557 : xor2 port map ( Y=>nx3556, A0=>nx3552, A1=>nx3554); ix3553 : oai22 port map ( Y=>nx3552, A0=>nx7870, A1=>nx8361, B0=>nx7659, B1=>nx15657); ix3537 : nor02 port map ( Y=>nx3536, A0=>nx14857, A1=>nx8367); ix8368 : mux21 port map ( Y=>nx8367, A0=>PRI_OUT_2_3_EXMPLR, A1=> reg_12_q_c_3, S0=>C_MUX2_25_SEL); ix3825 : ao21 port map ( Y=>PRI_OUT_0_3_EXMPLR, A0=>C_MUX2_5_SEL, A1=> reg_1_q_c_3, B0=>nx3800); REG_1_reg_q_3 : dff port map ( Q=>reg_1_q_c_3, QB=>OPEN, D=>nx3814, CLK=> CLK); ix3815 : xor2 port map ( Y=>nx3814, A0=>nx3810, A1=>nx3812); ix3811 : mux21 port map ( Y=>nx3810, A0=>nx16239, A1=>nx7896, S0=>nx2710 ); ix3801 : nor02 port map ( Y=>nx3800, A0=>C_MUX2_5_SEL, A1=>nx8397); REG_18_reg_q_4 : dff port map ( Q=>PRI_OUT_9_4_EXMPLR, QB=>OPEN, D=> nx4930, CLK=>CLK); ix4931 : xor2 port map ( Y=>nx4930, A0=>nx8407, A1=>nx8411); REG_44_reg_q_4 : dff port map ( Q=>reg_44_q_c_4, QB=>nx8902, D=>nx4892, CLK=>CLK); ix4893 : xor2 port map ( Y=>nx4892, A0=>nx8417, A1=>nx8421); ix8418 : aoi22 port map ( Y=>nx8417, A0=>reg_38_q_c_3, A1=>reg_27_q_c_3, B0=>nx2748, B1=>nx3788); REG_27_reg_q_4 : dff port map ( Q=>reg_27_q_c_4, QB=>nx8901, D=>nx4882, CLK=>CLK); ix4883 : xnor2 port map ( Y=>nx4882, A0=>nx8427, A1=>nx4880); ix8428 : aoi22 port map ( Y=>nx8427, A0=>nx8227, A1=>reg_26_q_c_3, B0=> nx2758, B1=>nx3778); ix4881 : xnor2 port map ( Y=>nx4880, A0=>reg_26_q_c_4, A1=>reg_35_q_c_4); ix4873 : xnor2 port map ( Y=>nx4872, A0=>nx8435, A1=>nx4870); ix8436 : aoi22 port map ( Y=>nx8435, A0=>nx8273, A1=>PRI_OUT_5_3_EXMPLR, B0=>nx2768, B1=>nx3768); ix4871 : xnor2 port map ( Y=>nx4870, A0=>PRI_OUT_5_4_EXMPLR, A1=> reg_34_q_c_4); REG_14_reg_q_4 : dff port map ( Q=>PRI_OUT_5_4_EXMPLR, QB=>OPEN, D=> nx4862, CLK=>CLK); ix4863 : xor2 port map ( Y=>nx4862, A0=>nx3878, A1=>nx4860); ix3879 : mux21 port map ( Y=>nx3878, A0=>nx8069, A1=>nx7941, S0=>nx3758); ix4861 : xnor2 port map ( Y=>nx4860, A0=>nx6498, A1=>nx15660); ix4849 : xnor2 port map ( Y=>nx4848, A0=>nx8453, A1=>nx4846); ix8454 : aoi22 port map ( Y=>nx8453, A0=>nx8321, A1=>reg_12_q_c_3, B0=> nx3742, B1=>nx3744); REG_12_reg_q_4 : dff port map ( Q=>reg_12_q_c_4, QB=>nx8895, D=>nx4740, CLK=>CLK); ix4741 : xnor2 port map ( Y=>nx4740, A0=>nx8461, A1=>nx4738); ix8462 : aoi22 port map ( Y=>nx8461, A0=>nx8373, A1=>PRI_IN_6(3), B0=> nx2906, B1=>nx3636); ix4739 : xnor2 port map ( Y=>nx4738, A0=>PRI_IN_6(4), A1=>reg_33_q_c_4); REG_33_reg_q_4 : dff port map ( Q=>reg_33_q_c_4, QB=>OPEN, D=>nx4730, CLK =>CLK); ix4731 : xor2 port map ( Y=>nx4730, A0=>nx8469, A1=>nx8477); ix8470 : aoi22 port map ( Y=>nx8469, A0=>nx15659, A1=>reg_39_q_c_3, B0=> nx2916, B1=>nx3626); ix8478 : xnor2 port map ( Y=>nx8477, A0=>reg_39_q_c_4, A1=>nx15660); REG_39_reg_q_4 : dff port map ( Q=>reg_39_q_c_4, QB=>OPEN, D=>nx4720, CLK =>CLK); ix4721 : xor2 port map ( Y=>nx4720, A0=>nx8483, A1=>nx8487); ix8484 : aoi22 port map ( Y=>nx8483, A0=>reg_47_q_c_3, A1=>reg_22_q_c_3, B0=>nx2924, B1=>nx3616); REG_22_reg_q_4 : dff port map ( Q=>reg_22_q_c_4, QB=>nx8892, D=>nx4710, CLK=>CLK); ix4711 : xor2 port map ( Y=>nx4710, A0=>nx8493, A1=>nx8497); ix8494 : aoi22 port map ( Y=>nx8493, A0=>nx8337, A1=>PRI_OUT_3_3_EXMPLR, B0=>nx2934, B1=>nx3606); ix8498 : xnor2 port map ( Y=>nx8497, A0=>PRI_OUT_3_4_XX0_XREP15, A1=> nx8857); ix4611 : xor2 port map ( Y=>nx4610, A0=>nx8505, A1=>nx8509); ix8506 : aoi22 port map ( Y=>nx8505, A0=>reg_31_q_c_3, A1=>reg_20_q_c_3, B0=>nx3504, B1=>nx3506); REG_20_reg_q_4 : dff port map ( Q=>reg_20_q_c_4, QB=>nx8853, D=>nx4376, CLK=>CLK); ix4377 : xnor2 port map ( Y=>nx4376, A0=>nx8515, A1=>nx4374); ix8516 : mux21 port map ( Y=>nx8515, A0=>nx8331, A1=>nx2954, S0=>nx3272); ix4375 : xnor2 port map ( Y=>nx4374, A0=>nx8519, A1=>nx8850); ix8520 : mux21 port map ( Y=>nx8519, A0=>PRI_IN_9(4), A1=>reg_19_q_c_4, S0=>C_MUX2_23_SEL); REG_19_reg_q_4 : dff port map ( Q=>reg_19_q_c_4, QB=>OPEN, D=>nx4806, CLK =>CLK); ix4807 : xor2 port map ( Y=>nx4806, A0=>nx8525, A1=>nx8535); ix8536 : xnor2 port map ( Y=>nx8535, A0=>PRI_IN_7(4), A1=> nx6505_XX0_XREP105); ix8542 : mux21 port map ( Y=>nx8541, A0=>reg_24_q_c_4, A1=>reg_25_q_c_4, S0=>C_MUX2_1_SEL); REG_24_reg_q_4 : dff port map ( Q=>reg_24_q_c_4, QB=>OPEN, D=>nx3948, CLK =>CLK); ix3949 : xnor2 port map ( Y=>nx3948, A0=>nx8547, A1=>nx3946); ix8548 : mux21 port map ( Y=>nx8547, A0=>nx8042, A1=>nx2804, S0=>nx2844); ix3947 : xnor2 port map ( Y=>nx3946, A0=>reg_41_q_c_4, A1=>nx6498); REG_41_reg_q_4 : dff port map ( Q=>reg_41_q_c_4, QB=>OPEN, D=>nx3938, CLK =>CLK); ix3939 : xnor2 port map ( Y=>nx3938, A0=>nx8555, A1=>nx3936); ix8556 : aoi22 port map ( Y=>nx8555, A0=>nx8557, A1=>reg_38_q_c_3, B0=> nx2814, B1=>nx2834); ix8558 : inv02 port map ( Y=>nx8557, A=>PRI_IN_0(3)); REG_38_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8577, D=>nx3928, CLK=>CLK ); ix3929 : xor2 port map ( Y=>nx3928, A0=>nx8565, A1=>nx8575); ix8576 : xnor2 port map ( Y=>nx8575, A0=>PRI_IN_4(4), A1=> nx6498_XX0_XREP99); REG_25_reg_q_4 : dff port map ( Q=>reg_25_q_c_4, QB=>OPEN, D=>nx4784, CLK =>CLK); ix4785 : xnor2 port map ( Y=>nx4784, A0=>nx8583, A1=>nx4782); ix8584 : aoi22 port map ( Y=>nx8583, A0=>nx8252, A1=>reg_36_q_c_3, B0=> nx2866, B1=>nx3680); REG_36_reg_q_4 : dff port map ( Q=>reg_36_q_c_4, QB=>nx8847, D=>nx4774, CLK=>CLK); ix4775 : xor2 port map ( Y=>nx4774, A0=>nx8589, A1=>nx8592); ix8590 : aoi22 port map ( Y=>nx8589, A0=>reg_43_q_c_3, A1=>PRI_IN_1(3), B0=>nx2874, B1=>nx3670); REG_43_reg_q_4 : dff port map ( Q=>reg_43_q_c_4, QB=>nx8845, D=>nx4764, CLK=>CLK); ix4765 : xnor2 port map ( Y=>nx4764, A0=>nx8597, A1=>nx4762); ix8598 : mux21 port map ( Y=>nx8597, A0=>nx15815, A1=>nx2884, S0=>nx3660 ); ix4763 : xnor2 port map ( Y=>nx4762, A0=>nx15660, A1=>nx8811); ix4751 : xor2 port map ( Y=>nx4750, A0=>nx8606, A1=>nx8609); ix8607 : aoi22 port map ( Y=>nx8606, A0=>reg_48_q_c_3, A1=>reg_12_q_c_3, B0=>nx2896, B1=>nx3646); REG_48_reg_q_4 : dff port map ( Q=>reg_48_q_c_4, QB=>nx8809, D=>nx4300, CLK=>CLK); ix4301 : xnor2 port map ( Y=>nx4300, A0=>nx4088, A1=>nx8616); ix4089 : oai22 port map ( Y=>nx4088, A0=>nx8079, A1=>nx8087, B0=>nx8529, B1=>nx8281); REG_46_reg_q_4 : dff port map ( Q=>reg_46_q_c_4, QB=>nx8807, D=>nx4290, CLK=>CLK); ix4291 : xor2 port map ( Y=>nx4290, A0=>nx4098, A1=>nx4288); ix4099 : mux21 port map ( Y=>nx4098, A0=>nx3184, A1=>nx8093, S0=>nx3186); ix4289 : xnor2 port map ( Y=>nx4288, A0=>nx6501, A1=>nx4286); ix4271 : ao21 port map ( Y=>nx6501, A0=>C_MUX2_15_SEL, A1=>reg_34_q_c_4, B0=>nx4110); REG_34_reg_q_4 : dff port map ( Q=>reg_34_q_c_4, QB=>OPEN, D=>nx4260, CLK =>CLK); ix4261 : xnor2 port map ( Y=>nx4260, A0=>nx4118, A1=>nx8628); ix4119 : oai22 port map ( Y=>nx4118, A0=>nx8109, A1=>nx8113, B0=>nx8397, B1=>nx8128); REG_45_reg_q_4 : dff port map ( Q=>reg_45_q_c_4, QB=>nx8641, D=>nx4310, CLK=>CLK); ix4311 : xnor2 port map ( Y=>nx4310, A0=>nx4080, A1=>nx8639); ix4081 : oai22 port map ( Y=>nx4080, A0=>nx8117, A1=>nx8126, B0=>nx8633, B1=>nx8283); ix4257 : ao21 port map ( Y=>nx4256, A0=>C_MUX2_7_SEL, A1=>reg_5_q_c_4, B0 =>nx4252); REG_5_reg_q_4 : dff port map ( Q=>reg_5_q_c_4, QB=>OPEN, D=>nx4338, CLK=> CLK); ix4339 : xor2 port map ( Y=>nx4338, A0=>nx8647, A1=>nx8650); ix8648 : aoi22 port map ( Y=>nx8647, A0=>reg_50_q_c_3, A1=>reg_45_q_c_3, B0=>nx2970, B1=>nx3234); REG_50_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8661, D=>nx4328, CLK=>CLK ); ix4329 : xor2 port map ( Y=>nx4328, A0=>nx8655, A1=>nx8659); ix8656 : aoi22 port map ( Y=>nx8655, A0=>reg_45_q_c_3, A1=>reg_44_q_c_3, B0=>nx3222, B1=>nx3224); ix4253 : nor02 port map ( Y=>nx4252, A0=>C_MUX2_7_SEL, A1=>nx8665); ix8666 : mux21 port map ( Y=>nx8665, A0=>reg_3_q_c_4, A1=>reg_8_q_c_4, S0 =>C_MUX2_14_SEL); REG_3_reg_q_4 : dff port map ( Q=>reg_3_q_c_4, QB=>OPEN, D=>nx4214, CLK=> CLK); ix4215 : xor2 port map ( Y=>nx4214, A0=>nx8671, A1=>nx8674); ix8672 : aoi22 port map ( Y=>nx8671, A0=>nx14809, A1=>reg_37_q_c_3, B0=> nx3024, B1=>nx3110); REG_37_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8779, D=>nx4204, CLK=>CLK ); ix4205 : xnor2 port map ( Y=>nx4204, A0=>nx8679, A1=>nx4202); ix8680 : aoi22 port map ( Y=>nx8679, A0=>nx8251, A1=>reg_20_q_c_3, B0=> nx3034, B1=>nx3100); ix4203 : xnor2 port map ( Y=>nx4202, A0=>PRI_OUT_7_4_EXMPLR, A1=>nx14811 ); REG_16_reg_q_4 : dff port map ( Q=>PRI_OUT_7_4_EXMPLR, QB=>OPEN, D=> nx4194, CLK=>CLK); ix4195 : xor2 port map ( Y=>nx4194, A0=>nx8685, A1=>nx8689); ix8686 : aoi22 port map ( Y=>nx8685, A0=>nx3088, A1=>reg_31_q_c_3, B0=> nx3042, B1=>nx3090); ix4191 : mux21 port map ( Y=>nx4190, A0=>nx8601, A1=>nx8693, S0=> C_MUX2_3_SEL); ix4181 : xor2 port map ( Y=>nx4180, A0=>nx8697, A1=>nx8701); ix8698 : aoi22 port map ( Y=>nx8697, A0=>reg_49_q_c_3, A1=>reg_46_q_c_3, B0=>nx3054, B1=>nx3076); REG_49_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8776, D=>nx4170, CLK=>CLK ); ix4171 : xnor2 port map ( Y=>nx4170, A0=>nx8705, A1=>nx4168); ix8706 : mux21 port map ( Y=>nx8705, A0=>nx8325, A1=>nx3064, S0=>nx3066); REG_6_reg_q_4 : dff port map ( Q=>reg_6_q_c_4, QB=>OPEN, D=>nx4510, CLK=> CLK); ix4511 : xnor2 port map ( Y=>nx4510, A0=>nx8713, A1=>nx4508); ix8714 : aoi22 port map ( Y=>nx8713, A0=>nx8197, A1=>PRI_OUT_1_3_EXMPLR, B0=>nx3326, B1=>nx3406); ix4509 : xnor2 port map ( Y=>nx4508, A0=>reg_7_q_c_4, A1=> PRI_OUT_1_4_EXMPLR); REG_7_reg_q_4 : dff port map ( Q=>reg_7_q_c_4, QB=>OPEN, D=>nx4362, CLK=> CLK); ix4363 : xnor2 port map ( Y=>nx4362, A0=>nx8719, A1=>nx4360); ix8720 : aoi22 port map ( Y=>nx8719, A0=>nx8174, A1=>PRI_OUT_9_3_EXMPLR, B0=>nx3256, B1=>nx3258); REG_30_reg_q_4 : dff port map ( Q=>reg_30_q_c_4, QB=>nx8693, D=>nx4180, CLK=>CLK); REG_10_reg_q_4 : dff port map ( Q=>PRI_OUT_1_4_EXMPLR, QB=>OPEN, D=> nx4500, CLK=>CLK); ix4501 : xnor2 port map ( Y=>nx4500, A0=>nx8726, A1=>nx4498); ix8728 : aoi22 port map ( Y=>nx8726, A0=>nx8227, A1=>PRI_IN_1(3), B0=> nx3336, B1=>nx3396); ix4499 : xnor2 port map ( Y=>nx4498, A0=>PRI_IN_1(4), A1=>reg_35_q_c_4); REG_35_reg_q_4 : dff port map ( Q=>reg_35_q_c_4, QB=>OPEN, D=>nx4490, CLK =>CLK); ix4491 : xnor2 port map ( Y=>nx4490, A0=>nx8735, A1=>nx4488); ix8736 : aoi22 port map ( Y=>nx8735, A0=>nx8226, A1=>PRI_IN_6(3), B0=> nx3346, B1=>nx3386); ix4489 : xnor2 port map ( Y=>nx4488, A0=>PRI_IN_6(4), A1=>reg_42_q_c_4); REG_42_reg_q_4 : dff port map ( Q=>reg_42_q_c_4, QB=>OPEN, D=>nx4480, CLK =>CLK); ix4481 : xnor2 port map ( Y=>nx4480, A0=>nx8741, A1=>nx4478); ix8742 : aoi22 port map ( Y=>nx8741, A0=>nx8225, A1=>reg_20_q_c_3, B0=> nx3356, B1=>nx3376); ix4479 : xnor2 port map ( Y=>nx4478, A0=>nx14811, A1=>reg_40_q_c_4); REG_40_reg_q_4 : dff port map ( Q=>reg_40_q_c_4, QB=>OPEN, D=>nx4470, CLK =>CLK); ix4471 : xor2 port map ( Y=>nx4470, A0=>nx8747, A1=>nx8750); ix8748 : aoi22 port map ( Y=>nx8747, A0=>reg_36_q_c_3, A1=>PRI_IN_6(3), B0=>nx3364, B1=>nx3366); REG_9_reg_q_4 : dff port map ( Q=>reg_9_q_c_4, QB=>OPEN, D=>nx4386, CLK=> CLK); ix4387 : xnor2 port map ( Y=>nx4386, A0=>nx4046, A1=>nx8773); ix4047 : oai22 port map ( Y=>nx4046, A0=>nx8241, A1=>nx8244, B0=>nx6497, B1=>nx8333); ix8774 : xnor2 port map ( Y=>nx8773, A0=>nx14811, A1=>nx8541); REG_8_reg_q_4 : dff port map ( Q=>reg_8_q_c_4, QB=>OPEN, D=>nx4238, CLK=> CLK); ix4239 : xnor2 port map ( Y=>nx4238, A0=>nx4234, A1=>nx8787); ix4235 : mux21 port map ( Y=>nx4234, A0=>nx8257, A1=>nx6495, S0=>nx8259); ix8788 : xnor2 port map ( Y=>nx8787, A0=>nx6501, A1=>nx8789); ix4817 : xnor2 port map ( Y=>nx4816, A0=>nx8793, A1=>nx4814); ix8794 : aoi22 port map ( Y=>nx8793, A0=>nx8383, A1=>reg_19_q_c_3, B0=> nx2786, B1=>nx3712); ix4111 : nor02 port map ( Y=>nx4110, A0=>C_MUX2_15_SEL, A1=>nx8801); ix8802 : mux21 port map ( Y=>nx8801, A0=>PRI_IN_8(4), A1=>PRI_IN_3(4), S0 =>C_MUX2_9_SEL); ix4287 : mux21 port map ( Y=>nx4286, A0=>nx8805, A1=>nx8519, S0=> C_MUX2_13_SEL); ix8806 : mux21 port map ( Y=>nx8805, A0=>nx14811, A1=>reg_22_q_c_4, S0=> C_MUX2_19_SEL); ix8812 : mux21 port map ( Y=>nx8811, A0=>nx6500, A1=>reg_2_q_c_4, S0=> C_MUX2_11_SEL); REG_2_reg_q_4 : dff port map ( Q=>reg_2_q_c_4, QB=>OPEN, D=>nx4584, CLK=> CLK); ix4585 : xor2 port map ( Y=>nx4584, A0=>nx8817, A1=>nx8821); ix8818 : aoi22 port map ( Y=>nx8817, A0=>reg_46_q_c_3, A1=>reg_28_q_c_3, B0=>nx3432, B1=>nx3480); REG_28_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8841, D=>nx4574, CLK=>CLK ); ix4575 : xor2 port map ( Y=>nx4574, A0=>nx4542, A1=>nx4572); ix4543 : mux21 port map ( Y=>nx4542, A0=>nx8309, A1=>nx8301, S0=>nx3470); ix4573 : xnor2 port map ( Y=>nx4572, A0=>PRI_IN_5(4), A1=>nx8831); ix8832 : mux21 port map ( Y=>nx8831, A0=>reg_32_q_c_4, A1=>nx4190, S0=> C_MUX2_10_SEL); REG_32_reg_q_4 : dff port map ( Q=>reg_32_q_c_4, QB=>OPEN, D=>nx4556, CLK =>CLK); ix4557 : xor2 port map ( Y=>nx4556, A0=>nx4552, A1=>nx4554); ix4553 : mux21 port map ( Y=>nx4552, A0=>nx6497, A1=>nx8315, S0=>nx3452); ix4555 : xnor2 port map ( Y=>nx4554, A0=>nx8541, A1=>nx8519); ix8852 : mux21 port map ( Y=>nx8850, A0=>reg_5_q_c_4, A1=>reg_7_q_c_4, S0 =>C_MUX2_8_SEL); REG_29_reg_q_4 : dff port map ( Q=>reg_29_q_c_4, QB=>OPEN, D=>nx4692, CLK =>CLK); ix4693 : xor2 port map ( Y=>nx4692, A0=>nx8863, A1=>nx8867); ix8864 : aoi22 port map ( Y=>nx8863, A0=>PRI_OUT_3_3_EXMPLR, A1=> PRI_OUT_2_3_EXMPLR, B0=>nx3526, B1=>nx3588); ix8868 : xnor2 port map ( Y=>nx8867, A0=>PRI_OUT_3_4_EXMPLR, A1=> PRI_OUT_2_4_EXMPLR); ix4681 : ao21 port map ( Y=>PRI_OUT_2_4_EXMPLR, A0=>nx14857, A1=> reg_11_q_c_4, B0=>nx4638); REG_11_reg_q_4 : dff port map ( Q=>reg_11_q_c_4, QB=>OPEN, D=>nx4668, CLK =>CLK); ix4669 : xnor2 port map ( Y=>nx4668, A0=>nx8875, A1=>nx4666); ix8876 : aoi22 port map ( Y=>nx8875, A0=>nx6495, A1=>reg_47_q_c_3, B0=> nx3544, B1=>nx3564); REG_47_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8885, D=>nx4658, CLK=>CLK ); ix4659 : xnor2 port map ( Y=>nx4658, A0=>nx8882, A1=>nx4656); ix8883 : aoi22 port map ( Y=>nx8882, A0=>nx3146, A1=>reg_20_q_c_3, B0=> nx3552, B1=>nx3554); ix4639 : nor02 port map ( Y=>nx4638, A0=>nx14857, A1=>nx8889); ix8890 : mux21 port map ( Y=>nx8889, A0=>PRI_OUT_2_4_EXMPLR, A1=> reg_12_q_c_4, S0=>C_MUX2_25_SEL); ix4927 : ao21 port map ( Y=>PRI_OUT_0_4_EXMPLR, A0=>C_MUX2_5_SEL, A1=> reg_1_q_c_4, B0=>nx4902); REG_1_reg_q_4 : dff port map ( Q=>reg_1_q_c_4, QB=>OPEN, D=>nx4916, CLK=> CLK); ix4917 : xnor2 port map ( Y=>nx4916, A0=>nx8907, A1=>nx4914); ix8908 : aoi22 port map ( Y=>nx8907, A0=>nx8197, A1=>PRI_OUT_5_3_EXMPLR, B0=>nx3810, B1=>nx3812); ix4915 : xnor2 port map ( Y=>nx4914, A0=>reg_7_q_c_4, A1=> PRI_OUT_5_4_EXMPLR); ix4903 : nor02 port map ( Y=>nx4902, A0=>C_MUX2_5_SEL, A1=>nx8913); REG_18_reg_q_5 : dff port map ( Q=>PRI_OUT_9_5_EXMPLR, QB=>OPEN, D=> nx6032, CLK=>CLK); ix6033 : xnor2 port map ( Y=>nx6032, A0=>nx4944, A1=>nx8923); ix8924 : xnor2 port map ( Y=>nx8923, A0=>reg_44_q_c_5, A1=> PRI_OUT_0_5_EXMPLR); REG_44_reg_q_5 : dff port map ( Q=>reg_44_q_c_5, QB=>OPEN, D=>nx5994, CLK =>CLK); ix5995 : xnor2 port map ( Y=>nx5994, A0=>nx4952, A1=>nx8929); ix4953 : oai22 port map ( Y=>nx4952, A0=>nx8417, A1=>nx8421, B0=>nx8577, B1=>nx8901); REG_27_reg_q_5 : dff port map ( Q=>reg_27_q_c_5, QB=>nx9381, D=>nx5984, CLK=>CLK); ix5985 : xor2 port map ( Y=>nx5984, A0=>nx4962, A1=>nx5982); ix4963 : mux21 port map ( Y=>nx4962, A0=>reg_35_q_c_4, A1=>nx8427, S0=> nx4880); ix5975 : xor2 port map ( Y=>nx5974, A0=>nx4972, A1=>nx5972); ix4973 : mux21 port map ( Y=>nx4972, A0=>reg_34_q_c_4, A1=>nx8435, S0=> nx4870); REG_14_reg_q_5 : dff port map ( Q=>PRI_OUT_5_5_EXMPLR, QB=>OPEN, D=> nx5964, CLK=>CLK); ix5965 : xnor2 port map ( Y=>nx5964, A0=>nx8949, A1=>nx5962); ix8950 : mux21 port map ( Y=>nx8949, A0=>nx6499, A1=>nx3878, S0=>nx4860); ix5963 : xnor2 port map ( Y=>nx5962, A0=>nx6507, A1=>nx14871); ix5961 : ao21 port map ( Y=>nx6507, A0=>C_MUX2_12_SEL, A1=>reg_21_q_c_5, B0=>nx5936); REG_21_reg_q_5 : dff port map ( Q=>reg_21_q_c_5, QB=>OPEN, D=>nx5950, CLK =>CLK); ix5951 : xor2 port map ( Y=>nx5950, A0=>nx5946, A1=>nx5948); REG_12_reg_q_5 : dff port map ( Q=>reg_12_q_c_5, QB=>OPEN, D=>nx5842, CLK =>CLK); ix5843 : xor2 port map ( Y=>nx5842, A0=>nx5110, A1=>nx5840); ix5111 : mux21 port map ( Y=>nx5110, A0=>reg_33_q_c_4, A1=>nx8461, S0=> nx4738); REG_33_reg_q_5 : dff port map ( Q=>OPEN, QB=>nx9373, D=>nx5832, CLK=>CLK ); ix5833 : xnor2 port map ( Y=>nx5832, A0=>nx5120, A1=>nx8975); ix5121 : mux21 port map ( Y=>nx5120, A0=>nx8469, A1=>nx6499, S0=>nx8477); ix8976 : xnor2 port map ( Y=>nx8975, A0=>reg_39_q_c_5, A1=>nx14871); REG_39_reg_q_5 : dff port map ( Q=>reg_39_q_c_5, QB=>OPEN, D=>nx5822, CLK =>CLK); ix5823 : xnor2 port map ( Y=>nx5822, A0=>nx5128, A1=>nx8983); ix5129 : oai22 port map ( Y=>nx5128, A0=>nx8483, A1=>nx8487, B0=>nx8885, B1=>nx8892); ix8984 : xnor2 port map ( Y=>nx8983, A0=>reg_22_q_c_5, A1=>reg_47_q_c_5); REG_22_reg_q_5 : dff port map ( Q=>reg_22_q_c_5, QB=>OPEN, D=>nx5812, CLK =>CLK); ix5813 : xnor2 port map ( Y=>nx5812, A0=>nx5138, A1=>nx8989); ix5137 : nor02 port map ( Y=>nx5136, A0=>nx8493, A1=>nx8497); ix8990 : xnor2 port map ( Y=>nx8989, A0=>PRI_OUT_3_5_XX0_XREP13, A1=> nx9330); ix5713 : xnor2 port map ( Y=>nx5712, A0=>nx5708, A1=>nx8997); ix5709 : oai22 port map ( Y=>nx5708, A0=>nx8505, A1=>nx8509, B0=>nx8810, B1=>nx8853); ix8998 : xnor2 port map ( Y=>nx8997, A0=>nx14813, A1=>reg_31_q_c_5); REG_20_reg_q_5 : dff port map ( Q=>reg_20_q_c_5, QB=>nx9327, D=>nx5478, CLK=>CLK); ix5479 : xor2 port map ( Y=>nx5478, A0=>nx5158, A1=>nx5476); ix5159 : mux21 port map ( Y=>nx5158, A0=>nx4372, A1=>nx8515, S0=>nx4374); ix5477 : xnor2 port map ( Y=>nx5476, A0=>nx9007, A1=>nx9325); ix9008 : mux21 port map ( Y=>nx9007, A0=>PRI_IN_9(5), A1=>reg_19_q_c_5, S0=>C_MUX2_23_SEL); REG_19_reg_q_5 : dff port map ( Q=>reg_19_q_c_5, QB=>OPEN, D=>nx5908, CLK =>CLK); ix5909 : xnor2 port map ( Y=>nx5908, A0=>nx4998, A1=>nx9017); ix4999 : ao21 port map ( Y=>nx4998, A0=>nx6505, A1=>PRI_IN_7(4), B0=> nx4996); ix9018 : xnor2 port map ( Y=>nx9017, A0=>PRI_IN_7(5), A1=> nx6513_XX0_XREP123); ix9023 : mux21 port map ( Y=>nx9022, A0=>reg_24_q_c_5, A1=>reg_25_q_c_5, S0=>C_MUX2_1_SEL); REG_24_reg_q_5 : dff port map ( Q=>reg_24_q_c_5, QB=>OPEN, D=>nx5050, CLK =>CLK); ix5051 : xor2 port map ( Y=>nx5050, A0=>nx5008, A1=>nx5048); ix5009 : mux21 port map ( Y=>nx5008, A0=>reg_41_q_c_4, A1=>nx8547, S0=> nx3946); REG_41_reg_q_5 : dff port map ( Q=>OPEN, QB=>nx9048, D=>nx5040, CLK=>CLK ); ix5041 : xor2 port map ( Y=>nx5040, A0=>nx5018, A1=>nx5038); ix5019 : oai22 port map ( Y=>nx5018, A0=>nx8555, A1=>nx9035, B0=> PRI_IN_0(4), B1=>nx8577); ix5039 : xnor2 port map ( Y=>nx5038, A0=>PRI_IN_0(5), A1=>reg_38_q_c_5); REG_38_reg_q_5 : dff port map ( Q=>reg_38_q_c_5, QB=>OPEN, D=>nx5030, CLK =>CLK); ix5031 : xnor2 port map ( Y=>nx5030, A0=>nx5026, A1=>nx9045); ix9046 : xnor2 port map ( Y=>nx9045, A0=>PRI_IN_4(5), A1=>nx6507); REG_25_reg_q_5 : dff port map ( Q=>reg_25_q_c_5, QB=>OPEN, D=>nx5886, CLK =>CLK); ix5887 : xor2 port map ( Y=>nx5886, A0=>nx5070, A1=>nx5884); REG_36_reg_q_5 : dff port map ( Q=>reg_36_q_c_5, QB=>OPEN, D=>nx5876, CLK =>CLK); ix5877 : xnor2 port map ( Y=>nx5876, A0=>nx5078, A1=>nx9067); ix5079 : oai22 port map ( Y=>nx5078, A0=>nx8589, A1=>nx8592, B0=>nx8845, B1=>nx9065); ix9066 : inv02 port map ( Y=>nx9065, A=>PRI_IN_1(4)); REG_43_reg_q_5 : dff port map ( Q=>reg_43_q_c_5, QB=>nx9317, D=>nx5866, CLK=>CLK); ix5867 : xor2 port map ( Y=>nx5866, A0=>nx5088, A1=>nx5864); ix5089 : mux21 port map ( Y=>nx5088, A0=>nx4594, A1=>nx8597, S0=>nx4762); ix5865 : xnor2 port map ( Y=>nx5864, A0=>nx14871, A1=>nx9289); ix9076 : mux21 port map ( Y=>nx9075, A0=>PRI_IN_2(5), A1=>reg_31_q_c_5, S0=>C_MUX2_22_SEL); REG_31_reg_q_5 : dff port map ( Q=>reg_31_q_c_5, QB=>OPEN, D=>nx5852, CLK =>CLK); ix5853 : xnor2 port map ( Y=>nx5852, A0=>nx5100, A1=>nx9081); ix5101 : oai22 port map ( Y=>nx5100, A0=>nx8606, A1=>nx8609, B0=>nx8809, B1=>nx8895); REG_48_reg_q_5 : dff port map ( Q=>reg_48_q_c_5, QB=>nx9286, D=>nx5402, CLK=>CLK); ix5403 : xor2 port map ( Y=>nx5402, A0=>nx9085, A1=>nx9095); ix9086 : aoi22 port map ( Y=>nx9085, A0=>nx6505, A1=>reg_46_q_c_4, B0=> nx4088, B1=>nx4298); ix9096 : xnor2 port map ( Y=>nx9095, A0=>nx14815, A1=>nx6513); REG_46_reg_q_5 : dff port map ( Q=>reg_46_q_c_5, QB=>nx9285, D=>nx5392, CLK=>CLK); ix5393 : xnor2 port map ( Y=>nx5392, A0=>nx9101, A1=>nx5390); ix9102 : mux21 port map ( Y=>nx9101, A0=>nx9103, A1=>nx4098, S0=>nx4288); ix5391 : xnor2 port map ( Y=>nx5390, A0=>nx6510, A1=>nx5388); ix5373 : ao21 port map ( Y=>nx6510, A0=>C_MUX2_15_SEL, A1=>reg_34_q_c_5, B0=>nx5212); REG_34_reg_q_5 : dff port map ( Q=>reg_34_q_c_5, QB=>nx9277, D=>nx5362, CLK=>CLK); ix5363 : xor2 port map ( Y=>nx5362, A0=>nx9115, A1=>nx9119); ix9116 : aoi22 port map ( Y=>nx9115, A0=>nx4256, A1=>reg_45_q_c_4, B0=> nx4118, B1=>nx4258); REG_45_reg_q_5 : dff port map ( Q=>reg_45_q_c_5, QB=>nx9134, D=>nx5412, CLK=>CLK); ix5413 : xor2 port map ( Y=>nx5412, A0=>nx9123, A1=>nx9132); ix9124 : aoi22 port map ( Y=>nx9123, A0=>nx6501, A1=>reg_48_q_c_4, B0=> nx4080, B1=>nx4308); ix5359 : ao21 port map ( Y=>nx5358, A0=>C_MUX2_7_SEL, A1=>reg_5_q_c_5, B0 =>nx5354); REG_5_reg_q_5 : dff port map ( Q=>reg_5_q_c_5, QB=>OPEN, D=>nx5440, CLK=> CLK); ix5441 : xnor2 port map ( Y=>nx5440, A0=>nx5174, A1=>nx9141); ix5175 : oai22 port map ( Y=>nx5174, A0=>nx8647, A1=>nx8650, B0=>nx8661, B1=>nx8641); REG_50_reg_q_5 : dff port map ( Q=>reg_50_q_c_5, QB=>OPEN, D=>nx5430, CLK =>CLK); ix5431 : xnor2 port map ( Y=>nx5430, A0=>nx5426, A1=>nx9147); ix5427 : oai22 port map ( Y=>nx5426, A0=>nx8655, A1=>nx8659, B0=>nx8641, B1=>nx8902); ix5355 : nor02 port map ( Y=>nx5354, A0=>C_MUX2_7_SEL, A1=>nx9152); ix9153 : mux21 port map ( Y=>nx9152, A0=>reg_3_q_c_5, A1=>reg_8_q_c_5, S0 =>C_MUX2_14_SEL); REG_3_reg_q_5 : dff port map ( Q=>reg_3_q_c_5, QB=>OPEN, D=>nx5316, CLK=> CLK); ix5317 : xnor2 port map ( Y=>nx5316, A0=>nx5228, A1=>nx9157); ix5229 : oai22 port map ( Y=>nx5228, A0=>nx8671, A1=>nx8674, B0=>nx14917, B1=>nx8779); REG_37_reg_q_5 : dff port map ( Q=>reg_37_q_c_5, QB=>nx9259, D=>nx5306, CLK=>CLK); ix5307 : xor2 port map ( Y=>nx5306, A0=>nx5238, A1=>nx5304); ix5239 : oai22 port map ( Y=>nx5238, A0=>nx8679, A1=>nx9163, B0=> PRI_OUT_7_4_EXMPLR, B1=>nx8853); REG_16_reg_q_5 : dff port map ( Q=>PRI_OUT_7(5), QB=>nx9258, D=>nx5296, CLK=>CLK); ix5297 : xnor2 port map ( Y=>nx5296, A0=>nx5246, A1=>nx9173); ix5247 : oai22 port map ( Y=>nx5246, A0=>nx8685, A1=>nx8689, B0=>nx9171, B1=>nx8810); ix9174 : xnor2 port map ( Y=>nx9173, A0=>reg_31_q_c_5, A1=>nx5292); ix5293 : mux21 port map ( Y=>nx5292, A0=>nx14871, A1=>nx9176, S0=> C_MUX2_3_SEL); ix5283 : xnor2 port map ( Y=>nx5282, A0=>nx5258, A1=>nx9181); ix5259 : oai22 port map ( Y=>nx5258, A0=>nx8697, A1=>nx8701, B0=>nx8776, B1=>nx14917); ix9182 : xnor2 port map ( Y=>nx9181, A0=>nx14815, A1=>reg_49_q_c_5); REG_49_reg_q_5 : dff port map ( Q=>reg_49_q_c_5, QB=>OPEN, D=>nx5272, CLK =>CLK); ix5273 : xor2 port map ( Y=>nx5272, A0=>nx5268, A1=>nx5270); ix5269 : mux21 port map ( Y=>nx5268, A0=>reg_43_q_c_4, A1=>nx8705, S0=> nx4168); ix5625 : ao21 port map ( Y=>nx6509, A0=>nx14847, A1=>reg_6_q_c_5, B0=> nx5520); REG_6_reg_q_5 : dff port map ( Q=>reg_6_q_c_5, QB=>OPEN, D=>nx5612, CLK=> CLK); ix5613 : xor2 port map ( Y=>nx5612, A0=>nx5530, A1=>nx5610); ix5531 : mux21 port map ( Y=>nx5530, A0=>reg_7_q_c_4, A1=>nx8713, S0=> nx4508); REG_7_reg_q_5 : dff port map ( Q=>reg_7_q_c_5, QB=>nx9201, D=>nx5464, CLK =>CLK); ix5465 : xor2 port map ( Y=>nx5464, A0=>nx5460, A1=>nx5462); ix5461 : mux21 port map ( Y=>nx5460, A0=>reg_30_q_c_4, A1=>nx8719, S0=> nx4360); REG_30_reg_q_5 : dff port map ( Q=>reg_30_q_c_5, QB=>nx9176, D=>nx5282, CLK=>CLK); REG_10_reg_q_5 : dff port map ( Q=>PRI_OUT_1_5_EXMPLR, QB=>OPEN, D=> nx5602, CLK=>CLK); ix5603 : xor2 port map ( Y=>nx5602, A0=>nx5540, A1=>nx5600); ix5541 : mux21 port map ( Y=>nx5540, A0=>reg_35_q_c_4, A1=>nx8726, S0=> nx4498); REG_35_reg_q_5 : dff port map ( Q=>OPEN, QB=>nx9233, D=>nx5592, CLK=>CLK ); ix5593 : xor2 port map ( Y=>nx5592, A0=>nx5550, A1=>nx5590); ix5551 : mux21 port map ( Y=>nx5550, A0=>reg_42_q_c_4, A1=>nx8735, S0=> nx4488); REG_42_reg_q_5 : dff port map ( Q=>OPEN, QB=>nx9232, D=>nx5582, CLK=>CLK ); ix5583 : xor2 port map ( Y=>nx5582, A0=>nx5560, A1=>nx5580); ix5561 : oai22 port map ( Y=>nx5560, A0=>nx8741, A1=>nx9219, B0=> reg_40_q_c_4, B1=>nx8853); REG_40_reg_q_5 : dff port map ( Q=>OPEN, QB=>nx9231, D=>nx5572, CLK=>CLK ); ix5573 : xnor2 port map ( Y=>nx5572, A0=>nx5568, A1=>nx9229); ix5569 : oai22 port map ( Y=>nx5568, A0=>nx8747, A1=>nx8750, B0=>nx8847, B1=>nx9227); ix9228 : inv02 port map ( Y=>nx9227, A=>PRI_IN_6(4)); ix9230 : xnor2 port map ( Y=>nx9229, A0=>PRI_IN_6(5), A1=>reg_36_q_c_5); ix5521 : nor02 port map ( Y=>nx5520, A0=>nx14847, A1=>nx9239); ix9240 : mux21 port map ( Y=>nx9239, A0=>nx5510, A1=>reg_7_q_c_5, S0=> C_MUX2_18_SEL); ix5511 : ao21 port map ( Y=>nx5510, A0=>PRI_IN_1(5), A1=>C_MUX2_2_SEL, B0 =>nx5506); ix5507 : nor02 port map ( Y=>nx5506, A0=>C_MUX2_2_SEL, A1=>nx9243); ix9244 : mux21 port map ( Y=>nx9243, A0=>PRI_IN_6(5), A1=>reg_9_q_c_5, S0 =>C_MUX2_24_SEL); REG_9_reg_q_5 : dff port map ( Q=>reg_9_q_c_5, QB=>OPEN, D=>nx5488, CLK=> CLK); ix5489 : xor2 port map ( Y=>nx5488, A0=>nx9249, A1=>nx9253); ix9250 : aoi22 port map ( Y=>nx9249, A0=>nx8541, A1=>reg_20_q_c_4, B0=> nx4046, B1=>nx4384); ix9254 : xnor2 port map ( Y=>nx9253, A0=>nx14813, A1=>nx9022); REG_8_reg_q_5 : dff port map ( Q=>reg_8_q_c_5, QB=>OPEN, D=>nx5340, CLK=> CLK); ix5341 : xor2 port map ( Y=>nx5340, A0=>nx9263, A1=>nx9265); ix9264 : mux21 port map ( Y=>nx9263, A0=>nx4234, A1=>nx8789, S0=>nx8787); ix9266 : xnor2 port map ( Y=>nx9265, A0=>nx6510, A1=>nx9267); ix9268 : mux21 port map ( Y=>nx9267, A0=>reg_23_q_c_5, A1=>PRI_IN_9(5), S0=>C_MUX2_16_SEL); REG_23_reg_q_5 : dff port map ( Q=>reg_23_q_c_5, QB=>OPEN, D=>nx5918, CLK =>CLK); ix5919 : xor2 port map ( Y=>nx5918, A0=>nx4990, A1=>nx5916); ix4991 : mux21 port map ( Y=>nx4990, A0=>reg_27_q_c_4, A1=>nx8793, S0=> nx4814); ix5213 : nor02 port map ( Y=>nx5212, A0=>C_MUX2_15_SEL, A1=>nx9279); ix9280 : mux21 port map ( Y=>nx9279, A0=>PRI_IN_8(5), A1=>PRI_IN_3(5), S0 =>C_MUX2_9_SEL); ix5389 : mux21 port map ( Y=>nx5388, A0=>nx9283, A1=>nx9007, S0=> C_MUX2_13_SEL); ix9284 : mux21 port map ( Y=>nx9283, A0=>nx14813, A1=>reg_22_q_c_5, S0=> C_MUX2_19_SEL); ix9290 : mux21 port map ( Y=>nx9289, A0=>nx6509, A1=>reg_2_q_c_5, S0=> C_MUX2_11_SEL); REG_2_reg_q_5 : dff port map ( Q=>reg_2_q_c_5, QB=>OPEN, D=>nx5686, CLK=> CLK); ix5687 : xnor2 port map ( Y=>nx5686, A0=>nx5636, A1=>nx9295); ix5637 : oai22 port map ( Y=>nx5636, A0=>nx8817, A1=>nx8821, B0=>nx14917, B1=>nx8841); REG_28_reg_q_5 : dff port map ( Q=>reg_28_q_c_5, QB=>nx9314, D=>nx5676, CLK=>CLK); ix5677 : xnor2 port map ( Y=>nx5676, A0=>nx9299, A1=>nx5674); ix9300 : aoi22 port map ( Y=>nx9299, A0=>nx4570, A1=>PRI_IN_5(4), B0=> nx4542, B1=>nx4572); ix5675 : xnor2 port map ( Y=>nx5674, A0=>PRI_IN_5(5), A1=>nx9305); ix9306 : mux21 port map ( Y=>nx9305, A0=>reg_32_q_c_5, A1=>nx5292, S0=> C_MUX2_10_SEL); REG_32_reg_q_5 : dff port map ( Q=>reg_32_q_c_5, QB=>OPEN, D=>nx5658, CLK =>CLK); ix5659 : xnor2 port map ( Y=>nx5658, A0=>nx9309, A1=>nx5656); ix9310 : mux21 port map ( Y=>nx9309, A0=>nx8541, A1=>nx4552, S0=>nx4554); ix5657 : xnor2 port map ( Y=>nx5656, A0=>nx9022, A1=>nx9007); ix9326 : mux21 port map ( Y=>nx9325, A0=>reg_5_q_c_5, A1=>reg_7_q_c_5, S0 =>C_MUX2_8_SEL); REG_29_reg_q_5 : dff port map ( Q=>reg_29_q_c_5, QB=>OPEN, D=>nx5794, CLK =>CLK); ix5795 : xnor2 port map ( Y=>nx5794, A0=>nx5730, A1=>nx9339); ix9340 : xnor2 port map ( Y=>nx9339, A0=>PRI_OUT_3_5_EXMPLR, A1=> PRI_OUT_2_5_EXMPLR); ix5783 : ao21 port map ( Y=>PRI_OUT_2_5_EXMPLR, A0=>nx14859, A1=> reg_11_q_c_5, B0=>nx5740); REG_11_reg_q_5 : dff port map ( Q=>reg_11_q_c_5, QB=>OPEN, D=>nx5770, CLK =>CLK); ix5771 : xor2 port map ( Y=>nx5770, A0=>nx5748, A1=>nx5768); ix5749 : oai22 port map ( Y=>nx5748, A0=>nx8875, A1=>nx9345, B0=>nx8789, B1=>nx8885); ix5769 : xnor2 port map ( Y=>nx5768, A0=>reg_47_q_c_5, A1=>nx9267); REG_47_reg_q_5 : dff port map ( Q=>reg_47_q_c_5, QB=>OPEN, D=>nx5760, CLK =>CLK); ix5761 : xor2 port map ( Y=>nx5760, A0=>nx5756, A1=>nx5758); ix5757 : oai22 port map ( Y=>nx5756, A0=>nx8882, A1=>nx9359, B0=>nx8665, B1=>nx8853); ix5741 : nor02 port map ( Y=>nx5740, A0=>nx14859, A1=>nx9367); ix9368 : mux21 port map ( Y=>nx9367, A0=>PRI_OUT_2_5_EXMPLR, A1=> reg_12_q_c_5, S0=>C_MUX2_25_SEL); ix5937 : nor02 port map ( Y=>nx5936, A0=>C_MUX2_12_SEL, A1=>nx9267); ix6029 : ao21 port map ( Y=>PRI_OUT_0_5_EXMPLR, A0=>C_MUX2_5_SEL, A1=> reg_1_q_c_5, B0=>nx6004); REG_1_reg_q_5 : dff port map ( Q=>reg_1_q_c_5, QB=>OPEN, D=>nx6018, CLK=> CLK); ix6019 : xor2 port map ( Y=>nx6018, A0=>nx6014, A1=>nx6016); ix6015 : mux21 port map ( Y=>nx6014, A0=>reg_7_q_c_4, A1=>nx8907, S0=> nx4914); ix6005 : nor02 port map ( Y=>nx6004, A0=>C_MUX2_5_SEL, A1=>nx9395); REG_18_reg_q_6 : dff port map ( Q=>PRI_OUT_9_6_EXMPLR, QB=>OPEN, D=> nx7134, CLK=>CLK); ix7135 : xor2 port map ( Y=>nx7134, A0=>nx9405, A1=>nx9409); REG_44_reg_q_6 : dff port map ( Q=>reg_44_q_c_6, QB=>nx9897, D=>nx7096, CLK=>CLK); ix7097 : xor2 port map ( Y=>nx7096, A0=>nx9415, A1=>nx9419); ix9416 : aoi22 port map ( Y=>nx9415, A0=>reg_38_q_c_5, A1=>reg_27_q_c_5, B0=>nx4952, B1=>nx5992); REG_27_reg_q_6 : dff port map ( Q=>reg_27_q_c_6, QB=>nx9895, D=>nx7086, CLK=>CLK); ix7087 : xnor2 port map ( Y=>nx7086, A0=>nx9425, A1=>nx7084); ix9426 : aoi22 port map ( Y=>nx9425, A0=>nx9233, A1=>reg_26_q_c_5, B0=> nx4962, B1=>nx5982); ix7085 : xnor2 port map ( Y=>nx7084, A0=>reg_26_q_c_6, A1=>reg_35_q_c_6); ix7077 : xnor2 port map ( Y=>nx7076, A0=>nx9433, A1=>nx7074); ix9434 : aoi22 port map ( Y=>nx9433, A0=>nx9277, A1=>PRI_OUT_5_5_EXMPLR, B0=>nx4972, B1=>nx5972); ix7075 : xnor2 port map ( Y=>nx7074, A0=>PRI_OUT_5_6_EXMPLR, A1=> reg_34_q_c_6); REG_14_reg_q_6 : dff port map ( Q=>PRI_OUT_5_6_EXMPLR, QB=>OPEN, D=> nx7066, CLK=>CLK); ix7067 : xor2 port map ( Y=>nx7066, A0=>nx6082, A1=>nx7064); ix6083 : mux21 port map ( Y=>nx6082, A0=>nx9075, A1=>nx8949, S0=>nx5962); ix7065 : xnor2 port map ( Y=>nx7064, A0=>nx6516, A1=>nx9593); ix7063 : ao21 port map ( Y=>nx6516, A0=>C_MUX2_12_SEL, A1=>reg_21_q_c_6, B0=>nx7038); REG_21_reg_q_6 : dff port map ( Q=>reg_21_q_c_6, QB=>OPEN, D=>nx7052, CLK =>CLK); ix7053 : xnor2 port map ( Y=>nx7052, A0=>nx9447, A1=>nx7050); ix9448 : aoi22 port map ( Y=>nx9447, A0=>nx9314, A1=>reg_12_q_c_5, B0=> nx5946, B1=>nx5948); REG_12_reg_q_6 : dff port map ( Q=>reg_12_q_c_6, QB=>nx9889, D=>nx6944, CLK=>CLK); ix6945 : xnor2 port map ( Y=>nx6944, A0=>nx9453, A1=>nx6942); ix9454 : aoi22 port map ( Y=>nx9453, A0=>nx9373, A1=>PRI_IN_6(5), B0=> nx5110, B1=>nx5840); ix6943 : xnor2 port map ( Y=>nx6942, A0=>PRI_IN_6(6), A1=>reg_33_q_c_6); REG_33_reg_q_6 : dff port map ( Q=>reg_33_q_c_6, QB=>OPEN, D=>nx6934, CLK =>CLK); ix6935 : xor2 port map ( Y=>nx6934, A0=>nx9459, A1=>nx9464); ix9460 : aoi22 port map ( Y=>nx9459, A0=>nx14871, A1=>reg_39_q_c_5, B0=> nx5120, B1=>nx5830); ix9465 : xnor2 port map ( Y=>nx9464, A0=>reg_39_q_c_6, A1=>nx9593); REG_39_reg_q_6 : dff port map ( Q=>reg_39_q_c_6, QB=>OPEN, D=>nx6924, CLK =>CLK); ix6925 : xor2 port map ( Y=>nx6924, A0=>nx9469, A1=>nx9473); ix9470 : aoi22 port map ( Y=>nx9469, A0=>reg_47_q_c_5, A1=>reg_22_q_c_5, B0=>nx5128, B1=>nx5820); REG_22_reg_q_6 : dff port map ( Q=>reg_22_q_c_6, QB=>nx9885, D=>nx6914, CLK=>CLK); ix6915 : xor2 port map ( Y=>nx6914, A0=>nx9479, A1=>nx9483); ix9484 : xnor2 port map ( Y=>nx9483, A0=>PRI_OUT_3_6_XX0_XREP11, A1=> nx9851); ix6815 : xor2 port map ( Y=>nx6814, A0=>nx9491, A1=>nx9495); ix9492 : aoi22 port map ( Y=>nx9491, A0=>reg_31_q_c_5, A1=>reg_20_q_c_5, B0=>nx5708, B1=>nx5710); REG_20_reg_q_6 : dff port map ( Q=>reg_20_q_c_6, QB=>nx9847, D=>nx6580, CLK=>CLK); ix6581 : xnor2 port map ( Y=>nx6580, A0=>nx9501, A1=>nx6578); ix9502 : mux21 port map ( Y=>nx9501, A0=>nx9325, A1=>nx5158, S0=>nx5476); ix6579 : xnor2 port map ( Y=>nx6578, A0=>nx9505, A1=>nx9845); ix9506 : mux21 port map ( Y=>nx9505, A0=>PRI_IN_9(6), A1=>reg_19_q_c_6, S0=>C_MUX2_23_SEL); REG_19_reg_q_6 : dff port map ( Q=>reg_19_q_c_6, QB=>OPEN, D=>nx7010, CLK =>CLK); ix7011 : xor2 port map ( Y=>nx7010, A0=>nx9511, A1=>nx9521); ix9512 : aoi22 port map ( Y=>nx9511, A0=>nx6513, A1=>PRI_IN_7(5), B0=> nx4998, B1=>nx5906); ix9522 : xnor2 port map ( Y=>nx9521, A0=>PRI_IN_7(6), A1=> nx6521_XX0_XREP133); ix9528 : mux21 port map ( Y=>nx9527, A0=>reg_24_q_c_6, A1=>reg_25_q_c_6, S0=>C_MUX2_1_SEL); REG_24_reg_q_6 : dff port map ( Q=>reg_24_q_c_6, QB=>OPEN, D=>nx6152, CLK =>CLK); ix6153 : xnor2 port map ( Y=>nx6152, A0=>nx9533, A1=>nx6150); ix9534 : mux21 port map ( Y=>nx9533, A0=>nx9048, A1=>nx5008, S0=>nx5048); ix6151 : xnor2 port map ( Y=>nx6150, A0=>reg_41_q_c_6, A1=>nx6516); REG_41_reg_q_6 : dff port map ( Q=>reg_41_q_c_6, QB=>OPEN, D=>nx6142, CLK =>CLK); ix6143 : xnor2 port map ( Y=>nx6142, A0=>nx9541, A1=>nx6140); ix9542 : aoi22 port map ( Y=>nx9541, A0=>nx9543, A1=>reg_38_q_c_5, B0=> nx5018, B1=>nx5038); ix9544 : inv02 port map ( Y=>nx9543, A=>PRI_IN_0(5)); REG_38_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9563, D=>nx6132, CLK=>CLK ); ix6133 : xor2 port map ( Y=>nx6132, A0=>nx9551, A1=>nx9561); ix9562 : xnor2 port map ( Y=>nx9561, A0=>PRI_IN_4(6), A1=>nx6516); REG_25_reg_q_6 : dff port map ( Q=>reg_25_q_c_6, QB=>OPEN, D=>nx6988, CLK =>CLK); ix6989 : xnor2 port map ( Y=>nx6988, A0=>nx9571, A1=>nx6986); ix9572 : aoi22 port map ( Y=>nx9571, A0=>nx9259, A1=>reg_36_q_c_5, B0=> nx5070, B1=>nx5884); REG_36_reg_q_6 : dff port map ( Q=>reg_36_q_c_6, QB=>nx9842, D=>nx6978, CLK=>CLK); ix6979 : xor2 port map ( Y=>nx6978, A0=>nx9579, A1=>nx9583); ix9580 : aoi22 port map ( Y=>nx9579, A0=>reg_43_q_c_5, A1=>PRI_IN_1(5), B0=>nx5078, B1=>nx5874); REG_43_reg_q_6 : dff port map ( Q=>reg_43_q_c_6, QB=>nx9841, D=>nx6968, CLK=>CLK); ix6969 : xnor2 port map ( Y=>nx6968, A0=>nx9589, A1=>nx6966); ix9590 : mux21 port map ( Y=>nx9589, A0=>nx9289, A1=>nx5088, S0=>nx5864); ix6967 : xnor2 port map ( Y=>nx6966, A0=>nx9593, A1=>nx15665); ix9594 : mux21 port map ( Y=>nx9593, A0=>PRI_IN_2(6), A1=>reg_31_q_c_6, S0=>C_MUX2_22_SEL); REG_31_reg_q_6 : dff port map ( Q=>reg_31_q_c_6, QB=>nx9812, D=>nx6954, CLK=>CLK); ix6955 : xor2 port map ( Y=>nx6954, A0=>nx9599, A1=>nx9603); ix9600 : aoi22 port map ( Y=>nx9599, A0=>reg_48_q_c_5, A1=>reg_12_q_c_5, B0=>nx5100, B1=>nx5850); REG_48_reg_q_6 : dff port map ( Q=>reg_48_q_c_6, QB=>nx9811, D=>nx6504, CLK=>CLK); ix6505 : xnor2 port map ( Y=>nx6504, A0=>nx6292, A1=>nx9611); ix6293 : oai22 port map ( Y=>nx6292, A0=>nx9085, A1=>nx9095, B0=>nx9515, B1=>nx9285); REG_46_reg_q_6 : dff port map ( Q=>reg_46_q_c_6, QB=>nx9809, D=>nx6494, CLK=>CLK); ix6495 : xor2 port map ( Y=>nx6494, A0=>nx6302, A1=>nx6492); ix6303 : mux21 port map ( Y=>nx6302, A0=>nx5388, A1=>nx9101, S0=>nx5390); ix6493 : xnor2 port map ( Y=>nx6492, A0=>nx6519, A1=>nx6490); ix6475 : ao21 port map ( Y=>nx6519, A0=>C_MUX2_15_SEL, A1=>reg_34_q_c_6, B0=>nx6314); REG_34_reg_q_6 : dff port map ( Q=>reg_34_q_c_6, QB=>OPEN, D=>nx6464, CLK =>CLK); ix6465 : xnor2 port map ( Y=>nx6464, A0=>nx6322, A1=>nx9627); ix6323 : oai22 port map ( Y=>nx6322, A0=>nx9115, A1=>nx9119, B0=>nx9395, B1=>nx9134); REG_45_reg_q_6 : dff port map ( Q=>reg_45_q_c_6, QB=>nx9643, D=>nx6514, CLK=>CLK); ix6515 : xnor2 port map ( Y=>nx6514, A0=>nx6284, A1=>nx9641); ix6285 : oai22 port map ( Y=>nx6284, A0=>nx9123, A1=>nx9132, B0=>nx9635, B1=>nx9286); ix6461 : ao21 port map ( Y=>nx6460, A0=>C_MUX2_7_SEL, A1=>reg_5_q_c_6, B0 =>nx6456); REG_5_reg_q_6 : dff port map ( Q=>reg_5_q_c_6, QB=>OPEN, D=>nx6542, CLK=> CLK); ix6543 : xor2 port map ( Y=>nx6542, A0=>nx9649, A1=>nx9653); ix9650 : aoi22 port map ( Y=>nx9649, A0=>reg_50_q_c_5, A1=>reg_45_q_c_5, B0=>nx5174, B1=>nx5438); REG_50_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9665, D=>nx6532, CLK=>CLK ); ix6533 : xor2 port map ( Y=>nx6532, A0=>nx9659, A1=>nx9663); ix9660 : aoi22 port map ( Y=>nx9659, A0=>reg_45_q_c_5, A1=>reg_44_q_c_5, B0=>nx5426, B1=>nx5428); ix6457 : nor02 port map ( Y=>nx6456, A0=>C_MUX2_7_SEL, A1=>nx9669); ix9670 : mux21 port map ( Y=>nx9669, A0=>reg_3_q_c_6, A1=>reg_8_q_c_6, S0 =>C_MUX2_14_SEL); REG_3_reg_q_6 : dff port map ( Q=>reg_3_q_c_6, QB=>OPEN, D=>nx6418, CLK=> CLK); ix6419 : xor2 port map ( Y=>nx6418, A0=>nx9675, A1=>nx9679); ix9676 : aoi22 port map ( Y=>nx9675, A0=>nx14815, A1=>reg_37_q_c_5, B0=> nx5228, B1=>nx5314); REG_37_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9781, D=>nx6408, CLK=>CLK ); ix6409 : xnor2 port map ( Y=>nx6408, A0=>nx9684, A1=>nx6406); ix9685 : aoi22 port map ( Y=>nx9684, A0=>nx9258, A1=>reg_20_q_c_5, B0=> nx5238, B1=>nx5304); ix6407 : xnor2 port map ( Y=>nx6406, A0=>PRI_OUT_7_6_EXMPLR, A1=>nx14817 ); REG_16_reg_q_6 : dff port map ( Q=>PRI_OUT_7_6_EXMPLR, QB=>OPEN, D=> nx6398, CLK=>CLK); ix6399 : xor2 port map ( Y=>nx6398, A0=>nx9689, A1=>nx9693); ix9690 : aoi22 port map ( Y=>nx9689, A0=>nx5292, A1=>reg_31_q_c_5, B0=> nx5246, B1=>nx5294); ix6395 : mux21 port map ( Y=>nx6394, A0=>nx9593, A1=>nx9696, S0=> C_MUX2_3_SEL); ix6385 : xor2 port map ( Y=>nx6384, A0=>nx9699, A1=>nx9703); ix9700 : aoi22 port map ( Y=>nx9699, A0=>reg_49_q_c_5, A1=>reg_46_q_c_5, B0=>nx5258, B1=>nx5280); REG_49_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9779, D=>nx6374, CLK=>CLK ); ix6375 : xnor2 port map ( Y=>nx6374, A0=>nx9708, A1=>nx6372); ix9709 : mux21 port map ( Y=>nx9708, A0=>nx9317, A1=>nx5268, S0=>nx5270); REG_6_reg_q_6 : dff port map ( Q=>reg_6_q_c_6, QB=>OPEN, D=>nx6714, CLK=> CLK); ix6715 : xnor2 port map ( Y=>nx6714, A0=>nx9715, A1=>nx6712); ix9716 : aoi22 port map ( Y=>nx9715, A0=>nx9201, A1=>PRI_OUT_1_5_EXMPLR, B0=>nx5530, B1=>nx5610); ix6713 : xnor2 port map ( Y=>nx6712, A0=>reg_7_q_c_6, A1=> PRI_OUT_1_6_EXMPLR); REG_7_reg_q_6 : dff port map ( Q=>reg_7_q_c_6, QB=>OPEN, D=>nx6566, CLK=> CLK); ix6567 : xnor2 port map ( Y=>nx6566, A0=>nx9720, A1=>nx6564); ix9721 : aoi22 port map ( Y=>nx9720, A0=>nx9176, A1=>PRI_OUT_9_5_EXMPLR, B0=>nx5460, B1=>nx5462); REG_30_reg_q_6 : dff port map ( Q=>reg_30_q_c_6, QB=>nx9696, D=>nx6384, CLK=>CLK); REG_10_reg_q_6 : dff port map ( Q=>PRI_OUT_1_6_EXMPLR, QB=>OPEN, D=> nx6704, CLK=>CLK); ix6705 : xnor2 port map ( Y=>nx6704, A0=>nx9728, A1=>nx6702); ix9729 : aoi22 port map ( Y=>nx9728, A0=>nx9233, A1=>PRI_IN_1(5), B0=> nx5540, B1=>nx5600); ix6703 : xnor2 port map ( Y=>nx6702, A0=>PRI_IN_1(6), A1=>reg_35_q_c_6); REG_35_reg_q_6 : dff port map ( Q=>reg_35_q_c_6, QB=>OPEN, D=>nx6694, CLK =>CLK); ix6695 : xnor2 port map ( Y=>nx6694, A0=>nx9733, A1=>nx6692); ix9734 : aoi22 port map ( Y=>nx9733, A0=>nx9232, A1=>PRI_IN_6(5), B0=> nx5550, B1=>nx5590); ix6693 : xnor2 port map ( Y=>nx6692, A0=>PRI_IN_6(6), A1=>reg_42_q_c_6); REG_42_reg_q_6 : dff port map ( Q=>reg_42_q_c_6, QB=>OPEN, D=>nx6684, CLK =>CLK); ix6685 : xnor2 port map ( Y=>nx6684, A0=>nx9741, A1=>nx6682); ix9742 : aoi22 port map ( Y=>nx9741, A0=>nx9231, A1=>reg_20_q_c_5, B0=> nx5560, B1=>nx5580); ix6683 : xnor2 port map ( Y=>nx6682, A0=>nx14817, A1=>reg_40_q_c_6); REG_40_reg_q_6 : dff port map ( Q=>reg_40_q_c_6, QB=>OPEN, D=>nx6674, CLK =>CLK); ix6675 : xor2 port map ( Y=>nx6674, A0=>nx9749, A1=>nx9752); ix9750 : aoi22 port map ( Y=>nx9749, A0=>reg_36_q_c_5, A1=>PRI_IN_6(5), B0=>nx5568, B1=>nx5570); ix6623 : nor02 port map ( Y=>nx6622, A0=>nx14849, A1=>nx9763); ix9764 : mux21 port map ( Y=>nx9763, A0=>nx6612, A1=>reg_7_q_c_6, S0=> C_MUX2_18_SEL); ix6613 : ao21 port map ( Y=>nx6612, A0=>PRI_IN_1(6), A1=>C_MUX2_2_SEL, B0 =>nx6608); ix6609 : nor02 port map ( Y=>nx6608, A0=>C_MUX2_2_SEL, A1=>nx9769); ix9770 : mux21 port map ( Y=>nx9769, A0=>PRI_IN_6(6), A1=>reg_9_q_c_6, S0 =>C_MUX2_24_SEL); REG_9_reg_q_6 : dff port map ( Q=>reg_9_q_c_6, QB=>OPEN, D=>nx6590, CLK=> CLK); ix6591 : xnor2 port map ( Y=>nx6590, A0=>nx6250, A1=>nx9775); ix6251 : oai22 port map ( Y=>nx6250, A0=>nx9249, A1=>nx9253, B0=>nx6515, B1=>nx9327); ix9776 : xnor2 port map ( Y=>nx9775, A0=>nx14817, A1=>nx9527); REG_8_reg_q_6 : dff port map ( Q=>reg_8_q_c_6, QB=>OPEN, D=>nx6442, CLK=> CLK); ix6443 : xnor2 port map ( Y=>nx6442, A0=>nx6438, A1=>nx9787); ix6439 : mux21 port map ( Y=>nx6438, A0=>nx9263, A1=>nx6511, S0=>nx9265); ix9788 : xnor2 port map ( Y=>nx9787, A0=>nx6519, A1=>nx9789); ix7021 : xnor2 port map ( Y=>nx7020, A0=>nx9793, A1=>nx7018); ix9794 : aoi22 port map ( Y=>nx9793, A0=>nx9381, A1=>reg_19_q_c_5, B0=> nx4990, B1=>nx5916); ix6315 : nor02 port map ( Y=>nx6314, A0=>C_MUX2_15_SEL, A1=>nx9803); ix9804 : mux21 port map ( Y=>nx9803, A0=>PRI_IN_8(6), A1=>PRI_IN_3(6), S0 =>C_MUX2_9_SEL); ix6491 : mux21 port map ( Y=>nx6490, A0=>nx9806, A1=>nx9505, S0=> C_MUX2_13_SEL); ix9807 : mux21 port map ( Y=>nx9806, A0=>nx14817, A1=>reg_22_q_c_6, S0=> C_MUX2_19_SEL); ix6789 : xor2 port map ( Y=>nx6788, A0=>nx9817, A1=>nx9821); ix9818 : aoi22 port map ( Y=>nx9817, A0=>reg_46_q_c_5, A1=>reg_28_q_c_5, B0=>nx5636, B1=>nx5684); REG_28_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9839, D=>nx6778, CLK=>CLK ); ix6779 : xor2 port map ( Y=>nx6778, A0=>nx6746, A1=>nx6776); ix6747 : mux21 port map ( Y=>nx6746, A0=>nx9305, A1=>nx9299, S0=>nx5674); ix6777 : xnor2 port map ( Y=>nx6776, A0=>PRI_IN_5(6), A1=>nx9827); ix9828 : mux21 port map ( Y=>nx9827, A0=>reg_32_q_c_6, A1=>nx6394, S0=> C_MUX2_10_SEL); REG_32_reg_q_6 : dff port map ( Q=>reg_32_q_c_6, QB=>OPEN, D=>nx6760, CLK =>CLK); ix6761 : xor2 port map ( Y=>nx6760, A0=>nx6756, A1=>nx6758); ix6757 : mux21 port map ( Y=>nx6756, A0=>nx6515, A1=>nx9309, S0=>nx5656); ix6759 : xnor2 port map ( Y=>nx6758, A0=>nx9527, A1=>nx9505); ix9846 : mux21 port map ( Y=>nx9845, A0=>reg_5_q_c_6, A1=>reg_7_q_c_6, S0 =>C_MUX2_8_SEL); REG_29_reg_q_6 : dff port map ( Q=>reg_29_q_c_6, QB=>OPEN, D=>nx6896, CLK =>CLK); ix6897 : xor2 port map ( Y=>nx6896, A0=>nx9855, A1=>nx9859); ix9860 : xnor2 port map ( Y=>nx9859, A0=>PRI_OUT_3_6_XX0_XREP11, A1=> PRI_OUT_2_6_EXMPLR); ix6885 : ao21 port map ( Y=>PRI_OUT_2_6_EXMPLR, A0=>nx14859, A1=> reg_11_q_c_6, B0=>nx6842); REG_11_reg_q_6 : dff port map ( Q=>reg_11_q_c_6, QB=>OPEN, D=>nx6872, CLK =>CLK); ix6873 : xnor2 port map ( Y=>nx6872, A0=>nx9867, A1=>nx6870); ix9868 : aoi22 port map ( Y=>nx9867, A0=>nx6511, A1=>reg_47_q_c_5, B0=> nx5748, B1=>nx5768); REG_47_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9878, D=>nx6862, CLK=>CLK ); ix6863 : xnor2 port map ( Y=>nx6862, A0=>nx9875, A1=>nx6860); ix9876 : aoi22 port map ( Y=>nx9875, A0=>nx5350, A1=>reg_20_q_c_5, B0=> nx5756, B1=>nx5758); ix6843 : nor02 port map ( Y=>nx6842, A0=>nx14859, A1=>nx9881); ix9882 : mux21 port map ( Y=>nx9881, A0=>PRI_OUT_2_6_EXMPLR, A1=> reg_12_q_c_6, S0=>C_MUX2_25_SEL); ix7039 : nor02 port map ( Y=>nx7038, A0=>C_MUX2_12_SEL, A1=> nx9789_XX0_XREP131); ix7131 : ao21 port map ( Y=>PRI_OUT_0_6_EXMPLR, A0=>C_MUX2_5_SEL, A1=> reg_1_q_c_6, B0=>nx7106); REG_1_reg_q_6 : dff port map ( Q=>reg_1_q_c_6, QB=>OPEN, D=>nx7120, CLK=> CLK); ix7121 : xnor2 port map ( Y=>nx7120, A0=>nx9901, A1=>nx7118); ix9902 : aoi22 port map ( Y=>nx9901, A0=>nx9201, A1=>PRI_OUT_5_5_EXMPLR, B0=>nx6014, B1=>nx6016); ix7119 : xnor2 port map ( Y=>nx7118, A0=>reg_7_q_c_6, A1=> PRI_OUT_5_6_EXMPLR); ix7107 : nor02 port map ( Y=>nx7106, A0=>C_MUX2_5_SEL, A1=>nx9907); REG_18_reg_q_7 : dff port map ( Q=>PRI_OUT_9_7_EXMPLR, QB=>OPEN, D=> nx8236, CLK=>CLK); ix8237 : xnor2 port map ( Y=>nx8236, A0=>nx7148, A1=>nx9921); ix7149 : ao21 port map ( Y=>nx7148, A0=>PRI_OUT_0_6_EXMPLR, A1=> reg_44_q_c_6, B0=>nx7146); ix9922 : xnor2 port map ( Y=>nx9921, A0=>reg_44_q_c_7, A1=> PRI_OUT_0_7_EXMPLR); REG_44_reg_q_7 : dff port map ( Q=>reg_44_q_c_7, QB=>OPEN, D=>nx8198, CLK =>CLK); ix8199 : xnor2 port map ( Y=>nx8198, A0=>nx7156, A1=>nx9929); ix7157 : oai22 port map ( Y=>nx7156, A0=>nx9415, A1=>nx9419, B0=>nx9563, B1=>nx9895); REG_27_reg_q_7 : dff port map ( Q=>reg_27_q_c_7, QB=>nx10394, D=>nx8188, CLK=>CLK); ix8189 : xor2 port map ( Y=>nx8188, A0=>nx7166, A1=>nx8186); ix7167 : mux21 port map ( Y=>nx7166, A0=>reg_35_q_c_6, A1=>nx9425, S0=> nx7084); REG_26_reg_q_7 : dff port map ( Q=>reg_26_q_c_7, QB=>OPEN, D=>nx8178, CLK =>CLK); ix8179 : xor2 port map ( Y=>nx8178, A0=>nx7176, A1=>nx8176); ix7177 : mux21 port map ( Y=>nx7176, A0=>reg_34_q_c_6, A1=>nx9433, S0=> nx7074); REG_14_reg_q_7 : dff port map ( Q=>PRI_OUT_5_7_EXMPLR, QB=>OPEN, D=> nx8168, CLK=>CLK); ix8169 : xnor2 port map ( Y=>nx8168, A0=>nx9949, A1=>nx8166); ix9950 : mux21 port map ( Y=>nx9949, A0=>nx6517, A1=>nx6082, S0=>nx7064); ix8167 : xnor2 port map ( Y=>nx8166, A0=>nx6523, A1=>nx14873); ix8165 : ao21 port map ( Y=>nx6523, A0=>C_MUX2_12_SEL, A1=>reg_21_q_c_7, B0=>nx8140); REG_21_reg_q_7 : dff port map ( Q=>reg_21_q_c_7, QB=>OPEN, D=>nx8154, CLK =>CLK); ix8155 : xor2 port map ( Y=>nx8154, A0=>nx8150, A1=>nx8152); REG_12_reg_q_7 : dff port map ( Q=>reg_12_q_c_7, QB=>OPEN, D=>nx8046, CLK =>CLK); ix8047 : xor2 port map ( Y=>nx8046, A0=>nx7314, A1=>nx8044); ix7315 : mux21 port map ( Y=>nx7314, A0=>reg_33_q_c_6, A1=>nx9453, S0=> nx6942); REG_33_reg_q_7 : dff port map ( Q=>OPEN, QB=>nx10386, D=>nx8036, CLK=>CLK ); ix8037 : xnor2 port map ( Y=>nx8036, A0=>nx7324, A1=>nx9983); ix7325 : mux21 port map ( Y=>nx7324, A0=>nx9459, A1=>nx6517, S0=>nx9464); ix9984 : xnor2 port map ( Y=>nx9983, A0=>reg_39_q_c_7, A1=>nx14873); REG_39_reg_q_7 : dff port map ( Q=>reg_39_q_c_7, QB=>OPEN, D=>nx8026, CLK =>CLK); ix8027 : xnor2 port map ( Y=>nx8026, A0=>nx7332, A1=>nx9989); ix7333 : oai22 port map ( Y=>nx7332, A0=>nx9469, A1=>nx9473, B0=>nx9878, B1=>nx9885); ix9990 : xnor2 port map ( Y=>nx9989, A0=>reg_22_q_c_7, A1=>reg_47_q_c_7); REG_22_reg_q_7 : dff port map ( Q=>reg_22_q_c_7, QB=>OPEN, D=>nx8016, CLK =>CLK); ix8017 : xnor2 port map ( Y=>nx8016, A0=>nx15968, A1=>nx9996); ix9997 : xnor2 port map ( Y=>nx9996, A0=>PRI_OUT_3_7_XX0_XREP9, A1=> nx10345); ix7917 : xnor2 port map ( Y=>nx7916, A0=>nx7912, A1=>nx10004); ix7913 : oai22 port map ( Y=>nx7912, A0=>nx9491, A1=>nx9495, B0=>nx9812, B1=>nx9847); ix10005 : xnor2 port map ( Y=>nx10004, A0=>nx14819, A1=>reg_31_q_c_7); REG_20_reg_q_7 : dff port map ( Q=>reg_20_q_c_7, QB=>nx10341, D=>nx7682, CLK=>CLK); ix7683 : xor2 port map ( Y=>nx7682, A0=>nx7362, A1=>nx7680); ix7363 : mux21 port map ( Y=>nx7362, A0=>nx6576, A1=>nx9501, S0=>nx6578); ix7681 : xnor2 port map ( Y=>nx7680, A0=>nx10014, A1=>nx10339); ix10015 : mux21 port map ( Y=>nx10014, A0=>PRI_IN_9(7), A1=>reg_19_q_c_7, S0=>C_MUX2_23_SEL); REG_19_reg_q_7 : dff port map ( Q=>reg_19_q_c_7, QB=>OPEN, D=>nx8112, CLK =>CLK); ix8113 : xnor2 port map ( Y=>nx8112, A0=>nx7202, A1=>nx10021); ix7203 : ao21 port map ( Y=>nx7202, A0=>nx6521, A1=>PRI_IN_7(6), B0=> nx7200); ix7201 : nor02 port map ( Y=>nx7200, A0=>nx9511, A1=>nx9521); ix10022 : xnor2 port map ( Y=>nx10021, A0=>PRI_IN_7(7), A1=>nx6533); ix8109 : ao21 port map ( Y=>nx6533, A0=>C_MUX2_20_SEL, A1=>reg_26_q_c_7, B0=>nx8104); ix8105 : nor02 port map ( Y=>nx8104, A0=>C_MUX2_20_SEL, A1=>nx10025); ix10026 : mux21 port map ( Y=>nx10025, A0=>reg_24_q_c_7, A1=>reg_25_q_c_7, S0=>C_MUX2_1_SEL); REG_24_reg_q_7 : dff port map ( Q=>reg_24_q_c_7, QB=>OPEN, D=>nx7254, CLK =>CLK); ix7255 : xor2 port map ( Y=>nx7254, A0=>nx7212, A1=>nx7252); ix7213 : mux21 port map ( Y=>nx7212, A0=>reg_41_q_c_6, A1=>nx9533, S0=> nx6150); REG_41_reg_q_7 : dff port map ( Q=>OPEN, QB=>nx10053, D=>nx7244, CLK=>CLK ); ix7245 : xor2 port map ( Y=>nx7244, A0=>nx7222, A1=>nx7242); ix7223 : oai22 port map ( Y=>nx7222, A0=>nx9541, A1=>nx10037, B0=> PRI_IN_0(6), B1=>nx9563); ix7243 : xnor2 port map ( Y=>nx7242, A0=>PRI_IN_0(7), A1=>reg_38_q_c_7); REG_38_reg_q_7 : dff port map ( Q=>reg_38_q_c_7, QB=>OPEN, D=>nx7234, CLK =>CLK); ix7235 : xnor2 port map ( Y=>nx7234, A0=>nx7230, A1=>nx10049); ix7231 : ao21 port map ( Y=>nx7230, A0=>nx6516, A1=>PRI_IN_4(6), B0=> nx7228); ix10050 : xnor2 port map ( Y=>nx10049, A0=>PRI_IN_4(7), A1=>nx6523); REG_25_reg_q_7 : dff port map ( Q=>reg_25_q_c_7, QB=>OPEN, D=>nx8090, CLK =>CLK); ix8091 : xor2 port map ( Y=>nx8090, A0=>nx7274, A1=>nx8088); REG_36_reg_q_7 : dff port map ( Q=>reg_36_q_c_7, QB=>OPEN, D=>nx8080, CLK =>CLK); ix8081 : xnor2 port map ( Y=>nx8080, A0=>nx7282, A1=>nx10071); ix7283 : oai22 port map ( Y=>nx7282, A0=>nx9579, A1=>nx9583, B0=>nx9841, B1=>nx10069); ix10070 : inv02 port map ( Y=>nx10069, A=>PRI_IN_1(6)); REG_43_reg_q_7 : dff port map ( Q=>reg_43_q_c_7, QB=>nx10333, D=>nx8070, CLK=>CLK); ix8071 : xor2 port map ( Y=>nx8070, A0=>nx7292, A1=>nx8068); ix7293 : mux21 port map ( Y=>nx7292, A0=>nx6798, A1=>nx9589, S0=>nx6966); ix8069 : xnor2 port map ( Y=>nx8068, A0=>nx14873, A1=>nx15664); ix10082 : mux21 port map ( Y=>nx10081, A0=>PRI_IN_2(7), A1=>reg_31_q_c_7, S0=>C_MUX2_22_SEL); REG_31_reg_q_7 : dff port map ( Q=>reg_31_q_c_7, QB=>OPEN, D=>nx8056, CLK =>CLK); ix8057 : xnor2 port map ( Y=>nx8056, A0=>nx7304, A1=>nx10088); ix7305 : oai22 port map ( Y=>nx7304, A0=>nx9599, A1=>nx9603, B0=>nx9811, B1=>nx9889); REG_48_reg_q_7 : dff port map ( Q=>reg_48_q_c_7, QB=>nx10301, D=>nx7606, CLK=>CLK); ix7607 : xor2 port map ( Y=>nx7606, A0=>nx10093, A1=>nx10101); ix10094 : aoi22 port map ( Y=>nx10093, A0=>nx6521, A1=>reg_46_q_c_6, B0=> nx6292, B1=>nx6502); ix10102 : xnor2 port map ( Y=>nx10101, A0=>nx14821, A1=>nx6533); REG_46_reg_q_7 : dff port map ( Q=>reg_46_q_c_7, QB=>nx10300, D=>nx7596, CLK=>CLK); ix7597 : xnor2 port map ( Y=>nx7596, A0=>nx10107, A1=>nx7594); ix10108 : mux21 port map ( Y=>nx10107, A0=>nx10109, A1=>nx6302, S0=> nx6492); ix7595 : xnor2 port map ( Y=>nx7594, A0=>nx6529, A1=>nx7592); ix7577 : ao21 port map ( Y=>nx6529, A0=>C_MUX2_15_SEL, A1=>reg_34_q_c_7, B0=>nx7416); REG_34_reg_q_7 : dff port map ( Q=>reg_34_q_c_7, QB=>nx10293, D=>nx7566, CLK=>CLK); ix7567 : xor2 port map ( Y=>nx7566, A0=>nx10125, A1=>nx10129); ix10126 : aoi22 port map ( Y=>nx10125, A0=>nx6460, A1=>reg_45_q_c_6, B0=> nx6322, B1=>nx6462); REG_45_reg_q_7 : dff port map ( Q=>reg_45_q_c_7, QB=>nx10145, D=>nx7616, CLK=>CLK); ix7617 : xor2 port map ( Y=>nx7616, A0=>nx10135, A1=>nx10143); ix10136 : aoi22 port map ( Y=>nx10135, A0=>nx6519, A1=>reg_48_q_c_6, B0=> nx6284, B1=>nx6512); ix7563 : ao21 port map ( Y=>nx7562, A0=>C_MUX2_7_SEL, A1=>reg_5_q_c_7, B0 =>nx7558); REG_5_reg_q_7 : dff port map ( Q=>reg_5_q_c_7, QB=>OPEN, D=>nx7644, CLK=> CLK); ix7645 : xnor2 port map ( Y=>nx7644, A0=>nx7378, A1=>nx10153); ix7379 : oai22 port map ( Y=>nx7378, A0=>nx9649, A1=>nx9653, B0=>nx9665, B1=>nx9643); REG_50_reg_q_7 : dff port map ( Q=>reg_50_q_c_7, QB=>OPEN, D=>nx7634, CLK =>CLK); ix7635 : xnor2 port map ( Y=>nx7634, A0=>nx7630, A1=>nx10161); ix7631 : oai22 port map ( Y=>nx7630, A0=>nx9659, A1=>nx9663, B0=>nx9643, B1=>nx9897); ix7559 : nor02 port map ( Y=>nx7558, A0=>C_MUX2_7_SEL, A1=>nx10167); ix10168 : mux21 port map ( Y=>nx10167, A0=>reg_3_q_c_7, A1=>reg_8_q_c_7, S0=>C_MUX2_14_SEL); REG_3_reg_q_7 : dff port map ( Q=>reg_3_q_c_7, QB=>OPEN, D=>nx7520, CLK=> CLK); ix7521 : xnor2 port map ( Y=>nx7520, A0=>nx7432, A1=>nx10173); ix7433 : oai22 port map ( Y=>nx7432, A0=>nx9675, A1=>nx9679, B0=>nx14919, B1=>nx9781); REG_37_reg_q_7 : dff port map ( Q=>reg_37_q_c_7, QB=>nx10273, D=>nx7510, CLK=>CLK); ix7511 : xor2 port map ( Y=>nx7510, A0=>nx7442, A1=>nx7508); ix7443 : oai22 port map ( Y=>nx7442, A0=>nx9684, A1=>nx10179, B0=> PRI_OUT_7_6_EXMPLR, B1=>nx9847); REG_16_reg_q_7 : dff port map ( Q=>PRI_OUT_7(7), QB=>nx10271, D=>nx7500, CLK=>CLK); ix7501 : xnor2 port map ( Y=>nx7500, A0=>nx7450, A1=>nx10187); ix7451 : oai22 port map ( Y=>nx7450, A0=>nx9689, A1=>nx9693, B0=>nx10185, B1=>nx9812); ix10188 : xnor2 port map ( Y=>nx10187, A0=>reg_31_q_c_7, A1=>nx7496); ix7497 : mux21 port map ( Y=>nx7496, A0=>nx14873, A1=>nx10191, S0=> C_MUX2_3_SEL); ix7487 : xnor2 port map ( Y=>nx7486, A0=>nx7462, A1=>nx10197); ix7463 : oai22 port map ( Y=>nx7462, A0=>nx9699, A1=>nx9703, B0=>nx9779, B1=>nx14919); ix10198 : xnor2 port map ( Y=>nx10197, A0=>nx14821, A1=>reg_49_q_c_7); REG_49_reg_q_7 : dff port map ( Q=>reg_49_q_c_7, QB=>OPEN, D=>nx7476, CLK =>CLK); ix7477 : xor2 port map ( Y=>nx7476, A0=>nx7472, A1=>nx7474); ix7473 : mux21 port map ( Y=>nx7472, A0=>reg_43_q_c_6, A1=>nx9708, S0=> nx6372); REG_6_reg_q_7 : dff port map ( Q=>reg_6_q_c_7, QB=>OPEN, D=>nx7816, CLK=> CLK); ix7817 : xor2 port map ( Y=>nx7816, A0=>nx7734, A1=>nx7814); ix7735 : mux21 port map ( Y=>nx7734, A0=>reg_7_q_c_6, A1=>nx9715, S0=> nx6712); REG_7_reg_q_7 : dff port map ( Q=>reg_7_q_c_7, QB=>nx10219, D=>nx7668, CLK=>CLK); ix7669 : xor2 port map ( Y=>nx7668, A0=>nx7664, A1=>nx7666); ix7665 : mux21 port map ( Y=>nx7664, A0=>reg_30_q_c_6, A1=>nx9720, S0=> nx6564); REG_30_reg_q_7 : dff port map ( Q=>reg_30_q_c_7, QB=>nx10191, D=>nx7486, CLK=>CLK); REG_10_reg_q_7 : dff port map ( Q=>PRI_OUT_1_7_EXMPLR, QB=>OPEN, D=> nx7806, CLK=>CLK); ix7807 : xor2 port map ( Y=>nx7806, A0=>nx7744, A1=>nx7804); ix7745 : mux21 port map ( Y=>nx7744, A0=>reg_35_q_c_6, A1=>nx9728, S0=> nx6702); REG_35_reg_q_7 : dff port map ( Q=>OPEN, QB=>nx10247, D=>nx7796, CLK=>CLK ); ix7797 : xor2 port map ( Y=>nx7796, A0=>nx7754, A1=>nx7794); ix7755 : mux21 port map ( Y=>nx7754, A0=>reg_42_q_c_6, A1=>nx9733, S0=> nx6692); REG_42_reg_q_7 : dff port map ( Q=>OPEN, QB=>nx10246, D=>nx7786, CLK=>CLK ); ix7787 : xor2 port map ( Y=>nx7786, A0=>nx7764, A1=>nx7784); ix7765 : oai22 port map ( Y=>nx7764, A0=>nx9741, A1=>nx10234, B0=> reg_40_q_c_6, B1=>nx9847); REG_40_reg_q_7 : dff port map ( Q=>OPEN, QB=>nx10245, D=>nx7776, CLK=>CLK ); ix7777 : xnor2 port map ( Y=>nx7776, A0=>nx7772, A1=>nx10243); ix7773 : oai22 port map ( Y=>nx7772, A0=>nx9749, A1=>nx9752, B0=>nx9842, B1=>nx10241); ix10242 : inv02 port map ( Y=>nx10241, A=>PRI_IN_6(6)); ix10244 : xnor2 port map ( Y=>nx10243, A0=>PRI_IN_6(7), A1=>reg_36_q_c_7 ); ix7725 : nor02 port map ( Y=>nx7724, A0=>nx14849, A1=>nx10253); ix10254 : mux21 port map ( Y=>nx10253, A0=>nx7714, A1=>reg_7_q_c_7, S0=> C_MUX2_18_SEL); ix7715 : ao21 port map ( Y=>nx7714, A0=>PRI_IN_1(7), A1=>C_MUX2_2_SEL, B0 =>nx7710); ix7711 : nor02 port map ( Y=>nx7710, A0=>C_MUX2_2_SEL, A1=>nx10257); ix10258 : mux21 port map ( Y=>nx10257, A0=>PRI_IN_6(7), A1=>reg_9_q_c_7, S0=>C_MUX2_24_SEL); REG_9_reg_q_7 : dff port map ( Q=>reg_9_q_c_7, QB=>OPEN, D=>nx7692, CLK=> CLK); ix7693 : xor2 port map ( Y=>nx7692, A0=>nx10263, A1=>nx10266); ix10264 : aoi22 port map ( Y=>nx10263, A0=>nx9527, A1=>reg_20_q_c_6, B0=> nx6250, B1=>nx6588); ix10267 : xnor2 port map ( Y=>nx10266, A0=>nx14819, A1=>nx10025); REG_8_reg_q_7 : dff port map ( Q=>reg_8_q_c_7, QB=>OPEN, D=>nx7544, CLK=> CLK); ix7545 : xor2 port map ( Y=>nx7544, A0=>nx10278, A1=>nx10280); ix10279 : mux21 port map ( Y=>nx10278, A0=>nx6438, A1=>nx9789, S0=>nx9787 ); ix10281 : xnor2 port map ( Y=>nx10280, A0=>nx6529, A1=>nx10283); ix10284 : mux21 port map ( Y=>nx10283, A0=>reg_23_q_c_7, A1=>PRI_IN_9(7), S0=>C_MUX2_16_SEL); REG_23_reg_q_7 : dff port map ( Q=>reg_23_q_c_7, QB=>OPEN, D=>nx8122, CLK =>CLK); ix8123 : xor2 port map ( Y=>nx8122, A0=>nx7194, A1=>nx8120); ix7195 : mux21 port map ( Y=>nx7194, A0=>reg_27_q_c_6, A1=>nx9793, S0=> nx7018); ix7417 : nor02 port map ( Y=>nx7416, A0=>C_MUX2_15_SEL, A1=>nx10295); ix10296 : mux21 port map ( Y=>nx10295, A0=>PRI_IN_8(7), A1=>PRI_IN_3(7), S0=>C_MUX2_9_SEL); ix7593 : mux21 port map ( Y=>nx7592, A0=>nx10298, A1=>nx10014, S0=> C_MUX2_13_SEL); ix10299 : mux21 port map ( Y=>nx10298, A0=>nx14819, A1=>reg_22_q_c_7, S0 =>C_MUX2_19_SEL); ix7891 : xnor2 port map ( Y=>nx7890, A0=>nx7840, A1=>nx10311); ix7841 : oai22 port map ( Y=>nx7840, A0=>nx9817, A1=>nx9821, B0=>nx14919, B1=>nx9839); REG_28_reg_q_7 : dff port map ( Q=>reg_28_q_c_7, QB=>nx10331, D=>nx7880, CLK=>CLK); ix7881 : xnor2 port map ( Y=>nx7880, A0=>nx10317, A1=>nx7878); ix10318 : aoi22 port map ( Y=>nx10317, A0=>nx6774, A1=>PRI_IN_5(6), B0=> nx6746, B1=>nx6776); ix7879 : xnor2 port map ( Y=>nx7878, A0=>PRI_IN_5(7), A1=>nx10322); ix10323 : mux21 port map ( Y=>nx10322, A0=>reg_32_q_c_7, A1=>nx7496, S0=> C_MUX2_10_SEL); REG_32_reg_q_7 : dff port map ( Q=>reg_32_q_c_7, QB=>OPEN, D=>nx7862, CLK =>CLK); ix7863 : xnor2 port map ( Y=>nx7862, A0=>nx10327, A1=>nx7860); ix10328 : mux21 port map ( Y=>nx10327, A0=>nx9527, A1=>nx6756, S0=>nx6758 ); ix7861 : xnor2 port map ( Y=>nx7860, A0=>nx10025, A1=>nx10014); ix10340 : mux21 port map ( Y=>nx10339, A0=>reg_5_q_c_7, A1=>reg_7_q_c_7, S0=>C_MUX2_8_SEL); REG_29_reg_q_7 : dff port map ( Q=>reg_29_q_c_7, QB=>OPEN, D=>nx7998, CLK =>CLK); ix7999 : xnor2 port map ( Y=>nx7998, A0=>nx7934, A1=>nx10355); ix10356 : xnor2 port map ( Y=>nx10355, A0=>PRI_OUT_3_7_XX0_XREP9, A1=> PRI_OUT_2_7_EXMPLR); ix7987 : ao21 port map ( Y=>PRI_OUT_2_7_EXMPLR, A0=>nx14859, A1=> reg_11_q_c_7, B0=>nx7944); REG_11_reg_q_7 : dff port map ( Q=>reg_11_q_c_7, QB=>OPEN, D=>nx7974, CLK =>CLK); ix7975 : xor2 port map ( Y=>nx7974, A0=>nx7952, A1=>nx7972); ix7953 : oai22 port map ( Y=>nx7952, A0=>nx9867, A1=>nx10363, B0=>nx9789, B1=>nx9878); ix7973 : xnor2 port map ( Y=>nx7972, A0=>reg_47_q_c_7, A1=>nx10283); REG_47_reg_q_7 : dff port map ( Q=>reg_47_q_c_7, QB=>OPEN, D=>nx7964, CLK =>CLK); ix7965 : xor2 port map ( Y=>nx7964, A0=>nx7960, A1=>nx7962); ix7961 : oai22 port map ( Y=>nx7960, A0=>nx9875, A1=>nx10375, B0=>nx9669, B1=>nx9847); ix7945 : nor02 port map ( Y=>nx7944, A0=>nx14861, A1=>nx10381); ix10382 : mux21 port map ( Y=>nx10381, A0=>PRI_OUT_2_7_EXMPLR, A1=> reg_12_q_c_7, S0=>C_MUX2_25_SEL); ix8141 : nor02 port map ( Y=>nx8140, A0=>C_MUX2_12_SEL, A1=>nx10283); ix8233 : ao21 port map ( Y=>PRI_OUT_0_7_EXMPLR, A0=>C_MUX2_5_SEL, A1=> reg_1_q_c_7, B0=>nx8208); REG_1_reg_q_7 : dff port map ( Q=>reg_1_q_c_7, QB=>OPEN, D=>nx8222, CLK=> CLK); ix8223 : xor2 port map ( Y=>nx8222, A0=>nx8218, A1=>nx8220); ix8219 : mux21 port map ( Y=>nx8218, A0=>reg_7_q_c_6, A1=>nx9901, S0=> nx7118); ix8209 : nor02 port map ( Y=>nx8208, A0=>C_MUX2_5_SEL, A1=>nx10403); REG_18_reg_q_8 : dff port map ( Q=>PRI_OUT_9_8_EXMPLR, QB=>OPEN, D=> nx9338, CLK=>CLK); ix9339 : xor2 port map ( Y=>nx9338, A0=>nx10410, A1=>nx10413); REG_44_reg_q_8 : dff port map ( Q=>reg_44_q_c_8, QB=>nx10913, D=>nx9300, CLK=>CLK); ix9301 : xor2 port map ( Y=>nx9300, A0=>nx10417, A1=>nx10421); ix10418 : aoi22 port map ( Y=>nx10417, A0=>reg_38_q_c_7, A1=>reg_27_q_c_7, B0=>nx7156, B1=>nx8196); REG_27_reg_q_8 : dff port map ( Q=>reg_27_q_c_8, QB=>nx10911, D=>nx9290, CLK=>CLK); ix9291 : xnor2 port map ( Y=>nx9290, A0=>nx10427, A1=>nx9288); ix10428 : aoi22 port map ( Y=>nx10427, A0=>nx10247, A1=>reg_26_q_c_7, B0 =>nx7166, B1=>nx8186); ix9289 : xnor2 port map ( Y=>nx9288, A0=>reg_26_q_c_8, A1=>reg_35_q_c_8); REG_26_reg_q_8 : dff port map ( Q=>reg_26_q_c_8, QB=>OPEN, D=>nx9280, CLK =>CLK); ix9281 : xnor2 port map ( Y=>nx9280, A0=>nx10432, A1=>nx9278); ix10433 : aoi22 port map ( Y=>nx10432, A0=>nx10293, A1=> PRI_OUT_5_7_EXMPLR, B0=>nx7176, B1=>nx8176); ix9279 : xnor2 port map ( Y=>nx9278, A0=>PRI_OUT_5_8_EXMPLR, A1=> reg_34_q_c_8); REG_14_reg_q_8 : dff port map ( Q=>PRI_OUT_5_8_EXMPLR, QB=>OPEN, D=> nx9270, CLK=>CLK); ix9271 : xor2 port map ( Y=>nx9270, A0=>nx8286, A1=>nx9268); ix8287 : mux21 port map ( Y=>nx8286, A0=>nx10081, A1=>nx9949, S0=>nx8166 ); ix9269 : xnor2 port map ( Y=>nx9268, A0=>nx6535, A1=>nx10593); ix9267 : ao21 port map ( Y=>nx6535, A0=>C_MUX2_12_SEL, A1=>reg_21_q_c_8, B0=>nx9242); REG_21_reg_q_8 : dff port map ( Q=>reg_21_q_c_8, QB=>OPEN, D=>nx9256, CLK =>CLK); ix9257 : xnor2 port map ( Y=>nx9256, A0=>nx10446, A1=>nx9254); ix10447 : aoi22 port map ( Y=>nx10446, A0=>nx10331, A1=>reg_12_q_c_7, B0 =>nx8150, B1=>nx8152); REG_12_reg_q_8 : dff port map ( Q=>reg_12_q_c_8, QB=>nx10905, D=>nx9148, CLK=>CLK); ix9149 : xnor2 port map ( Y=>nx9148, A0=>nx10453, A1=>nx9146); ix10454 : aoi22 port map ( Y=>nx10453, A0=>nx10386, A1=>PRI_IN_6(7), B0=> nx7314, B1=>nx8044); ix9147 : xnor2 port map ( Y=>nx9146, A0=>PRI_IN_6(8), A1=>reg_33_q_c_8); REG_33_reg_q_8 : dff port map ( Q=>reg_33_q_c_8, QB=>OPEN, D=>nx9138, CLK =>CLK); ix9139 : xor2 port map ( Y=>nx9138, A0=>nx10461, A1=>nx10469); ix10462 : aoi22 port map ( Y=>nx10461, A0=>nx14873, A1=>reg_39_q_c_7, B0 =>nx7324, B1=>nx8034); ix10470 : xnor2 port map ( Y=>nx10469, A0=>reg_39_q_c_8, A1=>nx10593); REG_39_reg_q_8 : dff port map ( Q=>reg_39_q_c_8, QB=>OPEN, D=>nx9128, CLK =>CLK); ix9129 : xor2 port map ( Y=>nx9128, A0=>nx10475, A1=>nx10479); ix10476 : aoi22 port map ( Y=>nx10475, A0=>reg_47_q_c_7, A1=>reg_22_q_c_7, B0=>nx7332, B1=>nx8024); REG_22_reg_q_8 : dff port map ( Q=>reg_22_q_c_8, QB=>nx10901, D=>nx9118, CLK=>CLK); ix9119 : xor2 port map ( Y=>nx9118, A0=>nx10485, A1=>nx10489); ix10490 : xnor2 port map ( Y=>nx10489, A0=>PRI_OUT_3_8_XX0_XREP7, A1=> nx10865); ix9019 : xor2 port map ( Y=>nx9018, A0=>nx10497, A1=>nx10501); ix10498 : aoi22 port map ( Y=>nx10497, A0=>reg_31_q_c_7, A1=>reg_20_q_c_7, B0=>nx7912, B1=>nx7914); REG_20_reg_q_8 : dff port map ( Q=>reg_20_q_c_8, QB=>nx10861, D=>nx8784, CLK=>CLK); ix8785 : xnor2 port map ( Y=>nx8784, A0=>nx10507, A1=>nx8782); ix10508 : mux21 port map ( Y=>nx10507, A0=>nx10339, A1=>nx7362, S0=> nx7680); ix8783 : xnor2 port map ( Y=>nx8782, A0=>nx10511, A1=>nx10859); ix10512 : mux21 port map ( Y=>nx10511, A0=>PRI_IN_9(8), A1=>reg_19_q_c_8, S0=>C_MUX2_23_SEL); REG_19_reg_q_8 : dff port map ( Q=>reg_19_q_c_8, QB=>OPEN, D=>nx9214, CLK =>CLK); ix9215 : xor2 port map ( Y=>nx9214, A0=>nx10517, A1=>nx10527); ix10518 : aoi22 port map ( Y=>nx10517, A0=>nx6533, A1=>PRI_IN_7(7), B0=> nx7202, B1=>nx8110); ix10528 : xnor2 port map ( Y=>nx10527, A0=>PRI_IN_7(8), A1=>nx6541); ix9211 : ao21 port map ( Y=>nx6541, A0=>C_MUX2_20_SEL, A1=>reg_26_q_c_8, B0=>nx9206); ix9207 : nor02 port map ( Y=>nx9206, A0=>C_MUX2_20_SEL, A1=>nx10533); ix10534 : mux21 port map ( Y=>nx10533, A0=>reg_24_q_c_8, A1=>reg_25_q_c_8, S0=>C_MUX2_1_SEL); REG_24_reg_q_8 : dff port map ( Q=>reg_24_q_c_8, QB=>OPEN, D=>nx8356, CLK =>CLK); ix8357 : xnor2 port map ( Y=>nx8356, A0=>nx10539, A1=>nx8354); ix10540 : mux21 port map ( Y=>nx10539, A0=>nx10053, A1=>nx7212, S0=> nx7252); ix8355 : xnor2 port map ( Y=>nx8354, A0=>reg_41_q_c_8, A1=>nx6535); REG_41_reg_q_8 : dff port map ( Q=>reg_41_q_c_8, QB=>OPEN, D=>nx8346, CLK =>CLK); ix8347 : xnor2 port map ( Y=>nx8346, A0=>nx10545, A1=>nx8344); ix10546 : aoi22 port map ( Y=>nx10545, A0=>nx10547, A1=>reg_38_q_c_7, B0 =>nx7222, B1=>nx7242); ix10548 : inv02 port map ( Y=>nx10547, A=>PRI_IN_0(7)); REG_38_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10565, D=>nx8336, CLK=>CLK ); ix8337 : xor2 port map ( Y=>nx8336, A0=>nx10554, A1=>nx10563); ix10555 : aoi22 port map ( Y=>nx10554, A0=>nx6523, A1=>PRI_IN_4(7), B0=> nx7230, B1=>nx7232); ix10564 : xnor2 port map ( Y=>nx10563, A0=>PRI_IN_4(8), A1=>nx6535); REG_25_reg_q_8 : dff port map ( Q=>reg_25_q_c_8, QB=>OPEN, D=>nx9192, CLK =>CLK); ix9193 : xnor2 port map ( Y=>nx9192, A0=>nx10571, A1=>nx9190); ix10572 : aoi22 port map ( Y=>nx10571, A0=>nx10273, A1=>reg_36_q_c_7, B0 =>nx7274, B1=>nx8088); REG_36_reg_q_8 : dff port map ( Q=>reg_36_q_c_8, QB=>nx10855, D=>nx9182, CLK=>CLK); ix9183 : xor2 port map ( Y=>nx9182, A0=>nx10579, A1=>nx10583); ix10580 : aoi22 port map ( Y=>nx10579, A0=>reg_43_q_c_7, A1=>PRI_IN_1(7), B0=>nx7282, B1=>nx8078); REG_43_reg_q_8 : dff port map ( Q=>reg_43_q_c_8, QB=>nx10854, D=>nx9172, CLK=>CLK); ix9173 : xnor2 port map ( Y=>nx9172, A0=>nx10589, A1=>nx9170); ix10590 : mux21 port map ( Y=>nx10589, A0=>nx15664, A1=>nx7292, S0=> nx8068); ix9171 : xnor2 port map ( Y=>nx9170, A0=>nx10593, A1=>nx15663); ix10594 : mux21 port map ( Y=>nx10593, A0=>PRI_IN_2(8), A1=>reg_31_q_c_8, S0=>C_MUX2_22_SEL); REG_31_reg_q_8 : dff port map ( Q=>reg_31_q_c_8, QB=>nx10823, D=>nx9158, CLK=>CLK); ix9159 : xor2 port map ( Y=>nx9158, A0=>nx10599, A1=>nx10603); ix10600 : aoi22 port map ( Y=>nx10599, A0=>reg_48_q_c_7, A1=>reg_12_q_c_7, B0=>nx7304, B1=>nx8054); REG_48_reg_q_8 : dff port map ( Q=>reg_48_q_c_8, QB=>nx10822, D=>nx8708, CLK=>CLK); ix8709 : xnor2 port map ( Y=>nx8708, A0=>nx8496, A1=>nx10611); ix8497 : oai22 port map ( Y=>nx8496, A0=>nx10093, A1=>nx10101, B0=> nx10521, B1=>nx10300); REG_46_reg_q_8 : dff port map ( Q=>reg_46_q_c_8, QB=>nx10821, D=>nx8698, CLK=>CLK); ix8699 : xor2 port map ( Y=>nx8698, A0=>nx8506, A1=>nx8696); ix8507 : mux21 port map ( Y=>nx8506, A0=>nx7592, A1=>nx10107, S0=>nx7594 ); ix8697 : xnor2 port map ( Y=>nx8696, A0=>nx6538, A1=>nx8694); ix8679 : ao21 port map ( Y=>nx6538, A0=>C_MUX2_15_SEL, A1=>reg_34_q_c_8, B0=>nx8518); REG_34_reg_q_8 : dff port map ( Q=>reg_34_q_c_8, QB=>OPEN, D=>nx8668, CLK =>CLK); ix8669 : xnor2 port map ( Y=>nx8668, A0=>nx8526, A1=>nx10629); ix8527 : oai22 port map ( Y=>nx8526, A0=>nx10125, A1=>nx10129, B0=> nx10403, B1=>nx10145); REG_45_reg_q_8 : dff port map ( Q=>reg_45_q_c_8, QB=>nx10645, D=>nx8718, CLK=>CLK); ix8719 : xnor2 port map ( Y=>nx8718, A0=>nx8488, A1=>nx10643); ix8489 : oai22 port map ( Y=>nx8488, A0=>nx10135, A1=>nx10143, B0=> nx10637, B1=>nx10301); ix8665 : ao21 port map ( Y=>nx8664, A0=>C_MUX2_7_SEL, A1=>reg_5_q_c_8, B0 =>nx8660); REG_5_reg_q_8 : dff port map ( Q=>reg_5_q_c_8, QB=>OPEN, D=>nx8746, CLK=> CLK); ix8747 : xor2 port map ( Y=>nx8746, A0=>nx10651, A1=>nx10655); ix10652 : aoi22 port map ( Y=>nx10651, A0=>reg_50_q_c_7, A1=>reg_45_q_c_7, B0=>nx7378, B1=>nx7642); REG_50_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10667, D=>nx8736, CLK=>CLK ); ix8737 : xor2 port map ( Y=>nx8736, A0=>nx10661, A1=>nx10665); ix10662 : aoi22 port map ( Y=>nx10661, A0=>reg_45_q_c_7, A1=>reg_44_q_c_7, B0=>nx7630, B1=>nx7632); ix8661 : nor02 port map ( Y=>nx8660, A0=>C_MUX2_7_SEL, A1=>nx10671); ix10672 : mux21 port map ( Y=>nx10671, A0=>reg_3_q_c_8, A1=>reg_8_q_c_8, S0=>C_MUX2_14_SEL); REG_3_reg_q_8 : dff port map ( Q=>reg_3_q_c_8, QB=>OPEN, D=>nx8622, CLK=> CLK); ix8623 : xor2 port map ( Y=>nx8622, A0=>nx10677, A1=>nx10681); ix10678 : aoi22 port map ( Y=>nx10677, A0=>nx14821, A1=>reg_37_q_c_7, B0 =>nx7432, B1=>nx7518); REG_37_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10794, D=>nx8612, CLK=>CLK ); ix8613 : xnor2 port map ( Y=>nx8612, A0=>nx10687, A1=>nx8610); ix10688 : aoi22 port map ( Y=>nx10687, A0=>nx10271, A1=>reg_20_q_c_7, B0 =>nx7442, B1=>nx7508); ix8611 : xnor2 port map ( Y=>nx8610, A0=>PRI_OUT_7_8_EXMPLR, A1=>nx14823 ); REG_16_reg_q_8 : dff port map ( Q=>PRI_OUT_7_8_EXMPLR, QB=>OPEN, D=> nx8602, CLK=>CLK); ix8603 : xor2 port map ( Y=>nx8602, A0=>nx10695, A1=>nx10699); ix10696 : aoi22 port map ( Y=>nx10695, A0=>nx7496, A1=>reg_31_q_c_7, B0=> nx7450, B1=>nx7498); ix8599 : mux21 port map ( Y=>nx8598, A0=>nx10593, A1=>nx10703, S0=> C_MUX2_3_SEL); ix8589 : xor2 port map ( Y=>nx8588, A0=>nx10707, A1=>nx10711); ix10708 : aoi22 port map ( Y=>nx10707, A0=>reg_49_q_c_7, A1=>reg_46_q_c_7, B0=>nx7462, B1=>nx7484); REG_49_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10791, D=>nx8578, CLK=>CLK ); ix8579 : xnor2 port map ( Y=>nx8578, A0=>nx10715, A1=>nx8576); ix10716 : mux21 port map ( Y=>nx10715, A0=>nx10333, A1=>nx7472, S0=> nx7474); REG_6_reg_q_8 : dff port map ( Q=>reg_6_q_c_8, QB=>OPEN, D=>nx8918, CLK=> CLK); ix8919 : xnor2 port map ( Y=>nx8918, A0=>nx10723, A1=>nx8916); ix10724 : aoi22 port map ( Y=>nx10723, A0=>nx10219, A1=> PRI_OUT_1_7_EXMPLR, B0=>nx7734, B1=>nx7814); ix8917 : xnor2 port map ( Y=>nx8916, A0=>reg_7_q_c_8, A1=> PRI_OUT_1_8_EXMPLR); REG_7_reg_q_8 : dff port map ( Q=>reg_7_q_c_8, QB=>OPEN, D=>nx8770, CLK=> CLK); ix8771 : xnor2 port map ( Y=>nx8770, A0=>nx10731, A1=>nx8768); ix10732 : aoi22 port map ( Y=>nx10731, A0=>nx10191, A1=> PRI_OUT_9_7_EXMPLR, B0=>nx7664, B1=>nx7666); REG_30_reg_q_8 : dff port map ( Q=>reg_30_q_c_8, QB=>nx10703, D=>nx8588, CLK=>CLK); REG_10_reg_q_8 : dff port map ( Q=>PRI_OUT_1_8_EXMPLR, QB=>OPEN, D=> nx8908, CLK=>CLK); ix8909 : xnor2 port map ( Y=>nx8908, A0=>nx10741, A1=>nx8906); ix10742 : aoi22 port map ( Y=>nx10741, A0=>nx10247, A1=>PRI_IN_1(7), B0=> nx7744, B1=>nx7804); ix8907 : xnor2 port map ( Y=>nx8906, A0=>PRI_IN_1(8), A1=>reg_35_q_c_8); REG_35_reg_q_8 : dff port map ( Q=>reg_35_q_c_8, QB=>OPEN, D=>nx8898, CLK =>CLK); ix8899 : xnor2 port map ( Y=>nx8898, A0=>nx10749, A1=>nx8896); ix10750 : aoi22 port map ( Y=>nx10749, A0=>nx10246, A1=>PRI_IN_6(7), B0=> nx7754, B1=>nx7794); ix8897 : xnor2 port map ( Y=>nx8896, A0=>PRI_IN_6(8), A1=>reg_42_q_c_8); REG_42_reg_q_8 : dff port map ( Q=>reg_42_q_c_8, QB=>OPEN, D=>nx8888, CLK =>CLK); ix8889 : xnor2 port map ( Y=>nx8888, A0=>nx10757, A1=>nx8886); ix10758 : aoi22 port map ( Y=>nx10757, A0=>nx10245, A1=>reg_20_q_c_7, B0 =>nx7764, B1=>nx7784); ix8887 : xnor2 port map ( Y=>nx8886, A0=>nx14823, A1=>reg_40_q_c_8); REG_40_reg_q_8 : dff port map ( Q=>reg_40_q_c_8, QB=>OPEN, D=>nx8878, CLK =>CLK); ix8879 : xor2 port map ( Y=>nx8878, A0=>nx10763, A1=>nx10767); ix10764 : aoi22 port map ( Y=>nx10763, A0=>reg_36_q_c_7, A1=>PRI_IN_6(7), B0=>nx7772, B1=>nx7774); ix8827 : nor02 port map ( Y=>nx8826, A0=>nx14851, A1=>nx10775); ix10776 : mux21 port map ( Y=>nx10775, A0=>nx8816, A1=>reg_7_q_c_8, S0=> C_MUX2_18_SEL); ix8817 : ao21 port map ( Y=>nx8816, A0=>PRI_IN_1(8), A1=>C_MUX2_2_SEL, B0 =>nx8812); ix8813 : nor02 port map ( Y=>nx8812, A0=>C_MUX2_2_SEL, A1=>nx10781); ix10782 : mux21 port map ( Y=>nx10781, A0=>PRI_IN_6(8), A1=>reg_9_q_c_8, S0=>C_MUX2_24_SEL); REG_9_reg_q_8 : dff port map ( Q=>reg_9_q_c_8, QB=>OPEN, D=>nx8794, CLK=> CLK); ix8795 : xnor2 port map ( Y=>nx8794, A0=>nx8454, A1=>nx10787); ix8455 : oai22 port map ( Y=>nx8454, A0=>nx10263, A1=>nx10266, B0=>nx6534, B1=>nx10341); ix10788 : xnor2 port map ( Y=>nx10787, A0=>nx14823, A1=>nx10533); REG_8_reg_q_8 : dff port map ( Q=>reg_8_q_c_8, QB=>OPEN, D=>nx8646, CLK=> CLK); ix8647 : xnor2 port map ( Y=>nx8646, A0=>nx8642, A1=>nx10799); ix8643 : mux21 port map ( Y=>nx8642, A0=>nx10278, A1=>nx6531, S0=>nx10280 ); ix10800 : xnor2 port map ( Y=>nx10799, A0=>nx6538, A1=>nx15661); ix9225 : xnor2 port map ( Y=>nx9224, A0=>nx10807, A1=>nx9222); ix10808 : aoi22 port map ( Y=>nx10807, A0=>nx10394, A1=>reg_19_q_c_7, B0 =>nx7194, B1=>nx8120); ix8519 : nor02 port map ( Y=>nx8518, A0=>C_MUX2_15_SEL, A1=>nx10815); ix10816 : mux21 port map ( Y=>nx10815, A0=>PRI_IN_8(8), A1=>PRI_IN_3(8), S0=>C_MUX2_9_SEL); ix8695 : mux21 port map ( Y=>nx8694, A0=>nx10819, A1=>nx10511, S0=> C_MUX2_13_SEL); ix10820 : mux21 port map ( Y=>nx10819, A0=>nx14823, A1=>reg_22_q_c_8, S0 =>C_MUX2_19_SEL); ix8993 : xor2 port map ( Y=>nx8992, A0=>nx10830, A1=>nx10833); ix10831 : aoi22 port map ( Y=>nx10830, A0=>reg_46_q_c_7, A1=>reg_28_q_c_7, B0=>nx7840, B1=>nx7888); REG_28_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10852, D=>nx8982, CLK=>CLK ); ix8983 : xor2 port map ( Y=>nx8982, A0=>nx8950, A1=>nx8980); ix8951 : mux21 port map ( Y=>nx8950, A0=>nx10322, A1=>nx10317, S0=>nx7878 ); ix8981 : xnor2 port map ( Y=>nx8980, A0=>PRI_IN_5(8), A1=>nx10841); ix10842 : mux21 port map ( Y=>nx10841, A0=>reg_32_q_c_8, A1=>nx8598, S0=> C_MUX2_10_SEL); REG_32_reg_q_8 : dff port map ( Q=>reg_32_q_c_8, QB=>OPEN, D=>nx8964, CLK =>CLK); ix8965 : xor2 port map ( Y=>nx8964, A0=>nx8960, A1=>nx8962); ix8961 : mux21 port map ( Y=>nx8960, A0=>nx6534, A1=>nx10327, S0=>nx7860 ); ix8963 : xnor2 port map ( Y=>nx8962, A0=>nx10533, A1=>nx10511); ix10860 : mux21 port map ( Y=>nx10859, A0=>reg_5_q_c_8, A1=>reg_7_q_c_8, S0=>C_MUX2_8_SEL); REG_29_reg_q_8 : dff port map ( Q=>reg_29_q_c_8, QB=>OPEN, D=>nx9100, CLK =>CLK); ix9101 : xor2 port map ( Y=>nx9100, A0=>nx10871, A1=>nx10875); ix10872 : aoi22 port map ( Y=>nx10871, A0=>PRI_OUT_3_7_EXMPLR, A1=> PRI_OUT_2_7_EXMPLR, B0=>nx7934, B1=>nx7996); ix10876 : xnor2 port map ( Y=>nx10875, A0=>PRI_OUT_3_8_EXMPLR, A1=> PRI_OUT_2_8_EXMPLR); ix9089 : ao21 port map ( Y=>PRI_OUT_2_8_EXMPLR, A0=>nx14861, A1=> reg_11_q_c_8, B0=>nx9046); REG_11_reg_q_8 : dff port map ( Q=>reg_11_q_c_8, QB=>OPEN, D=>nx9076, CLK =>CLK); ix9077 : xnor2 port map ( Y=>nx9076, A0=>nx10881, A1=>nx9074); ix10882 : aoi22 port map ( Y=>nx10881, A0=>nx6531, A1=>reg_47_q_c_7, B0=> nx7952, B1=>nx7972); REG_47_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10893, D=>nx9066, CLK=>CLK ); ix9067 : xnor2 port map ( Y=>nx9066, A0=>nx10889, A1=>nx9064); ix10890 : aoi22 port map ( Y=>nx10889, A0=>nx7554, A1=>reg_20_q_c_7, B0=> nx7960, B1=>nx7962); ix9047 : nor02 port map ( Y=>nx9046, A0=>nx14861, A1=>nx10897); ix10898 : mux21 port map ( Y=>nx10897, A0=>PRI_OUT_2_8_EXMPLR, A1=> reg_12_q_c_8, S0=>C_MUX2_25_SEL); ix9243 : nor02 port map ( Y=>nx9242, A0=>C_MUX2_12_SEL, A1=>nx10801); ix9325 : xnor2 port map ( Y=>nx9324, A0=>nx10917, A1=>nx9322); ix10918 : aoi22 port map ( Y=>nx10917, A0=>nx10219, A1=> PRI_OUT_5_7_EXMPLR, B0=>nx8218, B1=>nx8220); ix9323 : xnor2 port map ( Y=>nx9322, A0=>reg_7_q_c_8, A1=> PRI_OUT_5_8_EXMPLR); REG_18_reg_q_9 : dff port map ( Q=>PRI_OUT_9_9_EXMPLR, QB=>OPEN, D=> nx10440, CLK=>CLK); ix10441 : xnor2 port map ( Y=>nx10440, A0=>nx9352, A1=>nx10933); ix10934 : xnor2 port map ( Y=>nx10933, A0=>reg_44_q_c_9, A1=> PRI_OUT_0_9_EXMPLR); REG_44_reg_q_9 : dff port map ( Q=>reg_44_q_c_9, QB=>OPEN, D=>nx10402, CLK=>CLK); ix10403 : xnor2 port map ( Y=>nx10402, A0=>nx9360, A1=>nx10941); ix9361 : oai22 port map ( Y=>nx9360, A0=>nx10417, A1=>nx10421, B0=> nx10565, B1=>nx10911); REG_27_reg_q_9 : dff port map ( Q=>reg_27_q_c_9, QB=>nx11388, D=>nx10392, CLK=>CLK); ix10393 : xor2 port map ( Y=>nx10392, A0=>nx9370, A1=>nx10390); ix9371 : mux21 port map ( Y=>nx9370, A0=>reg_35_q_c_8, A1=>nx10427, S0=> nx9288); REG_26_reg_q_9 : dff port map ( Q=>reg_26_q_c_9, QB=>OPEN, D=>nx10382, CLK=>CLK); ix10383 : xor2 port map ( Y=>nx10382, A0=>nx9380, A1=>nx10380); ix9381 : mux21 port map ( Y=>nx9380, A0=>reg_34_q_c_8, A1=>nx10432, S0=> nx9278); REG_14_reg_q_9 : dff port map ( Q=>PRI_OUT_5_9_EXMPLR, QB=>OPEN, D=> nx10372, CLK=>CLK); ix10373 : xnor2 port map ( Y=>nx10372, A0=>nx10955, A1=>nx10370); ix10956 : mux21 port map ( Y=>nx10955, A0=>nx6536, A1=>nx8286, S0=>nx9268 ); ix10371 : xnor2 port map ( Y=>nx10370, A0=>nx6544, A1=>nx14875); ix10369 : ao21 port map ( Y=>nx6544, A0=>C_MUX2_12_SEL, A1=>reg_21_q_c_9, B0=>nx10344); REG_21_reg_q_9 : dff port map ( Q=>reg_21_q_c_9, QB=>OPEN, D=>nx10358, CLK=>CLK); ix10359 : xor2 port map ( Y=>nx10358, A0=>nx10354, A1=>nx10356); REG_12_reg_q_9 : dff port map ( Q=>reg_12_q_c_9, QB=>OPEN, D=>nx10250, CLK=>CLK); ix10251 : xor2 port map ( Y=>nx10250, A0=>nx9518, A1=>nx10248); ix9519 : mux21 port map ( Y=>nx9518, A0=>reg_33_q_c_8, A1=>nx10453, S0=> nx9146); REG_33_reg_q_9 : dff port map ( Q=>OPEN, QB=>nx11380, D=>nx10240, CLK=> CLK); ix10241 : xnor2 port map ( Y=>nx10240, A0=>nx9528, A1=>nx10981); ix9529 : mux21 port map ( Y=>nx9528, A0=>nx10461, A1=>nx6536, S0=>nx10469 ); ix10982 : xnor2 port map ( Y=>nx10981, A0=>reg_39_q_c_9, A1=>nx14875); REG_39_reg_q_9 : dff port map ( Q=>reg_39_q_c_9, QB=>OPEN, D=>nx10230, CLK=>CLK); ix10231 : xnor2 port map ( Y=>nx10230, A0=>nx9536, A1=>nx10989); ix9537 : oai22 port map ( Y=>nx9536, A0=>nx10475, A1=>nx10479, B0=> nx10893, B1=>nx10901); ix10990 : xnor2 port map ( Y=>nx10989, A0=>reg_22_q_c_9, A1=>reg_47_q_c_9 ); REG_22_reg_q_9 : dff port map ( Q=>reg_22_q_c_9, QB=>OPEN, D=>nx10220, CLK=>CLK); ix10221 : xnor2 port map ( Y=>nx10220, A0=>nx9546, A1=>nx10995); ix10996 : xnor2 port map ( Y=>nx10995, A0=>nx15662, A1=>nx11345); ix10121 : xnor2 port map ( Y=>nx10120, A0=>nx10116, A1=>nx11003); ix10117 : oai22 port map ( Y=>nx10116, A0=>nx10497, A1=>nx10501, B0=> nx10823, B1=>nx10861); ix11004 : xnor2 port map ( Y=>nx11003, A0=>nx14825, A1=>reg_31_q_c_9); REG_20_reg_q_9 : dff port map ( Q=>reg_20_q_c_9, QB=>nx11341, D=>nx9886, CLK=>CLK); ix9887 : xor2 port map ( Y=>nx9886, A0=>nx9566, A1=>nx9884); ix9567 : mux21 port map ( Y=>nx9566, A0=>nx8780, A1=>nx10507, S0=>nx8782 ); ix9885 : xnor2 port map ( Y=>nx9884, A0=>nx11013, A1=>nx11339); ix11014 : mux21 port map ( Y=>nx11013, A0=>PRI_IN_9(9), A1=>reg_19_q_c_9, S0=>C_MUX2_23_SEL); REG_19_reg_q_9 : dff port map ( Q=>reg_19_q_c_9, QB=>OPEN, D=>nx10316, CLK=>CLK); ix10317 : xnor2 port map ( Y=>nx10316, A0=>nx9406, A1=>nx11021); ix11022 : xnor2 port map ( Y=>nx11021, A0=>PRI_IN_7(9), A1=>nx6549); ix10313 : ao21 port map ( Y=>nx6549, A0=>C_MUX2_20_SEL, A1=>reg_26_q_c_9, B0=>nx10308); ix10309 : nor02 port map ( Y=>nx10308, A0=>C_MUX2_20_SEL, A1=>nx11025); ix11026 : mux21 port map ( Y=>nx11025, A0=>reg_24_q_c_9, A1=>reg_25_q_c_9, S0=>C_MUX2_1_SEL); REG_24_reg_q_9 : dff port map ( Q=>reg_24_q_c_9, QB=>OPEN, D=>nx9458, CLK =>CLK); ix9459 : xor2 port map ( Y=>nx9458, A0=>nx9416, A1=>nx9456); ix9417 : mux21 port map ( Y=>nx9416, A0=>reg_41_q_c_8, A1=>nx10539, S0=> nx8354); REG_41_reg_q_9 : dff port map ( Q=>OPEN, QB=>nx11054, D=>nx9448, CLK=>CLK ); ix9449 : xor2 port map ( Y=>nx9448, A0=>nx9426, A1=>nx9446); ix9427 : oai22 port map ( Y=>nx9426, A0=>nx10545, A1=>nx11041, B0=> PRI_IN_0(8), B1=>nx10565); ix9447 : xnor2 port map ( Y=>nx9446, A0=>PRI_IN_0(9), A1=>reg_38_q_c_9); REG_38_reg_q_9 : dff port map ( Q=>reg_38_q_c_9, QB=>OPEN, D=>nx9438, CLK =>CLK); ix9439 : xnor2 port map ( Y=>nx9438, A0=>nx9434, A1=>nx11051); ix11052 : xnor2 port map ( Y=>nx11051, A0=>PRI_IN_4(9), A1=>nx6544); REG_25_reg_q_9 : dff port map ( Q=>reg_25_q_c_9, QB=>OPEN, D=>nx10294, CLK=>CLK); ix10295 : xor2 port map ( Y=>nx10294, A0=>nx9478, A1=>nx10292); REG_36_reg_q_9 : dff port map ( Q=>reg_36_q_c_9, QB=>OPEN, D=>nx10284, CLK=>CLK); ix10285 : xnor2 port map ( Y=>nx10284, A0=>nx9486, A1=>nx11073); ix9487 : oai22 port map ( Y=>nx9486, A0=>nx10579, A1=>nx10583, B0=> nx10854, B1=>nx11071); ix11072 : inv02 port map ( Y=>nx11071, A=>PRI_IN_1(8)); REG_43_reg_q_9 : dff port map ( Q=>reg_43_q_c_9, QB=>nx11335, D=>nx10274, CLK=>CLK); ix10275 : xor2 port map ( Y=>nx10274, A0=>nx9496, A1=>nx10272); ix9497 : mux21 port map ( Y=>nx9496, A0=>nx9002, A1=>nx10589, S0=>nx9170 ); ix10273 : xnor2 port map ( Y=>nx10272, A0=>nx14875, A1=>nx15651); ix11087 : mux21 port map ( Y=>nx11086, A0=>PRI_IN_2(9), A1=>reg_31_q_c_9, S0=>C_MUX2_22_SEL); REG_31_reg_q_9 : dff port map ( Q=>reg_31_q_c_9, QB=>OPEN, D=>nx10260, CLK=>CLK); ix10261 : xnor2 port map ( Y=>nx10260, A0=>nx9508, A1=>nx11091); ix9509 : oai22 port map ( Y=>nx9508, A0=>nx10599, A1=>nx10603, B0=> nx10822, B1=>nx10905); REG_48_reg_q_9 : dff port map ( Q=>reg_48_q_c_9, QB=>nx11303, D=>nx9810, CLK=>CLK); ix9811 : xor2 port map ( Y=>nx9810, A0=>nx11096, A1=>nx11105); ix11097 : aoi22 port map ( Y=>nx11096, A0=>nx6541, A1=>reg_46_q_c_8, B0=> nx8496, B1=>nx8706); ix11106 : xnor2 port map ( Y=>nx11105, A0=>nx14827, A1=>nx6549); REG_46_reg_q_9 : dff port map ( Q=>reg_46_q_c_9, QB=>nx11301, D=>nx9800, CLK=>CLK); ix9801 : xnor2 port map ( Y=>nx9800, A0=>nx11109, A1=>nx9798); ix11110 : mux21 port map ( Y=>nx11109, A0=>nx11111, A1=>nx8506, S0=> nx8696); ix9799 : xnor2 port map ( Y=>nx9798, A0=>nx6547, A1=>nx9796); ix9781 : ao21 port map ( Y=>nx6547, A0=>C_MUX2_15_SEL, A1=>reg_34_q_c_9, B0=>nx9620); REG_34_reg_q_9 : dff port map ( Q=>reg_34_q_c_9, QB=>nx11293, D=>nx9770, CLK=>CLK); ix9771 : xor2 port map ( Y=>nx9770, A0=>nx11123, A1=>nx11126); ix11124 : aoi22 port map ( Y=>nx11123, A0=>nx8664, A1=>reg_45_q_c_8, B0=> nx8526, B1=>nx8666); REG_45_reg_q_9 : dff port map ( Q=>reg_45_q_c_9, QB=>nx11141, D=>nx9820, CLK=>CLK); ix9821 : xor2 port map ( Y=>nx9820, A0=>nx11130, A1=>nx11139); ix11131 : aoi22 port map ( Y=>nx11130, A0=>nx6538, A1=>reg_48_q_c_8, B0=> nx8488, B1=>nx8716); ix9767 : ao21 port map ( Y=>nx9766, A0=>C_MUX2_7_SEL, A1=>reg_5_q_c_9, B0 =>nx9762); REG_5_reg_q_9 : dff port map ( Q=>reg_5_q_c_9, QB=>OPEN, D=>nx9848, CLK=> CLK); ix9849 : xnor2 port map ( Y=>nx9848, A0=>nx9582, A1=>nx11149); ix9583 : oai22 port map ( Y=>nx9582, A0=>nx10651, A1=>nx10655, B0=> nx10667, B1=>nx10645); REG_50_reg_q_9 : dff port map ( Q=>reg_50_q_c_9, QB=>OPEN, D=>nx9838, CLK =>CLK); ix9839 : xnor2 port map ( Y=>nx9838, A0=>nx9834, A1=>nx11157); ix9835 : oai22 port map ( Y=>nx9834, A0=>nx10661, A1=>nx10665, B0=> nx10645, B1=>nx10913); ix9763 : nor02 port map ( Y=>nx9762, A0=>C_MUX2_7_SEL, A1=>nx11163); ix11164 : mux21 port map ( Y=>nx11163, A0=>reg_3_q_c_9, A1=>reg_8_q_c_9, S0=>C_MUX2_14_SEL); REG_3_reg_q_9 : dff port map ( Q=>reg_3_q_c_9, QB=>OPEN, D=>nx9724, CLK=> CLK); ix9725 : xnor2 port map ( Y=>nx9724, A0=>nx9636, A1=>nx11171); ix9637 : oai22 port map ( Y=>nx9636, A0=>nx10677, A1=>nx10681, B0=> nx14921, B1=>nx10794); REG_37_reg_q_9 : dff port map ( Q=>reg_37_q_c_9, QB=>nx11276, D=>nx9714, CLK=>CLK); ix9715 : xor2 port map ( Y=>nx9714, A0=>nx9646, A1=>nx9712); ix9647 : oai22 port map ( Y=>nx9646, A0=>nx10687, A1=>nx11176, B0=> PRI_OUT_7_8_EXMPLR, B1=>nx10861); REG_16_reg_q_9 : dff port map ( Q=>PRI_OUT_7(9), QB=>nx11275, D=>nx9704, CLK=>CLK); ix9705 : xnor2 port map ( Y=>nx9704, A0=>nx9654, A1=>nx11187); ix9655 : oai22 port map ( Y=>nx9654, A0=>nx10695, A1=>nx10699, B0=> nx11185, B1=>nx10823); ix11188 : xnor2 port map ( Y=>nx11187, A0=>reg_31_q_c_9, A1=>nx9700); ix9701 : mux21 port map ( Y=>nx9700, A0=>nx14875, A1=>nx11190, S0=> C_MUX2_3_SEL); ix9691 : xnor2 port map ( Y=>nx9690, A0=>nx9666, A1=>nx11195); ix9667 : oai22 port map ( Y=>nx9666, A0=>nx10707, A1=>nx10711, B0=> nx10791, B1=>nx14921); ix11196 : xnor2 port map ( Y=>nx11195, A0=>nx14827, A1=>reg_49_q_c_9); REG_49_reg_q_9 : dff port map ( Q=>reg_49_q_c_9, QB=>OPEN, D=>nx9680, CLK =>CLK); ix9681 : xor2 port map ( Y=>nx9680, A0=>nx9676, A1=>nx9678); ix9677 : mux21 port map ( Y=>nx9676, A0=>reg_43_q_c_8, A1=>nx10715, S0=> nx8576); REG_6_reg_q_9 : dff port map ( Q=>reg_6_q_c_9, QB=>OPEN, D=>nx10020, CLK =>CLK); ix10021 : xor2 port map ( Y=>nx10020, A0=>nx9938, A1=>nx10018); ix9939 : mux21 port map ( Y=>nx9938, A0=>reg_7_q_c_8, A1=>nx10723, S0=> nx8916); REG_7_reg_q_9 : dff port map ( Q=>reg_7_q_c_9, QB=>nx11217, D=>nx9872, CLK=>CLK); ix9873 : xor2 port map ( Y=>nx9872, A0=>nx9868, A1=>nx9870); ix9869 : mux21 port map ( Y=>nx9868, A0=>reg_30_q_c_8, A1=>nx10731, S0=> nx8768); REG_30_reg_q_9 : dff port map ( Q=>reg_30_q_c_9, QB=>nx11190, D=>nx9690, CLK=>CLK); REG_10_reg_q_9 : dff port map ( Q=>PRI_OUT_1_9_EXMPLR, QB=>OPEN, D=> nx10010, CLK=>CLK); ix10011 : xor2 port map ( Y=>nx10010, A0=>nx9948, A1=>nx10008); ix9949 : mux21 port map ( Y=>nx9948, A0=>reg_35_q_c_8, A1=>nx10741, S0=> nx8906); REG_35_reg_q_9 : dff port map ( Q=>OPEN, QB=>nx11251, D=>nx10000, CLK=> CLK); ix10001 : xor2 port map ( Y=>nx10000, A0=>nx9958, A1=>nx9998); ix9959 : mux21 port map ( Y=>nx9958, A0=>reg_42_q_c_8, A1=>nx10749, S0=> nx8896); REG_42_reg_q_9 : dff port map ( Q=>OPEN, QB=>nx11249, D=>nx9990, CLK=>CLK ); ix9991 : xor2 port map ( Y=>nx9990, A0=>nx9968, A1=>nx9988); ix9969 : oai22 port map ( Y=>nx9968, A0=>nx10757, A1=>nx11233, B0=> reg_40_q_c_8, B1=>nx10861); REG_40_reg_q_9 : dff port map ( Q=>OPEN, QB=>nx11247, D=>nx9980, CLK=>CLK ); ix9981 : xnor2 port map ( Y=>nx9980, A0=>nx9976, A1=>nx11245); ix9977 : oai22 port map ( Y=>nx9976, A0=>nx10763, A1=>nx10767, B0=> nx10855, B1=>nx11243); ix11244 : inv02 port map ( Y=>nx11243, A=>PRI_IN_6(8)); ix11246 : xnor2 port map ( Y=>nx11245, A0=>PRI_IN_6(9), A1=>reg_36_q_c_9 ); ix9929 : nor02 port map ( Y=>nx9928, A0=>nx14851, A1=>nx11255); ix11256 : mux21 port map ( Y=>nx11255, A0=>nx9918, A1=>reg_7_q_c_9, S0=> C_MUX2_18_SEL); ix9919 : ao21 port map ( Y=>nx9918, A0=>PRI_IN_1(9), A1=>C_MUX2_2_SEL, B0 =>nx9914); ix9915 : nor02 port map ( Y=>nx9914, A0=>C_MUX2_2_SEL, A1=>nx11261); ix11262 : mux21 port map ( Y=>nx11261, A0=>PRI_IN_6(9), A1=>reg_9_q_c_9, S0=>C_MUX2_24_SEL); REG_9_reg_q_9 : dff port map ( Q=>reg_9_q_c_9, QB=>OPEN, D=>nx9896, CLK=> CLK); ix9897 : xor2 port map ( Y=>nx9896, A0=>nx11267, A1=>nx11271); ix11268 : aoi22 port map ( Y=>nx11267, A0=>nx10533, A1=>reg_20_q_c_8, B0 =>nx8454, B1=>nx8792); ix11272 : xnor2 port map ( Y=>nx11271, A0=>nx14825, A1=>nx11025); REG_8_reg_q_9 : dff port map ( Q=>reg_8_q_c_9, QB=>OPEN, D=>nx9748, CLK=> CLK); ix9749 : xor2 port map ( Y=>nx9748, A0=>nx11281, A1=>nx11283); ix11282 : mux21 port map ( Y=>nx11281, A0=>nx8642, A1=>nx15661, S0=> nx10799); ix11284 : xnor2 port map ( Y=>nx11283, A0=>nx6547, A1=>nx11285); ix11286 : mux21 port map ( Y=>nx11285, A0=>reg_23_q_c_9, A1=>PRI_IN_9(9), S0=>C_MUX2_16_SEL); REG_23_reg_q_9 : dff port map ( Q=>reg_23_q_c_9, QB=>OPEN, D=>nx10326, CLK=>CLK); ix10327 : xor2 port map ( Y=>nx10326, A0=>nx9398, A1=>nx10324); ix9399 : mux21 port map ( Y=>nx9398, A0=>reg_27_q_c_8, A1=>nx10807, S0=> nx9222); ix9621 : nor02 port map ( Y=>nx9620, A0=>C_MUX2_15_SEL, A1=>nx11295); ix11296 : mux21 port map ( Y=>nx11295, A0=>PRI_IN_8(9), A1=>PRI_IN_3(9), S0=>C_MUX2_9_SEL); ix9797 : mux21 port map ( Y=>nx9796, A0=>nx11299, A1=>nx11013, S0=> C_MUX2_13_SEL); ix11300 : mux21 port map ( Y=>nx11299, A0=>nx14825, A1=>reg_22_q_c_9, S0 =>C_MUX2_19_SEL); ix10095 : xnor2 port map ( Y=>nx10094, A0=>nx10044, A1=>nx11311); ix10045 : oai22 port map ( Y=>nx10044, A0=>nx10830, A1=>nx10833, B0=> nx14921, B1=>nx10852); REG_28_reg_q_9 : dff port map ( Q=>reg_28_q_c_9, QB=>nx11333, D=>nx10084, CLK=>CLK); ix10085 : xnor2 port map ( Y=>nx10084, A0=>nx11315, A1=>nx10082); ix11316 : aoi22 port map ( Y=>nx11315, A0=>nx8978, A1=>PRI_IN_5(8), B0=> nx8950, B1=>nx8980); ix10083 : xnor2 port map ( Y=>nx10082, A0=>PRI_IN_5(9), A1=>nx11323); ix11324 : mux21 port map ( Y=>nx11323, A0=>reg_32_q_c_9, A1=>nx9700, S0=> C_MUX2_10_SEL); REG_32_reg_q_9 : dff port map ( Q=>reg_32_q_c_9, QB=>OPEN, D=>nx10066, CLK=>CLK); ix10067 : xnor2 port map ( Y=>nx10066, A0=>nx11327, A1=>nx10064); ix11328 : mux21 port map ( Y=>nx11327, A0=>nx10533, A1=>nx8960, S0=> nx8962); ix10065 : xnor2 port map ( Y=>nx10064, A0=>nx11025, A1=>nx11013); ix11340 : mux21 port map ( Y=>nx11339, A0=>reg_5_q_c_9, A1=>reg_7_q_c_9, S0=>C_MUX2_8_SEL); REG_29_reg_q_9 : dff port map ( Q=>reg_29_q_c_9, QB=>OPEN, D=>nx10202, CLK=>CLK); ix10203 : xnor2 port map ( Y=>nx10202, A0=>nx10138, A1=>nx11351); ix11352 : xnor2 port map ( Y=>nx11351, A0=>\[101637__XX0_XREP18\, A1=> PRI_OUT_2_9_EXMPLR); ix10191 : ao21 port map ( Y=>PRI_OUT_2_9_EXMPLR, A0=>nx14861, A1=> reg_11_q_c_9, B0=>nx10148); REG_11_reg_q_9 : dff port map ( Q=>reg_11_q_c_9, QB=>OPEN, D=>nx10178, CLK=>CLK); ix10179 : xor2 port map ( Y=>nx10178, A0=>nx10156, A1=>nx10176); ix10157 : oai22 port map ( Y=>nx10156, A0=>nx10881, A1=>nx11358, B0=> nx15661, B1=>nx10893); ix10177 : xnor2 port map ( Y=>nx10176, A0=>reg_47_q_c_9, A1=>nx11285); REG_47_reg_q_9 : dff port map ( Q=>reg_47_q_c_9, QB=>OPEN, D=>nx10168, CLK=>CLK); ix10169 : xor2 port map ( Y=>nx10168, A0=>nx10164, A1=>nx10166); ix10165 : oai22 port map ( Y=>nx10164, A0=>nx10889, A1=>nx11368, B0=> nx10671, B1=>nx10861); ix10149 : nor02 port map ( Y=>nx10148, A0=>nx14861, A1=>nx11375); ix11376 : mux21 port map ( Y=>nx11375, A0=>PRI_OUT_2_9_EXMPLR, A1=> reg_12_q_c_9, S0=>C_MUX2_25_SEL); ix10345 : nor02 port map ( Y=>nx10344, A0=>C_MUX2_12_SEL, A1=>nx11285); ix10437 : ao21 port map ( Y=>PRI_OUT_0_9_EXMPLR, A0=>C_MUX2_5_SEL, A1=> reg_1_q_c_9, B0=>nx10412); REG_1_reg_q_9 : dff port map ( Q=>reg_1_q_c_9, QB=>OPEN, D=>nx10426, CLK =>CLK); ix10427 : xor2 port map ( Y=>nx10426, A0=>nx10422, A1=>nx10424); ix10423 : mux21 port map ( Y=>nx10422, A0=>reg_7_q_c_8, A1=>nx10917, S0=> nx9322); ix10413 : nor02 port map ( Y=>nx10412, A0=>C_MUX2_5_SEL, A1=>nx11397); REG_18_reg_q_10 : dff port map ( Q=>PRI_OUT_9_10_EXMPLR, QB=>OPEN, D=> nx11542, CLK=>CLK); ix11543 : xor2 port map ( Y=>nx11542, A0=>nx11405, A1=>nx11409); REG_44_reg_q_10 : dff port map ( Q=>reg_44_q_c_10, QB=>nx11901, D=> nx11504, CLK=>CLK); ix11505 : xor2 port map ( Y=>nx11504, A0=>nx11415, A1=>nx11419); ix11416 : aoi22 port map ( Y=>nx11415, A0=>reg_38_q_c_9, A1=>reg_27_q_c_9, B0=>nx9360, B1=>nx10400); REG_27_reg_q_10 : dff port map ( Q=>reg_27_q_c_10, QB=>nx11900, D=> nx11494, CLK=>CLK); ix11495 : xnor2 port map ( Y=>nx11494, A0=>nx11423, A1=>nx11492); ix11424 : aoi22 port map ( Y=>nx11423, A0=>nx11251, A1=>reg_26_q_c_9, B0 =>nx9370, B1=>nx10390); ix11493 : xnor2 port map ( Y=>nx11492, A0=>reg_26_q_c_10, A1=> reg_35_q_c_10); REG_26_reg_q_10 : dff port map ( Q=>reg_26_q_c_10, QB=>OPEN, D=>nx11484, CLK=>CLK); ix11485 : xnor2 port map ( Y=>nx11484, A0=>nx11429, A1=>nx11482); ix11430 : aoi22 port map ( Y=>nx11429, A0=>nx11293, A1=> PRI_OUT_5_9_EXMPLR, B0=>nx9380, B1=>nx10380); ix11483 : xnor2 port map ( Y=>nx11482, A0=>PRI_OUT_5_10_EXMPLR, A1=> reg_34_q_c_10); REG_14_reg_q_10 : dff port map ( Q=>PRI_OUT_5_10_EXMPLR, QB=>OPEN, D=> nx11474, CLK=>CLK); ix11475 : xor2 port map ( Y=>nx11474, A0=>nx10490, A1=>nx11472); ix10491 : mux21 port map ( Y=>nx10490, A0=>nx11086, A1=>nx10955, S0=> nx10370); ix11473 : xnor2 port map ( Y=>nx11472, A0=>nx6553, A1=>nx11573); ix11471 : ao21 port map ( Y=>nx6553, A0=>C_MUX2_12_SEL, A1=>reg_21_q_c_10, B0=>nx11446); REG_21_reg_q_10 : dff port map ( Q=>reg_21_q_c_10, QB=>OPEN, D=>nx11460, CLK=>CLK); ix11461 : xnor2 port map ( Y=>nx11460, A0=>nx11439, A1=>nx11458); ix11440 : aoi22 port map ( Y=>nx11439, A0=>nx11333, A1=>reg_12_q_c_9, B0 =>nx10354, B1=>nx10356); REG_12_reg_q_10 : dff port map ( Q=>reg_12_q_c_10, QB=>nx11895, D=> nx11352, CLK=>CLK); ix11353 : xnor2 port map ( Y=>nx11352, A0=>nx11447, A1=>nx11350); ix11448 : aoi22 port map ( Y=>nx11447, A0=>nx11380, A1=>PRI_IN_6(9), B0=> nx9518, B1=>nx10248); ix11351 : xnor2 port map ( Y=>nx11350, A0=>PRI_IN_6(10), A1=> reg_33_q_c_10); REG_33_reg_q_10 : dff port map ( Q=>reg_33_q_c_10, QB=>OPEN, D=>nx11342, CLK=>CLK); ix11343 : xor2 port map ( Y=>nx11342, A0=>nx11455, A1=>nx11463); ix11456 : aoi22 port map ( Y=>nx11455, A0=>nx14875, A1=>reg_39_q_c_9, B0 =>nx9528, B1=>nx10238); ix11464 : xnor2 port map ( Y=>nx11463, A0=>reg_39_q_c_10, A1=>nx11573); REG_39_reg_q_10 : dff port map ( Q=>reg_39_q_c_10, QB=>OPEN, D=>nx11332, CLK=>CLK); ix11333 : xor2 port map ( Y=>nx11332, A0=>nx11467, A1=>nx11471); ix11468 : aoi22 port map ( Y=>nx11467, A0=>reg_47_q_c_9, A1=>reg_22_q_c_9, B0=>nx9536, B1=>nx10228); REG_22_reg_q_10 : dff port map ( Q=>reg_22_q_c_10, QB=>nx11890, D=> nx11322, CLK=>CLK); ix11323 : xor2 port map ( Y=>nx11322, A0=>nx11477, A1=>nx11480); ix11481 : xnor2 port map ( Y=>nx11480, A0=>nx15650, A1=>nx11853); ix11223 : xor2 port map ( Y=>nx11222, A0=>nx11487, A1=>nx11490); ix11488 : aoi22 port map ( Y=>nx11487, A0=>reg_31_q_c_9, A1=>reg_20_q_c_9, B0=>nx10116, B1=>nx10118); REG_20_reg_q_10 : dff port map ( Q=>reg_20_q_c_10, QB=>nx11849, D=> nx10988, CLK=>CLK); ix10989 : xnor2 port map ( Y=>nx10988, A0=>nx11495, A1=>nx10986); ix11496 : mux21 port map ( Y=>nx11495, A0=>nx11339, A1=>nx9566, S0=> nx9884); ix10987 : xnor2 port map ( Y=>nx10986, A0=>nx11498, A1=>nx11847); ix11499 : mux21 port map ( Y=>nx11498, A0=>PRI_IN_9(10), A1=> reg_19_q_c_10, S0=>C_MUX2_23_SEL); REG_19_reg_q_10 : dff port map ( Q=>reg_19_q_c_10, QB=>OPEN, D=>nx11418, CLK=>CLK); ix11419 : xor2 port map ( Y=>nx11418, A0=>nx11503, A1=>nx11511); ix11504 : aoi22 port map ( Y=>nx11503, A0=>nx6549, A1=>PRI_IN_7(9), B0=> nx9406, B1=>nx10314); ix11411 : nor02 port map ( Y=>nx11410, A0=>C_MUX2_20_SEL, A1=>nx11515); ix11516 : mux21 port map ( Y=>nx11515, A0=>reg_24_q_c_10, A1=> reg_25_q_c_10, S0=>C_MUX2_1_SEL); REG_24_reg_q_10 : dff port map ( Q=>reg_24_q_c_10, QB=>OPEN, D=>nx10560, CLK=>CLK); ix10561 : xnor2 port map ( Y=>nx10560, A0=>nx11519, A1=>nx10558); ix11520 : mux21 port map ( Y=>nx11519, A0=>nx11054, A1=>nx9416, S0=> nx9456); ix10559 : xnor2 port map ( Y=>nx10558, A0=>reg_41_q_c_10, A1=>nx6553); REG_41_reg_q_10 : dff port map ( Q=>reg_41_q_c_10, QB=>OPEN, D=>nx10550, CLK=>CLK); ix10551 : xnor2 port map ( Y=>nx10550, A0=>nx11527, A1=>nx10548); ix11528 : aoi22 port map ( Y=>nx11527, A0=>nx11529, A1=>reg_38_q_c_9, B0 =>nx9426, B1=>nx9446); ix11530 : inv02 port map ( Y=>nx11529, A=>PRI_IN_0(9)); REG_38_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11545, D=>nx10540, CLK=> CLK); ix10541 : xor2 port map ( Y=>nx10540, A0=>nx11534, A1=>nx11543); ix11535 : aoi22 port map ( Y=>nx11534, A0=>nx6544, A1=>PRI_IN_4(9), B0=> nx9434, B1=>nx9436); ix11544 : xnor2 port map ( Y=>nx11543, A0=>PRI_IN_4(10), A1=>nx6553); REG_25_reg_q_10 : dff port map ( Q=>reg_25_q_c_10, QB=>OPEN, D=>nx11396, CLK=>CLK); ix11397 : xnor2 port map ( Y=>nx11396, A0=>nx11550, A1=>nx11394); ix11552 : aoi22 port map ( Y=>nx11550, A0=>nx11276, A1=>reg_36_q_c_9, B0 =>nx9478, B1=>nx10292); REG_36_reg_q_10 : dff port map ( Q=>reg_36_q_c_10, QB=>nx11843, D=> nx11386, CLK=>CLK); ix11387 : xor2 port map ( Y=>nx11386, A0=>nx11559, A1=>nx11563); ix11560 : aoi22 port map ( Y=>nx11559, A0=>reg_43_q_c_9, A1=>PRI_IN_1(9), B0=>nx9486, B1=>nx10282); REG_43_reg_q_10 : dff port map ( Q=>reg_43_q_c_10, QB=>nx11842, D=> nx11376, CLK=>CLK); ix11377 : xnor2 port map ( Y=>nx11376, A0=>nx11569, A1=>nx16101); ix11570 : mux21 port map ( Y=>nx11569, A0=>nx15651, A1=>nx9496, S0=> nx10272); ix11375 : xnor2 port map ( Y=>nx11374, A0=>nx11573, A1=>nx11809); ix11574 : mux21 port map ( Y=>nx11573, A0=>PRI_IN_2(10), A1=> reg_31_q_c_10, S0=>C_MUX2_22_SEL); REG_31_reg_q_10 : dff port map ( Q=>reg_31_q_c_10, QB=>nx11807, D=> nx11362, CLK=>CLK); ix11363 : xor2 port map ( Y=>nx11362, A0=>nx11579, A1=>nx11583); ix11580 : aoi22 port map ( Y=>nx11579, A0=>reg_48_q_c_9, A1=>reg_12_q_c_9, B0=>nx9508, B1=>nx10258); REG_48_reg_q_10 : dff port map ( Q=>reg_48_q_c_10, QB=>nx11806, D=> nx10912, CLK=>CLK); ix10913 : xnor2 port map ( Y=>nx10912, A0=>nx10700, A1=>nx11591); ix10701 : oai22 port map ( Y=>nx10700, A0=>nx11096, A1=>nx11105, B0=> nx11507, B1=>nx11301); REG_46_reg_q_10 : dff port map ( Q=>reg_46_q_c_10, QB=>nx11805, D=> nx10902, CLK=>CLK); ix10903 : xor2 port map ( Y=>nx10902, A0=>nx10710, A1=>nx10900); ix10711 : mux21 port map ( Y=>nx10710, A0=>nx9796, A1=>nx11109, S0=> nx9798); ix10901 : xnor2 port map ( Y=>nx10900, A0=>nx6556, A1=>nx10898); ix10883 : ao21 port map ( Y=>nx6556, A0=>C_MUX2_15_SEL, A1=>reg_34_q_c_10, B0=>nx10722); REG_34_reg_q_10 : dff port map ( Q=>reg_34_q_c_10, QB=>OPEN, D=>nx10872, CLK=>CLK); ix10873 : xnor2 port map ( Y=>nx10872, A0=>nx10730, A1=>nx11609); ix10731 : oai22 port map ( Y=>nx10730, A0=>nx11123, A1=>nx11126, B0=> nx11397, B1=>nx11141); REG_45_reg_q_10 : dff port map ( Q=>reg_45_q_c_10, QB=>nx11625, D=> nx10922, CLK=>CLK); ix10923 : xnor2 port map ( Y=>nx10922, A0=>nx10692, A1=>nx11623); ix10693 : oai22 port map ( Y=>nx10692, A0=>nx11130, A1=>nx11139, B0=> nx11617, B1=>nx11303); ix10869 : ao21 port map ( Y=>nx10868, A0=>C_MUX2_7_SEL, A1=>reg_5_q_c_10, B0=>nx10864); REG_5_reg_q_10 : dff port map ( Q=>reg_5_q_c_10, QB=>OPEN, D=>nx10950, CLK=>CLK); ix10951 : xor2 port map ( Y=>nx10950, A0=>nx11631, A1=>nx11635); ix11632 : aoi22 port map ( Y=>nx11631, A0=>reg_50_q_c_9, A1=>reg_45_q_c_9, B0=>nx9582, B1=>nx9846); REG_50_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11647, D=>nx10940, CLK=> CLK); ix10941 : xor2 port map ( Y=>nx10940, A0=>nx11641, A1=>nx11645); ix11642 : aoi22 port map ( Y=>nx11641, A0=>reg_45_q_c_9, A1=>reg_44_q_c_9, B0=>nx9834, B1=>nx9836); ix10865 : nor02 port map ( Y=>nx10864, A0=>C_MUX2_7_SEL, A1=>nx11651); ix11652 : mux21 port map ( Y=>nx11651, A0=>reg_3_q_c_10, A1=>reg_8_q_c_10, S0=>C_MUX2_14_SEL); REG_3_reg_q_10 : dff port map ( Q=>reg_3_q_c_10, QB=>OPEN, D=>nx10826, CLK=>CLK); ix10827 : xor2 port map ( Y=>nx10826, A0=>nx11656, A1=>nx11659); ix11657 : aoi22 port map ( Y=>nx11656, A0=>nx14827, A1=>reg_37_q_c_9, B0 =>nx9636, B1=>nx9722); REG_37_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11775, D=>nx10816, CLK=> CLK); ix10817 : xnor2 port map ( Y=>nx10816, A0=>nx11665, A1=>nx10814); ix11666 : aoi22 port map ( Y=>nx11665, A0=>nx11275, A1=>reg_20_q_c_9, B0 =>nx9646, B1=>nx9712); ix10815 : xnor2 port map ( Y=>nx10814, A0=>PRI_OUT_7_10_EXMPLR, A1=> nx14829); REG_16_reg_q_10 : dff port map ( Q=>PRI_OUT_7_10_EXMPLR, QB=>OPEN, D=> nx10806, CLK=>CLK); ix10807 : xor2 port map ( Y=>nx10806, A0=>nx11670, A1=>nx11673); ix11671 : aoi22 port map ( Y=>nx11670, A0=>nx9700, A1=>reg_31_q_c_9, B0=> nx9654, B1=>nx9702); ix10803 : mux21 port map ( Y=>nx10802, A0=>nx11573, A1=>nx11677, S0=> C_MUX2_3_SEL); ix10793 : xor2 port map ( Y=>nx10792, A0=>nx11681, A1=>nx11685); ix11682 : aoi22 port map ( Y=>nx11681, A0=>reg_49_q_c_9, A1=>reg_46_q_c_9, B0=>nx9666, B1=>nx9688); REG_49_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11772, D=>nx10782, CLK=> CLK); ix10783 : xnor2 port map ( Y=>nx10782, A0=>nx11691, A1=>nx10780); ix11692 : mux21 port map ( Y=>nx11691, A0=>nx11335, A1=>nx9676, S0=> nx9678); ix11135 : ao21 port map ( Y=>nx6555, A0=>nx14851, A1=>reg_6_q_c_10, B0=> nx11030); REG_6_reg_q_10 : dff port map ( Q=>reg_6_q_c_10, QB=>OPEN, D=>nx11122, CLK=>CLK); ix11123 : xnor2 port map ( Y=>nx11122, A0=>nx11701, A1=>nx11120); ix11702 : aoi22 port map ( Y=>nx11701, A0=>nx11217, A1=> PRI_OUT_1_9_EXMPLR, B0=>nx9938, B1=>nx10018); ix11121 : xnor2 port map ( Y=>nx11120, A0=>reg_7_q_c_10, A1=> PRI_OUT_1_10_EXMPLR); REG_7_reg_q_10 : dff port map ( Q=>reg_7_q_c_10, QB=>OPEN, D=>nx10974, CLK=>CLK); ix10975 : xnor2 port map ( Y=>nx10974, A0=>nx11707, A1=>nx10972); ix11708 : aoi22 port map ( Y=>nx11707, A0=>nx11190, A1=> PRI_OUT_9_9_EXMPLR, B0=>nx9868, B1=>nx9870); REG_30_reg_q_10 : dff port map ( Q=>reg_30_q_c_10, QB=>nx11677, D=> nx10792, CLK=>CLK); REG_10_reg_q_10 : dff port map ( Q=>PRI_OUT_1_10_EXMPLR, QB=>OPEN, D=> nx11112, CLK=>CLK); ix11113 : xnor2 port map ( Y=>nx11112, A0=>nx11717, A1=>nx11110); ix11718 : aoi22 port map ( Y=>nx11717, A0=>nx11251, A1=>PRI_IN_1(9), B0=> nx9948, B1=>nx10008); ix11111 : xnor2 port map ( Y=>nx11110, A0=>PRI_IN_1(10), A1=> reg_35_q_c_10); REG_35_reg_q_10 : dff port map ( Q=>reg_35_q_c_10, QB=>OPEN, D=>nx11102, CLK=>CLK); ix11103 : xnor2 port map ( Y=>nx11102, A0=>nx11725, A1=>nx11100); ix11726 : aoi22 port map ( Y=>nx11725, A0=>nx11249, A1=>PRI_IN_6(9), B0=> nx9958, B1=>nx9998); ix11101 : xnor2 port map ( Y=>nx11100, A0=>PRI_IN_6(10), A1=> reg_42_q_c_10); REG_42_reg_q_10 : dff port map ( Q=>reg_42_q_c_10, QB=>OPEN, D=>nx11092, CLK=>CLK); ix11093 : xnor2 port map ( Y=>nx11092, A0=>nx11733, A1=>nx11090); ix11734 : aoi22 port map ( Y=>nx11733, A0=>nx11247, A1=>reg_20_q_c_9, B0 =>nx9968, B1=>nx9988); ix11091 : xnor2 port map ( Y=>nx11090, A0=>nx14829, A1=>reg_40_q_c_10); REG_40_reg_q_10 : dff port map ( Q=>reg_40_q_c_10, QB=>OPEN, D=>nx11082, CLK=>CLK); ix11083 : xor2 port map ( Y=>nx11082, A0=>nx11741, A1=>nx11745); ix11742 : aoi22 port map ( Y=>nx11741, A0=>reg_36_q_c_9, A1=>PRI_IN_6(9), B0=>nx9976, B1=>nx9978); ix11031 : nor02 port map ( Y=>nx11030, A0=>nx14851, A1=>nx11755); ix11756 : mux21 port map ( Y=>nx11755, A0=>nx11020, A1=>reg_7_q_c_10, S0 =>C_MUX2_18_SEL); ix11021 : ao21 port map ( Y=>nx11020, A0=>PRI_IN_1(10), A1=>C_MUX2_2_SEL, B0=>nx11016); ix11017 : nor02 port map ( Y=>nx11016, A0=>C_MUX2_2_SEL, A1=>nx11761); ix11762 : mux21 port map ( Y=>nx11761, A0=>PRI_IN_6(10), A1=>reg_9_q_c_10, S0=>C_MUX2_24_SEL); REG_9_reg_q_10 : dff port map ( Q=>reg_9_q_c_10, QB=>OPEN, D=>nx10998, CLK=>CLK); ix10999 : xnor2 port map ( Y=>nx10998, A0=>nx10658, A1=>nx11769); ix10659 : oai22 port map ( Y=>nx10658, A0=>nx11267, A1=>nx11271, B0=> nx6551, B1=>nx11341); ix11770 : xnor2 port map ( Y=>nx11769, A0=>nx14829, A1=>nx11515); REG_8_reg_q_10 : dff port map ( Q=>reg_8_q_c_10, QB=>OPEN, D=>nx10850, CLK=>CLK); ix10851 : xnor2 port map ( Y=>nx10850, A0=>nx10846, A1=>nx11783); ix10847 : mux21 port map ( Y=>nx10846, A0=>nx11281, A1=>nx6548, S0=> nx11283); ix11784 : xnor2 port map ( Y=>nx11783, A0=>nx6556, A1=>nx11785); ix11786 : mux21 port map ( Y=>nx11785, A0=>reg_23_q_c_10, A1=> PRI_IN_9(10), S0=>C_MUX2_16_SEL); REG_23_reg_q_10 : dff port map ( Q=>reg_23_q_c_10, QB=>OPEN, D=>nx11428, CLK=>CLK); ix11429 : xnor2 port map ( Y=>nx11428, A0=>nx11791, A1=>nx11426); ix11792 : aoi22 port map ( Y=>nx11791, A0=>nx11388, A1=>reg_19_q_c_9, B0 =>nx9398, B1=>nx10324); ix10723 : nor02 port map ( Y=>nx10722, A0=>C_MUX2_15_SEL, A1=>nx11799); ix11800 : mux21 port map ( Y=>nx11799, A0=>PRI_IN_8(10), A1=>PRI_IN_3(10), S0=>C_MUX2_9_SEL); ix10899 : mux21 port map ( Y=>nx10898, A0=>nx11803, A1=>nx11498, S0=> C_MUX2_13_SEL); ix11804 : mux21 port map ( Y=>nx11803, A0=>nx14829, A1=>reg_22_q_c_10, S0 =>C_MUX2_19_SEL); ix11810 : mux21 port map ( Y=>nx11809, A0=>nx6555, A1=>reg_2_q_c_10, S0=> C_MUX2_11_SEL); REG_2_reg_q_10 : dff port map ( Q=>reg_2_q_c_10, QB=>OPEN, D=>nx11196, CLK=>CLK); ix11197 : xor2 port map ( Y=>nx11196, A0=>nx11815, A1=>nx11819); ix11816 : aoi22 port map ( Y=>nx11815, A0=>reg_46_q_c_9, A1=>reg_28_q_c_9, B0=>nx10044, B1=>nx10092); REG_28_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11839, D=>nx11186, CLK=> CLK); ix11187 : xor2 port map ( Y=>nx11186, A0=>nx11154, A1=>nx11184); ix11155 : mux21 port map ( Y=>nx11154, A0=>nx11323, A1=>nx11315, S0=> nx10082); ix11185 : xnor2 port map ( Y=>nx11184, A0=>PRI_IN_5(10), A1=>nx11827); ix11828 : mux21 port map ( Y=>nx11827, A0=>reg_32_q_c_10, A1=>nx10802, S0 =>C_MUX2_10_SEL); REG_32_reg_q_10 : dff port map ( Q=>reg_32_q_c_10, QB=>OPEN, D=>nx11168, CLK=>CLK); ix11169 : xor2 port map ( Y=>nx11168, A0=>nx11164, A1=>nx11166); ix11165 : mux21 port map ( Y=>nx11164, A0=>nx6551, A1=>nx11327, S0=> nx10064); ix11167 : xnor2 port map ( Y=>nx11166, A0=>nx11515, A1=>nx11498); ix11848 : mux21 port map ( Y=>nx11847, A0=>reg_5_q_c_10, A1=>reg_7_q_c_10, S0=>C_MUX2_8_SEL); REG_29_reg_q_10 : dff port map ( Q=>reg_29_q_c_10, QB=>OPEN, D=>nx11304, CLK=>CLK); ix11305 : xor2 port map ( Y=>nx11304, A0=>nx11859, A1=>nx11863); ix11860 : aoi22 port map ( Y=>nx11859, A0=>nx15662, A1=> PRI_OUT_2_9_EXMPLR, B0=>nx10138, B1=>nx10200); ix11864 : xnor2 port map ( Y=>nx11863, A0=>nx15650, A1=> PRI_OUT_2_10_EXMPLR); ix11293 : ao21 port map ( Y=>PRI_OUT_2_10_EXMPLR, A0=>nx16249, A1=> reg_11_q_c_10, B0=>nx11250); REG_11_reg_q_10 : dff port map ( Q=>reg_11_q_c_10, QB=>OPEN, D=>nx11280, CLK=>CLK); ix11281 : xnor2 port map ( Y=>nx11280, A0=>nx11871, A1=>nx11278); ix11872 : aoi22 port map ( Y=>nx11871, A0=>nx6548, A1=>reg_47_q_c_9, B0=> nx10156, B1=>nx10176); REG_47_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11883, D=>nx11270, CLK=> CLK); ix11271 : xnor2 port map ( Y=>nx11270, A0=>nx11879, A1=>nx11268); ix11880 : aoi22 port map ( Y=>nx11879, A0=>nx9758, A1=>reg_20_q_c_9, B0=> nx10164, B1=>nx10166); ix11251 : nor02 port map ( Y=>nx11250, A0=>nx16249, A1=>nx11887); ix11888 : mux21 port map ( Y=>nx11887, A0=>PRI_OUT_2_10_EXMPLR, A1=> reg_12_q_c_10, S0=>C_MUX2_25_SEL); ix11447 : nor02 port map ( Y=>nx11446, A0=>C_MUX2_12_SEL, A1=>nx11785); ix11539 : ao21 port map ( Y=>PRI_OUT_0_10_EXMPLR, A0=>C_MUX2_5_SEL, A1=> reg_1_q_c_10, B0=>nx11514); REG_1_reg_q_10 : dff port map ( Q=>reg_1_q_c_10, QB=>OPEN, D=>nx11528, CLK=>CLK); ix11529 : xnor2 port map ( Y=>nx11528, A0=>nx11907, A1=>nx11526); ix11908 : aoi22 port map ( Y=>nx11907, A0=>nx11217, A1=> PRI_OUT_5_9_EXMPLR, B0=>nx10422, B1=>nx10424); ix11527 : xnor2 port map ( Y=>nx11526, A0=>reg_7_q_c_10, A1=> PRI_OUT_5_10_EXMPLR); ix11515 : nor02 port map ( Y=>nx11514, A0=>C_MUX2_5_SEL, A1=>nx11913); REG_18_reg_q_11 : dff port map ( Q=>PRI_OUT_9_11_EXMPLR, QB=>OPEN, D=> nx12644, CLK=>CLK); ix12645 : xnor2 port map ( Y=>nx12644, A0=>nx11556, A1=>nx11923); ix11557 : ao21 port map ( Y=>nx11556, A0=>PRI_OUT_0_10_EXMPLR, A1=> reg_44_q_c_10, B0=>nx11554); ix11924 : xnor2 port map ( Y=>nx11923, A0=>reg_44_q_c_11, A1=> PRI_OUT_0_11_EXMPLR); REG_44_reg_q_11 : dff port map ( Q=>reg_44_q_c_11, QB=>OPEN, D=>nx12606, CLK=>CLK); ix12607 : xnor2 port map ( Y=>nx12606, A0=>nx11564, A1=>nx11929); ix11565 : oai22 port map ( Y=>nx11564, A0=>nx11415, A1=>nx11419, B0=> nx11545, B1=>nx11900); REG_27_reg_q_11 : dff port map ( Q=>reg_27_q_c_11, QB=>nx12391, D=> nx12596, CLK=>CLK); ix12597 : xor2 port map ( Y=>nx12596, A0=>nx11574, A1=>nx12594); ix11575 : mux21 port map ( Y=>nx11574, A0=>reg_35_q_c_10, A1=>nx11423, S0 =>nx11492); REG_26_reg_q_11 : dff port map ( Q=>reg_26_q_c_11, QB=>OPEN, D=>nx12586, CLK=>CLK); ix12587 : xor2 port map ( Y=>nx12586, A0=>nx11584, A1=>nx12584); ix11585 : mux21 port map ( Y=>nx11584, A0=>reg_34_q_c_10, A1=>nx11429, S0 =>nx11482); REG_14_reg_q_11 : dff port map ( Q=>PRI_OUT_5_11_EXMPLR, QB=>OPEN, D=> nx12576, CLK=>CLK); ix12577 : xnor2 port map ( Y=>nx12576, A0=>nx11943, A1=>nx12574); ix11944 : mux21 port map ( Y=>nx11943, A0=>nx6554, A1=>nx10490, S0=> nx11472); ix12575 : xnor2 port map ( Y=>nx12574, A0=>nx6563, A1=>nx14877); ix12573 : ao21 port map ( Y=>nx6563, A0=>C_MUX2_12_SEL, A1=>reg_21_q_c_11, B0=>nx12548); REG_21_reg_q_11 : dff port map ( Q=>reg_21_q_c_11, QB=>OPEN, D=>nx12562, CLK=>CLK); ix12563 : xor2 port map ( Y=>nx12562, A0=>nx12558, A1=>nx12560); REG_12_reg_q_11 : dff port map ( Q=>reg_12_q_c_11, QB=>OPEN, D=>nx12454, CLK=>CLK); ix12455 : xor2 port map ( Y=>nx12454, A0=>nx11722, A1=>nx12452); ix11723 : mux21 port map ( Y=>nx11722, A0=>reg_33_q_c_10, A1=>nx11447, S0 =>nx11350); REG_33_reg_q_11 : dff port map ( Q=>OPEN, QB=>nx12385, D=>nx12444, CLK=> CLK); ix12445 : xnor2 port map ( Y=>nx12444, A0=>nx11732, A1=>nx11971); ix11733 : mux21 port map ( Y=>nx11732, A0=>nx11455, A1=>nx6554, S0=> nx11463); ix11972 : xnor2 port map ( Y=>nx11971, A0=>reg_39_q_c_11, A1=>nx14877); REG_39_reg_q_11 : dff port map ( Q=>reg_39_q_c_11, QB=>OPEN, D=>nx12434, CLK=>CLK); ix12435 : xnor2 port map ( Y=>nx12434, A0=>nx11740, A1=>nx11978); ix11741 : oai22 port map ( Y=>nx11740, A0=>nx11467, A1=>nx11471, B0=> nx11883, B1=>nx11890); ix11979 : xnor2 port map ( Y=>nx11978, A0=>reg_22_q_c_11, A1=> reg_47_q_c_11); REG_22_reg_q_11 : dff port map ( Q=>reg_22_q_c_11, QB=>OPEN, D=>nx12424, CLK=>CLK); ix12425 : xnor2 port map ( Y=>nx12424, A0=>nx15801, A1=>nx11985); ix11986 : xnor2 port map ( Y=>nx11985, A0=>PRI_OUT_3_11_EXMPLR, A1=> nx12337); ix12335 : ao21 port map ( Y=>PRI_OUT_3_11_EXMPLR, A0=>C_MUX2_17_SEL, A1=> reg_4_q_c_11, B0=>nx12312); REG_4_reg_q_11 : dff port map ( Q=>reg_4_q_c_11, QB=>OPEN, D=>nx12324, CLK=>CLK); ix12325 : xnor2 port map ( Y=>nx12324, A0=>nx12320, A1=>nx11993); ix12321 : oai22 port map ( Y=>nx12320, A0=>nx11487, A1=>nx11490, B0=> nx11807, B1=>nx11849); ix11994 : xnor2 port map ( Y=>nx11993, A0=>nx14831, A1=>reg_31_q_c_11); REG_20_reg_q_11 : dff port map ( Q=>reg_20_q_c_11, QB=>nx12333, D=> nx12090, CLK=>CLK); ix12091 : xor2 port map ( Y=>nx12090, A0=>nx11770, A1=>nx12088); ix11771 : mux21 port map ( Y=>nx11770, A0=>nx10984, A1=>nx11495, S0=> nx10986); ix12089 : xnor2 port map ( Y=>nx12088, A0=>nx12005, A1=>nx12331); ix12006 : mux21 port map ( Y=>nx12005, A0=>PRI_IN_9(11), A1=> reg_19_q_c_11, S0=>C_MUX2_23_SEL); REG_19_reg_q_11 : dff port map ( Q=>reg_19_q_c_11, QB=>OPEN, D=>nx12520, CLK=>CLK); ix12521 : xnor2 port map ( Y=>nx12520, A0=>nx11610, A1=>nx12011); ix12012 : xnor2 port map ( Y=>nx12011, A0=>PRI_IN_7(11), A1=>nx6570); ix12513 : nor02 port map ( Y=>nx12512, A0=>C_MUX2_20_SEL, A1=>nx12017); ix12018 : mux21 port map ( Y=>nx12017, A0=>reg_24_q_c_11, A1=> reg_25_q_c_11, S0=>C_MUX2_1_SEL); REG_24_reg_q_11 : dff port map ( Q=>reg_24_q_c_11, QB=>OPEN, D=>nx11662, CLK=>CLK); ix11663 : xor2 port map ( Y=>nx11662, A0=>nx11620, A1=>nx11660); ix11621 : mux21 port map ( Y=>nx11620, A0=>reg_41_q_c_10, A1=>nx11519, S0 =>nx10558); REG_41_reg_q_11 : dff port map ( Q=>OPEN, QB=>nx12041, D=>nx11652, CLK=> CLK); ix11653 : xor2 port map ( Y=>nx11652, A0=>nx11630, A1=>nx11650); ix11631 : oai22 port map ( Y=>nx11630, A0=>nx11527, A1=>nx12028, B0=> PRI_IN_0(10), B1=>nx11545); ix11651 : xnor2 port map ( Y=>nx11650, A0=>PRI_IN_0(11), A1=> reg_38_q_c_11); REG_38_reg_q_11 : dff port map ( Q=>reg_38_q_c_11, QB=>OPEN, D=>nx11642, CLK=>CLK); ix11643 : xnor2 port map ( Y=>nx11642, A0=>nx11638, A1=>nx12037); ix12038 : xnor2 port map ( Y=>nx12037, A0=>PRI_IN_4(11), A1=>nx6563); REG_25_reg_q_11 : dff port map ( Q=>reg_25_q_c_11, QB=>OPEN, D=>nx12498, CLK=>CLK); ix12499 : xor2 port map ( Y=>nx12498, A0=>nx11682, A1=>nx12496); REG_36_reg_q_11 : dff port map ( Q=>reg_36_q_c_11, QB=>OPEN, D=>nx12488, CLK=>CLK); ix12489 : xnor2 port map ( Y=>nx12488, A0=>nx11690, A1=>nx12057); ix11691 : oai22 port map ( Y=>nx11690, A0=>nx11559, A1=>nx11563, B0=> nx11842, B1=>nx12055); ix12056 : inv02 port map ( Y=>nx12055, A=>PRI_IN_1(10)); REG_43_reg_q_11 : dff port map ( Q=>reg_43_q_c_11, QB=>nx12327, D=> nx12478, CLK=>CLK); ix12479 : xor2 port map ( Y=>nx12478, A0=>nx11700, A1=>nx16099); ix12477 : xnor2 port map ( Y=>nx12476, A0=>nx14877, A1=>nx12294); ix12068 : mux21 port map ( Y=>nx12067, A0=>PRI_IN_2(11), A1=> reg_31_q_c_11, S0=>C_MUX2_22_SEL); REG_31_reg_q_11 : dff port map ( Q=>reg_31_q_c_11, QB=>OPEN, D=>nx12464, CLK=>CLK); ix12465 : xnor2 port map ( Y=>nx12464, A0=>nx11712, A1=>nx12075); ix11713 : oai22 port map ( Y=>nx11712, A0=>nx11579, A1=>nx11583, B0=> nx11806, B1=>nx11895); REG_48_reg_q_11 : dff port map ( Q=>reg_48_q_c_11, QB=>nx12292, D=> nx12014, CLK=>CLK); ix12015 : xor2 port map ( Y=>nx12014, A0=>nx12080, A1=>nx12089); ix12081 : aoi22 port map ( Y=>nx12080, A0=>nx6559, A1=>reg_46_q_c_10, B0 =>nx10700, B1=>nx10910); ix12090 : xnor2 port map ( Y=>nx12089, A0=>nx14833, A1=>nx6570); REG_46_reg_q_11 : dff port map ( Q=>reg_46_q_c_11, QB=>nx12291, D=> nx12004, CLK=>CLK); ix12005 : xnor2 port map ( Y=>nx12004, A0=>nx12094, A1=>nx12002); ix12095 : mux21 port map ( Y=>nx12094, A0=>nx12096, A1=>nx10710, S0=> nx10900); ix12003 : xnor2 port map ( Y=>nx12002, A0=>nx6568, A1=>nx12000); ix11985 : ao21 port map ( Y=>nx6568, A0=>C_MUX2_15_SEL, A1=>reg_34_q_c_11, B0=>nx11824); REG_34_reg_q_11 : dff port map ( Q=>reg_34_q_c_11, QB=>nx12283, D=> nx11974, CLK=>CLK); ix11975 : xor2 port map ( Y=>nx11974, A0=>nx12109, A1=>nx12113); ix12110 : aoi22 port map ( Y=>nx12109, A0=>nx10868, A1=>reg_45_q_c_10, B0 =>nx10730, B1=>nx10870); REG_45_reg_q_11 : dff port map ( Q=>reg_45_q_c_11, QB=>nx12129, D=> nx12024, CLK=>CLK); ix12025 : xor2 port map ( Y=>nx12024, A0=>nx12119, A1=>nx12127); ix12120 : aoi22 port map ( Y=>nx12119, A0=>nx6556, A1=>reg_48_q_c_10, B0 =>nx10692, B1=>nx10920); ix11971 : ao21 port map ( Y=>nx11970, A0=>C_MUX2_7_SEL, A1=>reg_5_q_c_11, B0=>nx11966); REG_5_reg_q_11 : dff port map ( Q=>reg_5_q_c_11, QB=>OPEN, D=>nx12052, CLK=>CLK); ix12053 : xnor2 port map ( Y=>nx12052, A0=>nx11786, A1=>nx12137); ix11787 : oai22 port map ( Y=>nx11786, A0=>nx11631, A1=>nx11635, B0=> nx11647, B1=>nx11625); REG_50_reg_q_11 : dff port map ( Q=>reg_50_q_c_11, QB=>OPEN, D=>nx12042, CLK=>CLK); ix12043 : xnor2 port map ( Y=>nx12042, A0=>nx12038, A1=>nx12145); ix12039 : oai22 port map ( Y=>nx12038, A0=>nx11641, A1=>nx11645, B0=> nx11625, B1=>nx11901); ix11967 : nor02 port map ( Y=>nx11966, A0=>C_MUX2_7_SEL, A1=>nx12151); ix12152 : mux21 port map ( Y=>nx12151, A0=>reg_3_q_c_11, A1=>reg_8_q_c_11, S0=>C_MUX2_14_SEL); REG_3_reg_q_11 : dff port map ( Q=>reg_3_q_c_11, QB=>OPEN, D=>nx11928, CLK=>CLK); ix11929 : xnor2 port map ( Y=>nx11928, A0=>nx11840, A1=>nx12159); ix11841 : oai22 port map ( Y=>nx11840, A0=>nx11656, A1=>nx11659, B0=> nx14923, B1=>nx11775); REG_37_reg_q_11 : dff port map ( Q=>reg_37_q_c_11, QB=>nx12263, D=> nx11918, CLK=>CLK); ix11919 : xor2 port map ( Y=>nx11918, A0=>nx11850, A1=>nx11916); ix11851 : oai22 port map ( Y=>nx11850, A0=>nx11665, A1=>nx12167, B0=> PRI_OUT_7_10_EXMPLR, B1=>nx11849); REG_16_reg_q_11 : dff port map ( Q=>PRI_OUT_7(11), QB=>nx12261, D=> nx11908, CLK=>CLK); ix11909 : xnor2 port map ( Y=>nx11908, A0=>nx11858, A1=>nx12179); ix11859 : oai22 port map ( Y=>nx11858, A0=>nx11670, A1=>nx11673, B0=> nx12177, B1=>nx11807); ix12180 : xnor2 port map ( Y=>nx12179, A0=>reg_31_q_c_11, A1=>nx11904); ix11905 : mux21 port map ( Y=>nx11904, A0=>nx14877, A1=>nx12183, S0=> C_MUX2_3_SEL); ix11895 : xnor2 port map ( Y=>nx11894, A0=>nx11870, A1=>nx12188); ix11871 : oai22 port map ( Y=>nx11870, A0=>nx11681, A1=>nx11685, B0=> nx11772, B1=>nx14923); ix12189 : xnor2 port map ( Y=>nx12188, A0=>nx14833, A1=>reg_49_q_c_11); REG_49_reg_q_11 : dff port map ( Q=>reg_49_q_c_11, QB=>OPEN, D=>nx11884, CLK=>CLK); ix11885 : xor2 port map ( Y=>nx11884, A0=>nx11880, A1=>nx11882); ix11881 : mux21 port map ( Y=>nx11880, A0=>reg_43_q_c_10, A1=>nx11691, S0 =>nx10780); ix12237 : ao21 port map ( Y=>nx6567, A0=>nx14853, A1=>reg_6_q_c_11, B0=> nx12132); REG_6_reg_q_11 : dff port map ( Q=>reg_6_q_c_11, QB=>OPEN, D=>nx12224, CLK=>CLK); ix12225 : xor2 port map ( Y=>nx12224, A0=>nx12142, A1=>nx12222); ix12143 : mux21 port map ( Y=>nx12142, A0=>reg_7_q_c_10, A1=>nx11701, S0 =>nx11120); REG_7_reg_q_11 : dff port map ( Q=>reg_7_q_c_11, QB=>nx12208, D=>nx12076, CLK=>CLK); ix12077 : xor2 port map ( Y=>nx12076, A0=>nx12072, A1=>nx12074); ix12073 : mux21 port map ( Y=>nx12072, A0=>reg_30_q_c_10, A1=>nx11707, S0 =>nx10972); REG_30_reg_q_11 : dff port map ( Q=>reg_30_q_c_11, QB=>nx12183, D=> nx11894, CLK=>CLK); REG_10_reg_q_11 : dff port map ( Q=>PRI_OUT_1_11_EXMPLR, QB=>OPEN, D=> nx12214, CLK=>CLK); ix12215 : xor2 port map ( Y=>nx12214, A0=>nx12152, A1=>nx12212); ix12153 : mux21 port map ( Y=>nx12152, A0=>reg_35_q_c_10, A1=>nx11717, S0 =>nx11110); REG_35_reg_q_11 : dff port map ( Q=>OPEN, QB=>nx12237, D=>nx12204, CLK=> CLK); ix12205 : xor2 port map ( Y=>nx12204, A0=>nx12162, A1=>nx12202); ix12163 : mux21 port map ( Y=>nx12162, A0=>reg_42_q_c_10, A1=>nx11725, S0 =>nx11100); REG_42_reg_q_11 : dff port map ( Q=>OPEN, QB=>nx12236, D=>nx12194, CLK=> CLK); ix12195 : xor2 port map ( Y=>nx12194, A0=>nx12172, A1=>nx12192); ix12173 : oai22 port map ( Y=>nx12172, A0=>nx11733, A1=>nx12223, B0=> reg_40_q_c_10, B1=>nx11849); REG_40_reg_q_11 : dff port map ( Q=>OPEN, QB=>nx12235, D=>nx12184, CLK=> CLK); ix12185 : xnor2 port map ( Y=>nx12184, A0=>nx12180, A1=>nx12232); ix12181 : oai22 port map ( Y=>nx12180, A0=>nx11741, A1=>nx11745, B0=> nx11843, B1=>nx12230); ix12231 : inv02 port map ( Y=>nx12230, A=>PRI_IN_6(10)); ix12233 : xnor2 port map ( Y=>nx12232, A0=>PRI_IN_6(11), A1=> reg_36_q_c_11); ix12133 : nor02 port map ( Y=>nx12132, A0=>nx14853, A1=>nx12241); ix12242 : mux21 port map ( Y=>nx12241, A0=>nx12122, A1=>reg_7_q_c_11, S0 =>C_MUX2_18_SEL); ix12123 : ao21 port map ( Y=>nx12122, A0=>PRI_IN_1(11), A1=>C_MUX2_2_SEL, B0=>nx12118); ix12119 : nor02 port map ( Y=>nx12118, A0=>C_MUX2_2_SEL, A1=>nx12247); ix12248 : mux21 port map ( Y=>nx12247, A0=>PRI_IN_6(11), A1=>reg_9_q_c_11, S0=>C_MUX2_24_SEL); REG_9_reg_q_11 : dff port map ( Q=>reg_9_q_c_11, QB=>OPEN, D=>nx12100, CLK=>CLK); ix12101 : xor2 port map ( Y=>nx12100, A0=>nx12253, A1=>nx12257); ix12254 : aoi22 port map ( Y=>nx12253, A0=>nx11515, A1=>reg_20_q_c_10, B0 =>nx10658, B1=>nx10996); ix12258 : xnor2 port map ( Y=>nx12257, A0=>nx14831, A1=>nx12017); REG_8_reg_q_11 : dff port map ( Q=>reg_8_q_c_11, QB=>OPEN, D=>nx11952, CLK=>CLK); ix11953 : xor2 port map ( Y=>nx11952, A0=>nx12269, A1=>nx12271); ix12270 : mux21 port map ( Y=>nx12269, A0=>nx10846, A1=>nx11785, S0=> nx11783); ix12272 : xnor2 port map ( Y=>nx12271, A0=>nx6568, A1=>nx12273); ix12274 : mux21 port map ( Y=>nx12273, A0=>reg_23_q_c_11, A1=> PRI_IN_9(11), S0=>C_MUX2_16_SEL); REG_23_reg_q_11 : dff port map ( Q=>reg_23_q_c_11, QB=>OPEN, D=>nx12530, CLK=>CLK); ix12531 : xor2 port map ( Y=>nx12530, A0=>nx11602, A1=>nx12528); ix11603 : mux21 port map ( Y=>nx11602, A0=>reg_27_q_c_10, A1=>nx11791, S0 =>nx11426); ix11825 : nor02 port map ( Y=>nx11824, A0=>C_MUX2_15_SEL, A1=>nx12285); ix12286 : mux21 port map ( Y=>nx12285, A0=>PRI_IN_8(11), A1=>PRI_IN_3(11), S0=>C_MUX2_9_SEL); ix12001 : mux21 port map ( Y=>nx12000, A0=>nx12289, A1=>nx12005, S0=> C_MUX2_13_SEL); ix12290 : mux21 port map ( Y=>nx12289, A0=>nx14831, A1=>reg_22_q_c_11, S0 =>C_MUX2_19_SEL); ix12295 : mux21 port map ( Y=>nx12294, A0=>nx6567, A1=>reg_2_q_c_11, S0=> C_MUX2_11_SEL); REG_2_reg_q_11 : dff port map ( Q=>reg_2_q_c_11, QB=>OPEN, D=>nx12298, CLK=>CLK); ix12299 : xnor2 port map ( Y=>nx12298, A0=>nx12248, A1=>nx12301); ix12249 : oai22 port map ( Y=>nx12248, A0=>nx11815, A1=>nx11819, B0=> nx14923, B1=>nx11839); REG_28_reg_q_11 : dff port map ( Q=>reg_28_q_c_11, QB=>nx12325, D=> nx12288, CLK=>CLK); ix12289 : xnor2 port map ( Y=>nx12288, A0=>nx12305, A1=>nx12286); ix12306 : aoi22 port map ( Y=>nx12305, A0=>nx11182, A1=>PRI_IN_5(10), B0 =>nx11154, B1=>nx11184); ix12287 : xnor2 port map ( Y=>nx12286, A0=>PRI_IN_5(11), A1=>nx12313); ix12314 : mux21 port map ( Y=>nx12313, A0=>reg_32_q_c_11, A1=>nx11904, S0 =>C_MUX2_10_SEL); REG_32_reg_q_11 : dff port map ( Q=>reg_32_q_c_11, QB=>OPEN, D=>nx12270, CLK=>CLK); ix12271 : xnor2 port map ( Y=>nx12270, A0=>nx12319, A1=>nx12268); ix12320 : mux21 port map ( Y=>nx12319, A0=>nx11515, A1=>nx11164, S0=> nx11166); ix12269 : xnor2 port map ( Y=>nx12268, A0=>nx12017, A1=>nx12005); ix12332 : mux21 port map ( Y=>nx12331, A0=>reg_5_q_c_11, A1=>reg_7_q_c_11, S0=>C_MUX2_8_SEL); ix12313 : nor02 port map ( Y=>nx12312, A0=>C_MUX2_17_SEL, A1=>nx12294); REG_29_reg_q_11 : dff port map ( Q=>reg_29_q_c_11, QB=>OPEN, D=>nx12406, CLK=>CLK); ix12407 : xnor2 port map ( Y=>nx12406, A0=>nx12342, A1=>nx12347); ix12348 : xnor2 port map ( Y=>nx12347, A0=>PRI_OUT_3_11_EXMPLR, A1=> PRI_OUT_2_11_EXMPLR); REG_11_reg_q_11 : dff port map ( Q=>reg_11_q_c_11, QB=>OPEN, D=>nx12382, CLK=>CLK); ix12383 : xor2 port map ( Y=>nx12382, A0=>nx12360, A1=>nx12380); ix12361 : oai22 port map ( Y=>nx12360, A0=>nx11871, A1=>nx12357, B0=> nx11785, B1=>nx11883); ix12381 : xnor2 port map ( Y=>nx12380, A0=>reg_47_q_c_11, A1=>nx12273); REG_47_reg_q_11 : dff port map ( Q=>reg_47_q_c_11, QB=>OPEN, D=>nx12372, CLK=>CLK); ix12373 : xor2 port map ( Y=>nx12372, A0=>nx12368, A1=>nx12370); ix12369 : oai22 port map ( Y=>nx12368, A0=>nx11879, A1=>nx12371, B0=> nx11651, B1=>nx11849); ix12353 : nor02 port map ( Y=>nx12352, A0=>nx16249, A1=>nx12378); ix12379 : mux21 port map ( Y=>nx12378, A0=>PRI_OUT_2_11_EXMPLR, A1=> reg_12_q_c_11, S0=>C_MUX2_25_SEL); ix12549 : nor02 port map ( Y=>nx12548, A0=>C_MUX2_12_SEL, A1=>nx12273); ix12641 : ao21 port map ( Y=>PRI_OUT_0_11_EXMPLR, A0=>C_MUX2_5_SEL, A1=> reg_1_q_c_11, B0=>nx12616); REG_1_reg_q_11 : dff port map ( Q=>reg_1_q_c_11, QB=>OPEN, D=>nx12630, CLK=>CLK); ix12631 : xor2 port map ( Y=>nx12630, A0=>nx12626, A1=>nx12628); ix12627 : mux21 port map ( Y=>nx12626, A0=>reg_7_q_c_10, A1=>nx11907, S0 =>nx11526); ix12617 : nor02 port map ( Y=>nx12616, A0=>C_MUX2_5_SEL, A1=>nx12405); REG_18_reg_q_12 : dff port map ( Q=>PRI_OUT_9_12_EXMPLR, QB=>OPEN, D=> nx13746, CLK=>CLK); ix13747 : xor2 port map ( Y=>nx13746, A0=>nx12413, A1=>nx12417); REG_44_reg_q_12 : dff port map ( Q=>reg_44_q_c_12, QB=>nx12898, D=> nx13708, CLK=>CLK); ix13709 : xor2 port map ( Y=>nx13708, A0=>nx12423, A1=>nx12427); ix12424 : aoi22 port map ( Y=>nx12423, A0=>reg_38_q_c_11, A1=> reg_27_q_c_11, B0=>nx11564, B1=>nx12604); REG_27_reg_q_12 : dff port map ( Q=>reg_27_q_c_12, QB=>nx12897, D=> nx13698, CLK=>CLK); ix13699 : xnor2 port map ( Y=>nx13698, A0=>nx12431, A1=>nx13696); ix12432 : aoi22 port map ( Y=>nx12431, A0=>nx12237, A1=>reg_26_q_c_11, B0 =>nx11574, B1=>nx12594); ix13697 : xnor2 port map ( Y=>nx13696, A0=>reg_26_q_c_12, A1=> reg_35_q_c_12); REG_26_reg_q_12 : dff port map ( Q=>reg_26_q_c_12, QB=>OPEN, D=>nx13688, CLK=>CLK); ix13689 : xnor2 port map ( Y=>nx13688, A0=>nx12438, A1=>nx13686); ix12439 : aoi22 port map ( Y=>nx12438, A0=>nx12283, A1=> PRI_OUT_5_11_EXMPLR, B0=>nx11584, B1=>nx12584); ix13687 : xnor2 port map ( Y=>nx13686, A0=>PRI_OUT_5_12_EXMPLR, A1=> reg_34_q_c_12); REG_14_reg_q_12 : dff port map ( Q=>PRI_OUT_5_12_EXMPLR, QB=>OPEN, D=> nx13678, CLK=>CLK); ix13679 : xor2 port map ( Y=>nx13678, A0=>nx12694, A1=>nx13676); ix12695 : mux21 port map ( Y=>nx12694, A0=>nx12067, A1=>nx11943, S0=> nx12574); ix13677 : xnor2 port map ( Y=>nx13676, A0=>nx6572, A1=>nx12580); REG_21_reg_q_12 : dff port map ( Q=>reg_21_q_c_12, QB=>OPEN, D=>nx13664, CLK=>CLK); ix13665 : xnor2 port map ( Y=>nx13664, A0=>nx12450, A1=>nx13662); ix12451 : aoi22 port map ( Y=>nx12450, A0=>nx12325, A1=>reg_12_q_c_11, B0 =>nx12558, B1=>nx12560); REG_12_reg_q_12 : dff port map ( Q=>reg_12_q_c_12, QB=>nx12890, D=> nx13556, CLK=>CLK); ix13557 : xnor2 port map ( Y=>nx13556, A0=>nx12457, A1=>nx13554); ix12458 : aoi22 port map ( Y=>nx12457, A0=>nx12385, A1=>PRI_IN_6(11), B0 =>nx11722, B1=>nx12452); ix13555 : xnor2 port map ( Y=>nx13554, A0=>PRI_IN_6(12), A1=> reg_33_q_c_12); REG_33_reg_q_12 : dff port map ( Q=>reg_33_q_c_12, QB=>OPEN, D=>nx13546, CLK=>CLK); ix13547 : xor2 port map ( Y=>nx13546, A0=>nx12463, A1=>nx12469); ix12464 : aoi22 port map ( Y=>nx12463, A0=>nx14877, A1=>reg_39_q_c_11, B0 =>nx11732, B1=>nx12442); ix12470 : xnor2 port map ( Y=>nx12469, A0=>reg_39_q_c_12, A1=>nx12580); REG_39_reg_q_12 : dff port map ( Q=>reg_39_q_c_12, QB=>OPEN, D=>nx13536, CLK=>CLK); ix13537 : xor2 port map ( Y=>nx13536, A0=>nx12473, A1=>nx12477); ix12474 : aoi22 port map ( Y=>nx12473, A0=>reg_47_q_c_11, A1=> reg_22_q_c_11, B0=>nx11740, B1=>nx12432); REG_22_reg_q_12 : dff port map ( Q=>reg_22_q_c_12, QB=>nx12885, D=> nx13526, CLK=>CLK); ix13527 : xor2 port map ( Y=>nx13526, A0=>nx12482, A1=>nx12485); ix12486 : xnor2 port map ( Y=>nx12485, A0=>PRI_OUT_3_12_EXMPLR, A1=> nx12847); ix13437 : ao21 port map ( Y=>PRI_OUT_3_12_EXMPLR, A0=>C_MUX2_17_SEL, A1=> reg_4_q_c_12, B0=>nx13414); REG_4_reg_q_12 : dff port map ( Q=>reg_4_q_c_12, QB=>OPEN, D=>nx13426, CLK=>CLK); ix13427 : xor2 port map ( Y=>nx13426, A0=>nx12492, A1=>nx12495); ix12493 : aoi22 port map ( Y=>nx12492, A0=>reg_31_q_c_11, A1=> reg_20_q_c_11, B0=>nx12320, B1=>nx12322); REG_20_reg_q_12 : dff port map ( Q=>reg_20_q_c_12, QB=>nx12843, D=> nx13192, CLK=>CLK); ix13193 : xnor2 port map ( Y=>nx13192, A0=>nx12501, A1=>nx13190); ix12502 : mux21 port map ( Y=>nx12501, A0=>nx12331, A1=>nx11770, S0=> nx12088); ix13191 : xnor2 port map ( Y=>nx13190, A0=>nx12504, A1=>nx12841); ix12505 : mux21 port map ( Y=>nx12504, A0=>PRI_IN_9(12), A1=> reg_19_q_c_12, S0=>C_MUX2_23_SEL); REG_19_reg_q_12 : dff port map ( Q=>reg_19_q_c_12, QB=>OPEN, D=>nx13622, CLK=>CLK); ix13623 : xor2 port map ( Y=>nx13622, A0=>nx12509, A1=>nx12519); ix13615 : nor02 port map ( Y=>nx13614, A0=>C_MUX2_20_SEL, A1=>nx12524); ix12525 : mux21 port map ( Y=>nx12524, A0=>reg_24_q_c_12, A1=> reg_25_q_c_12, S0=>C_MUX2_1_SEL); REG_24_reg_q_12 : dff port map ( Q=>reg_24_q_c_12, QB=>OPEN, D=>nx12764, CLK=>CLK); ix12765 : xnor2 port map ( Y=>nx12764, A0=>nx12529, A1=>nx12762); ix12530 : mux21 port map ( Y=>nx12529, A0=>nx12041, A1=>nx11620, S0=> nx11660); ix12763 : xnor2 port map ( Y=>nx12762, A0=>reg_41_q_c_12, A1=>nx6572); REG_41_reg_q_12 : dff port map ( Q=>reg_41_q_c_12, QB=>OPEN, D=>nx12754, CLK=>CLK); ix12755 : xnor2 port map ( Y=>nx12754, A0=>nx12535, A1=>nx12752); ix12536 : aoi22 port map ( Y=>nx12535, A0=>nx12537, A1=>reg_38_q_c_11, B0 =>nx11630, B1=>nx11650); ix12538 : inv02 port map ( Y=>nx12537, A=>PRI_IN_0(11)); REG_38_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12555, D=>nx12744, CLK=> CLK); ix12745 : xor2 port map ( Y=>nx12744, A0=>nx12543, A1=>nx12553); ix12544 : aoi22 port map ( Y=>nx12543, A0=>nx6563, A1=>PRI_IN_4(11), B0=> nx11638, B1=>nx11640); ix12554 : xnor2 port map ( Y=>nx12553, A0=>PRI_IN_4(12), A1=>nx6572); REG_25_reg_q_12 : dff port map ( Q=>reg_25_q_c_12, QB=>OPEN, D=>nx13600, CLK=>CLK); ix13601 : xnor2 port map ( Y=>nx13600, A0=>nx12563, A1=>nx13598); ix12564 : aoi22 port map ( Y=>nx12563, A0=>nx12263, A1=>reg_36_q_c_11, B0 =>nx11682, B1=>nx12496); REG_36_reg_q_12 : dff port map ( Q=>reg_36_q_c_12, QB=>nx12836, D=> nx13590, CLK=>CLK); ix13591 : xor2 port map ( Y=>nx13590, A0=>nx12568, A1=>nx12571); ix12569 : aoi22 port map ( Y=>nx12568, A0=>reg_43_q_c_11, A1=> PRI_IN_1(11), B0=>nx11690, B1=>nx12486); REG_43_reg_q_12 : dff port map ( Q=>reg_43_q_c_12, QB=>nx12835, D=> nx13580, CLK=>CLK); ix13581 : xnor2 port map ( Y=>nx13580, A0=>nx12577, A1=>nx16103); ix13579 : xnor2 port map ( Y=>nx13578, A0=>nx12580, A1=>nx12799); ix12581 : mux21 port map ( Y=>nx12580, A0=>PRI_IN_2(12), A1=> reg_31_q_c_12, S0=>C_MUX2_22_SEL); REG_31_reg_q_12 : dff port map ( Q=>reg_31_q_c_12, QB=>nx12797, D=> nx13566, CLK=>CLK); ix13567 : xor2 port map ( Y=>nx13566, A0=>nx12585, A1=>nx12589); ix12586 : aoi22 port map ( Y=>nx12585, A0=>reg_48_q_c_11, A1=> reg_12_q_c_11, B0=>nx11712, B1=>nx12462); REG_48_reg_q_12 : dff port map ( Q=>reg_48_q_c_12, QB=>nx12796, D=> nx13116, CLK=>CLK); ix13117 : xnor2 port map ( Y=>nx13116, A0=>nx12904, A1=>nx12595); ix12905 : oai22 port map ( Y=>nx12904, A0=>nx12080, A1=>nx12089, B0=> nx12513, B1=>nx12291); REG_46_reg_q_12 : dff port map ( Q=>reg_46_q_c_12, QB=>nx12795, D=> nx13106, CLK=>CLK); ix13107 : xor2 port map ( Y=>nx13106, A0=>nx12914, A1=>nx13104); ix12915 : mux21 port map ( Y=>nx12914, A0=>nx12000, A1=>nx12094, S0=> nx12002); ix13105 : xnor2 port map ( Y=>nx13104, A0=>nx6577, A1=>nx13102); ix13087 : ao21 port map ( Y=>nx6577, A0=>C_MUX2_15_SEL, A1=>reg_34_q_c_12, B0=>nx12926); REG_34_reg_q_12 : dff port map ( Q=>reg_34_q_c_12, QB=>OPEN, D=>nx13076, CLK=>CLK); ix13077 : xnor2 port map ( Y=>nx13076, A0=>nx12934, A1=>nx12607); ix12935 : oai22 port map ( Y=>nx12934, A0=>nx12109, A1=>nx12113, B0=> nx12405, B1=>nx12129); REG_45_reg_q_12 : dff port map ( Q=>reg_45_q_c_12, QB=>nx12619, D=> nx13126, CLK=>CLK); ix13127 : xnor2 port map ( Y=>nx13126, A0=>nx12896, A1=>nx12617); ix12897 : oai22 port map ( Y=>nx12896, A0=>nx12119, A1=>nx12127, B0=> nx12612, B1=>nx12292); ix13073 : ao21 port map ( Y=>nx13072, A0=>C_MUX2_7_SEL, A1=>reg_5_q_c_12, B0=>nx13068); REG_5_reg_q_12 : dff port map ( Q=>reg_5_q_c_12, QB=>OPEN, D=>nx13154, CLK=>CLK); ix13155 : xor2 port map ( Y=>nx13154, A0=>nx12625, A1=>nx12629); ix12626 : aoi22 port map ( Y=>nx12625, A0=>reg_50_q_c_11, A1=> reg_45_q_c_11, B0=>nx11786, B1=>nx12050); REG_50_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12639, D=>nx13144, CLK=> CLK); ix13145 : xor2 port map ( Y=>nx13144, A0=>nx12634, A1=>nx12637); ix12635 : aoi22 port map ( Y=>nx12634, A0=>reg_45_q_c_11, A1=> reg_44_q_c_11, B0=>nx12038, B1=>nx12040); ix13069 : nor02 port map ( Y=>nx13068, A0=>C_MUX2_7_SEL, A1=>nx12643); ix12644 : mux21 port map ( Y=>nx12643, A0=>reg_3_q_c_12, A1=>reg_8_q_c_12, S0=>C_MUX2_14_SEL); REG_3_reg_q_12 : dff port map ( Q=>reg_3_q_c_12, QB=>OPEN, D=>nx13030, CLK=>CLK); ix13031 : xor2 port map ( Y=>nx13030, A0=>nx12648, A1=>nx12651); ix12649 : aoi22 port map ( Y=>nx12648, A0=>nx14833, A1=>reg_37_q_c_11, B0 =>nx11840, B1=>nx11926); REG_37_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12768, D=>nx13020, CLK=> CLK); ix13021 : xnor2 port map ( Y=>nx13020, A0=>nx12657, A1=>nx13018); ix12658 : aoi22 port map ( Y=>nx12657, A0=>nx12261, A1=>reg_20_q_c_11, B0 =>nx11850, B1=>nx11916); ix13019 : xnor2 port map ( Y=>nx13018, A0=>PRI_OUT_7_12_EXMPLR, A1=> nx14835); REG_16_reg_q_12 : dff port map ( Q=>PRI_OUT_7_12_EXMPLR, QB=>OPEN, D=> nx13010, CLK=>CLK); ix13011 : xor2 port map ( Y=>nx13010, A0=>nx12665, A1=>nx12669); ix12666 : aoi22 port map ( Y=>nx12665, A0=>nx11904, A1=>reg_31_q_c_11, B0 =>nx11858, B1=>nx11906); ix13007 : mux21 port map ( Y=>nx13006, A0=>nx12580, A1=>nx12673, S0=> C_MUX2_3_SEL); ix12997 : xor2 port map ( Y=>nx12996, A0=>nx12677, A1=>nx12681); ix12678 : aoi22 port map ( Y=>nx12677, A0=>reg_49_q_c_11, A1=> reg_46_q_c_11, B0=>nx11870, B1=>nx11892); REG_49_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12766, D=>nx12986, CLK=> CLK); ix12987 : xnor2 port map ( Y=>nx12986, A0=>nx12687, A1=>nx12984); ix12688 : mux21 port map ( Y=>nx12687, A0=>nx12327, A1=>nx11880, S0=> nx11882); ix13339 : ao21 port map ( Y=>nx6575, A0=>nx14853, A1=>reg_6_q_c_12, B0=> nx13234); REG_6_reg_q_12 : dff port map ( Q=>reg_6_q_c_12, QB=>OPEN, D=>nx13326, CLK=>CLK); ix13327 : xnor2 port map ( Y=>nx13326, A0=>nx12697, A1=>nx13324); ix12698 : aoi22 port map ( Y=>nx12697, A0=>nx12208, A1=> PRI_OUT_1_11_EXMPLR, B0=>nx12142, B1=>nx12222); ix13325 : xnor2 port map ( Y=>nx13324, A0=>reg_7_q_c_12, A1=> PRI_OUT_1_12_EXMPLR); REG_7_reg_q_12 : dff port map ( Q=>reg_7_q_c_12, QB=>OPEN, D=>nx13178, CLK=>CLK); ix13179 : xnor2 port map ( Y=>nx13178, A0=>nx12705, A1=>nx13176); ix12706 : aoi22 port map ( Y=>nx12705, A0=>nx12183, A1=> PRI_OUT_9_11_EXMPLR, B0=>nx12072, B1=>nx12074); REG_30_reg_q_12 : dff port map ( Q=>reg_30_q_c_12, QB=>nx12673, D=> nx12996, CLK=>CLK); REG_10_reg_q_12 : dff port map ( Q=>PRI_OUT_1_12_EXMPLR, QB=>OPEN, D=> nx13316, CLK=>CLK); ix13317 : xnor2 port map ( Y=>nx13316, A0=>nx12715, A1=>nx13314); ix12716 : aoi22 port map ( Y=>nx12715, A0=>nx12237, A1=>PRI_IN_1(11), B0 =>nx12152, B1=>nx12212); ix13315 : xnor2 port map ( Y=>nx13314, A0=>PRI_IN_1(12), A1=> reg_35_q_c_12); REG_35_reg_q_12 : dff port map ( Q=>reg_35_q_c_12, QB=>OPEN, D=>nx13306, CLK=>CLK); ix13307 : xnor2 port map ( Y=>nx13306, A0=>nx12723, A1=>nx13304); ix12724 : aoi22 port map ( Y=>nx12723, A0=>nx12236, A1=>PRI_IN_6(11), B0 =>nx12162, B1=>nx12202); ix13305 : xnor2 port map ( Y=>nx13304, A0=>PRI_IN_6(12), A1=> reg_42_q_c_12); REG_42_reg_q_12 : dff port map ( Q=>reg_42_q_c_12, QB=>OPEN, D=>nx13296, CLK=>CLK); ix13297 : xnor2 port map ( Y=>nx13296, A0=>nx12731, A1=>nx13294); ix12732 : aoi22 port map ( Y=>nx12731, A0=>nx12235, A1=>reg_20_q_c_11, B0 =>nx12172, B1=>nx12192); ix13295 : xnor2 port map ( Y=>nx13294, A0=>nx14835, A1=>reg_40_q_c_12); REG_40_reg_q_12 : dff port map ( Q=>reg_40_q_c_12, QB=>OPEN, D=>nx13286, CLK=>CLK); ix13287 : xor2 port map ( Y=>nx13286, A0=>nx12739, A1=>nx12743); ix12740 : aoi22 port map ( Y=>nx12739, A0=>reg_36_q_c_11, A1=> PRI_IN_6(11), B0=>nx12180, B1=>nx12182); ix13235 : nor02 port map ( Y=>nx13234, A0=>nx14853, A1=>nx12751); ix13221 : nor02 port map ( Y=>nx13220, A0=>C_MUX2_2_SEL, A1=>nx12757); ix12758 : mux21 port map ( Y=>nx12757, A0=>PRI_IN_6(12), A1=>reg_9_q_c_12, S0=>C_MUX2_24_SEL); REG_9_reg_q_12 : dff port map ( Q=>reg_9_q_c_12, QB=>OPEN, D=>nx13202, CLK=>CLK); ix13203 : xnor2 port map ( Y=>nx13202, A0=>nx12862, A1=>nx12763); ix12863 : oai22 port map ( Y=>nx12862, A0=>nx12253, A1=>nx12257, B0=> nx6571, B1=>nx12333); ix12764 : xnor2 port map ( Y=>nx12763, A0=>nx14835, A1=>nx12524); REG_8_reg_q_12 : dff port map ( Q=>reg_8_q_c_12, QB=>OPEN, D=>nx13054, CLK=>CLK); ix13055 : xnor2 port map ( Y=>nx13054, A0=>nx13050, A1=>nx12773); ix13051 : mux21 port map ( Y=>nx13050, A0=>nx12269, A1=>nx6569, S0=> nx12271); ix12774 : xnor2 port map ( Y=>nx12773, A0=>nx6577, A1=>nx12775); ix12776 : mux21 port map ( Y=>nx12775, A0=>reg_23_q_c_12, A1=> PRI_IN_9(12), S0=>C_MUX2_16_SEL); REG_23_reg_q_12 : dff port map ( Q=>reg_23_q_c_12, QB=>OPEN, D=>nx13632, CLK=>CLK); ix13633 : xnor2 port map ( Y=>nx13632, A0=>nx12781, A1=>nx13630); ix12782 : aoi22 port map ( Y=>nx12781, A0=>nx12391, A1=>reg_19_q_c_11, B0 =>nx11602, B1=>nx12528); ix12927 : nor02 port map ( Y=>nx12926, A0=>C_MUX2_15_SEL, A1=>nx12789); ix12790 : mux21 port map ( Y=>nx12789, A0=>PRI_IN_8(12), A1=>PRI_IN_3(12), S0=>C_MUX2_9_SEL); ix13103 : mux21 port map ( Y=>nx13102, A0=>nx12793, A1=>nx12504, S0=> C_MUX2_13_SEL); ix12794 : mux21 port map ( Y=>nx12793, A0=>nx14835, A1=>reg_22_q_c_12, S0 =>C_MUX2_19_SEL); ix12800 : mux21 port map ( Y=>nx12799, A0=>nx6575, A1=>reg_2_q_c_12, S0=> C_MUX2_11_SEL); REG_2_reg_q_12 : dff port map ( Q=>reg_2_q_c_12, QB=>OPEN, D=>nx13400, CLK=>CLK); ix13401 : xor2 port map ( Y=>nx13400, A0=>nx12805, A1=>nx12809); ix12806 : aoi22 port map ( Y=>nx12805, A0=>reg_46_q_c_11, A1=> reg_28_q_c_11, B0=>nx12248, B1=>nx12296); REG_28_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12831, D=>nx13390, CLK=> CLK); ix13391 : xor2 port map ( Y=>nx13390, A0=>nx13358, A1=>nx13388); ix13359 : mux21 port map ( Y=>nx13358, A0=>nx12313, A1=>nx12305, S0=> nx12286); ix13389 : xnor2 port map ( Y=>nx13388, A0=>PRI_IN_5(12), A1=>nx12819); ix12820 : mux21 port map ( Y=>nx12819, A0=>reg_32_q_c_12, A1=>nx13006, S0 =>C_MUX2_10_SEL); REG_32_reg_q_12 : dff port map ( Q=>reg_32_q_c_12, QB=>OPEN, D=>nx13372, CLK=>CLK); ix13373 : xor2 port map ( Y=>nx13372, A0=>nx13368, A1=>nx13370); ix13369 : mux21 port map ( Y=>nx13368, A0=>nx6571, A1=>nx12319, S0=> nx12268); ix13371 : xnor2 port map ( Y=>nx13370, A0=>nx12524, A1=>nx12504); ix12842 : mux21 port map ( Y=>nx12841, A0=>reg_5_q_c_12, A1=>reg_7_q_c_12, S0=>C_MUX2_8_SEL); ix13415 : nor02 port map ( Y=>nx13414, A0=>C_MUX2_17_SEL, A1=>nx12799); REG_29_reg_q_12 : dff port map ( Q=>reg_29_q_c_12, QB=>OPEN, D=>nx13508, CLK=>CLK); ix12858 : xnor2 port map ( Y=>nx12857, A0=>PRI_OUT_3_12_EXMPLR, A1=> PRI_OUT_2_12_EXMPLR); REG_11_reg_q_12 : dff port map ( Q=>reg_11_q_c_12, QB=>OPEN, D=>nx13484, CLK=>CLK); ix13485 : xnor2 port map ( Y=>nx13484, A0=>nx12865, A1=>nx13482); ix12866 : aoi22 port map ( Y=>nx12865, A0=>nx6569, A1=>reg_47_q_c_11, B0 =>nx12360, B1=>nx12380); REG_47_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12877, D=>nx13474, CLK=> CLK); ix13475 : xnor2 port map ( Y=>nx13474, A0=>nx12873, A1=>nx13472); ix12874 : aoi22 port map ( Y=>nx12873, A0=>nx11962, A1=>reg_20_q_c_11, B0 =>nx12368, B1=>nx12370); ix13455 : nor02 port map ( Y=>nx13454, A0=>nx16251, A1=>nx12881); ix12882 : mux21 port map ( Y=>nx12881, A0=>PRI_OUT_2_12_EXMPLR, A1=> reg_12_q_c_12, S0=>C_MUX2_25_SEL); ix13651 : nor02 port map ( Y=>nx13650, A0=>C_MUX2_12_SEL, A1=>nx12775); ix13743 : ao21 port map ( Y=>PRI_OUT_0_12_EXMPLR, A0=>C_MUX2_5_SEL, A1=> reg_1_q_c_12, B0=>nx13718); REG_1_reg_q_12 : dff port map ( Q=>reg_1_q_c_12, QB=>OPEN, D=>nx13732, CLK=>CLK); ix13733 : xnor2 port map ( Y=>nx13732, A0=>nx12905, A1=>nx13730); ix12906 : aoi22 port map ( Y=>nx12905, A0=>nx12208, A1=> PRI_OUT_5_11_EXMPLR, B0=>nx12626, B1=>nx12628); ix13731 : xnor2 port map ( Y=>nx13730, A0=>reg_7_q_c_12, A1=> PRI_OUT_5_12_EXMPLR); ix13719 : nor02 port map ( Y=>nx13718, A0=>C_MUX2_5_SEL, A1=>nx12911); REG_18_reg_q_13 : dff port map ( Q=>PRI_OUT_9_13_EXMPLR, QB=>OPEN, D=> nx14848, CLK=>CLK); ix14849 : xnor2 port map ( Y=>nx14848, A0=>nx13760, A1=>nx12925); ix12926 : xnor2 port map ( Y=>nx12925, A0=>reg_44_q_c_13, A1=> PRI_OUT_0_13_EXMPLR); REG_44_reg_q_13 : dff port map ( Q=>reg_44_q_c_13, QB=>OPEN, D=>nx14810, CLK=>CLK); ix14811 : xnor2 port map ( Y=>nx14810, A0=>nx13768, A1=>nx12933); ix13769 : oai22 port map ( Y=>nx13768, A0=>nx12423, A1=>nx12427, B0=> nx12555, B1=>nx12897); REG_27_reg_q_13 : dff port map ( Q=>reg_27_q_c_13, QB=>nx13397, D=> nx14800, CLK=>CLK); ix14801 : xor2 port map ( Y=>nx14800, A0=>nx13778, A1=>nx14798); ix13779 : mux21 port map ( Y=>nx13778, A0=>reg_35_q_c_12, A1=>nx12431, S0 =>nx13696); REG_26_reg_q_13 : dff port map ( Q=>reg_26_q_c_13, QB=>OPEN, D=>nx14790, CLK=>CLK); ix14791 : xor2 port map ( Y=>nx14790, A0=>nx13788, A1=>nx14788); ix13789 : mux21 port map ( Y=>nx13788, A0=>reg_34_q_c_12, A1=>nx12438, S0 =>nx13686); REG_14_reg_q_13 : dff port map ( Q=>PRI_OUT_5_13_EXMPLR, QB=>OPEN, D=> nx14780, CLK=>CLK); ix14781 : xnor2 port map ( Y=>nx14780, A0=>nx12955, A1=>nx14778); ix12956 : mux21 port map ( Y=>nx12955, A0=>nx6573, A1=>nx12694, S0=> nx13676); ix14779 : xnor2 port map ( Y=>nx14778, A0=>nx6583, A1=>nx14879); REG_21_reg_q_13 : dff port map ( Q=>reg_21_q_c_13, QB=>OPEN, D=>nx14766, CLK=>CLK); ix14767 : xor2 port map ( Y=>nx14766, A0=>nx14762, A1=>nx14764); REG_12_reg_q_13 : dff port map ( Q=>reg_12_q_c_13, QB=>OPEN, D=>nx14658, CLK=>CLK); ix14659 : xor2 port map ( Y=>nx14658, A0=>nx13926, A1=>nx14656); ix13927 : mux21 port map ( Y=>nx13926, A0=>reg_33_q_c_12, A1=>nx12457, S0 =>nx13554); REG_33_reg_q_13 : dff port map ( Q=>OPEN, QB=>nx13391, D=>nx14648, CLK=> CLK); ix14649 : xnor2 port map ( Y=>nx14648, A0=>nx13936, A1=>nx12987); ix13937 : mux21 port map ( Y=>nx13936, A0=>nx12463, A1=>nx6573, S0=> nx12469); ix12988 : xnor2 port map ( Y=>nx12987, A0=>reg_39_q_c_13, A1=>nx14879); REG_39_reg_q_13 : dff port map ( Q=>reg_39_q_c_13, QB=>OPEN, D=>nx14638, CLK=>CLK); ix14639 : xnor2 port map ( Y=>nx14638, A0=>nx13944, A1=>nx12992); ix13945 : oai22 port map ( Y=>nx13944, A0=>nx12473, A1=>nx12477, B0=> nx12877, B1=>nx12885); ix12993 : xnor2 port map ( Y=>nx12992, A0=>reg_22_q_c_13, A1=> reg_47_q_c_13); REG_22_reg_q_13 : dff port map ( Q=>reg_22_q_c_13, QB=>OPEN, D=>nx14628, CLK=>CLK); ix14629 : xnor2 port map ( Y=>nx14628, A0=>nx13954, A1=>nx13000); ix13001 : xnor2 port map ( Y=>nx13000, A0=>PRI_OUT_3_13_EXMPLR, A1=> nx13345); ix14539 : ao21 port map ( Y=>PRI_OUT_3_13_EXMPLR, A0=>C_MUX2_17_SEL, A1=> reg_4_q_c_13, B0=>nx14516); REG_4_reg_q_13 : dff port map ( Q=>reg_4_q_c_13, QB=>OPEN, D=>nx14528, CLK=>CLK); ix14529 : xnor2 port map ( Y=>nx14528, A0=>nx14524, A1=>nx13007); ix14525 : oai22 port map ( Y=>nx14524, A0=>nx12492, A1=>nx12495, B0=> nx12797, B1=>nx12843); ix13008 : xnor2 port map ( Y=>nx13007, A0=>nx14837, A1=>reg_31_q_c_13); REG_20_reg_q_13 : dff port map ( Q=>reg_20_q_c_13, QB=>nx13341, D=> nx14294, CLK=>CLK); ix14295 : xor2 port map ( Y=>nx14294, A0=>nx13974, A1=>nx14292); ix13975 : mux21 port map ( Y=>nx13974, A0=>nx13188, A1=>nx12501, S0=> nx13190); ix14293 : xnor2 port map ( Y=>nx14292, A0=>nx13017, A1=>nx13338); ix13018 : mux21 port map ( Y=>nx13017, A0=>PRI_IN_9(13), A1=> reg_19_q_c_13, S0=>C_MUX2_23_SEL); REG_19_reg_q_13 : dff port map ( Q=>reg_19_q_c_13, QB=>OPEN, D=>nx14724, CLK=>CLK); ix14725 : xnor2 port map ( Y=>nx14724, A0=>nx13814, A1=>nx13025); ix13026 : xnor2 port map ( Y=>nx13025, A0=>PRI_IN_7(13), A1=>nx6589); ix14717 : nor02 port map ( Y=>nx14716, A0=>C_MUX2_20_SEL, A1=>nx13029); ix13030 : mux21 port map ( Y=>nx13029, A0=>reg_24_q_c_13, A1=> reg_25_q_c_13, S0=>C_MUX2_1_SEL); REG_24_reg_q_13 : dff port map ( Q=>reg_24_q_c_13, QB=>OPEN, D=>nx13866, CLK=>CLK); ix13867 : xor2 port map ( Y=>nx13866, A0=>nx13824, A1=>nx13864); ix13825 : mux21 port map ( Y=>nx13824, A0=>reg_41_q_c_12, A1=>nx12529, S0 =>nx12762); REG_41_reg_q_13 : dff port map ( Q=>OPEN, QB=>nx13055, D=>nx13856, CLK=> CLK); ix13857 : xor2 port map ( Y=>nx13856, A0=>nx13834, A1=>nx13854); ix13835 : oai22 port map ( Y=>nx13834, A0=>nx12535, A1=>nx13039, B0=> PRI_IN_0(12), B1=>nx12555); ix13855 : xnor2 port map ( Y=>nx13854, A0=>PRI_IN_0(13), A1=> reg_38_q_c_13); REG_38_reg_q_13 : dff port map ( Q=>reg_38_q_c_13, QB=>OPEN, D=>nx13846, CLK=>CLK); ix13847 : xnor2 port map ( Y=>nx13846, A0=>nx13842, A1=>nx13051); ix13052 : xnor2 port map ( Y=>nx13051, A0=>PRI_IN_4(13), A1=>nx6583); REG_25_reg_q_13 : dff port map ( Q=>reg_25_q_c_13, QB=>OPEN, D=>nx14702, CLK=>CLK); ix14703 : xor2 port map ( Y=>nx14702, A0=>nx13886, A1=>nx14700); REG_36_reg_q_13 : dff port map ( Q=>reg_36_q_c_13, QB=>OPEN, D=>nx14692, CLK=>CLK); ix14693 : xnor2 port map ( Y=>nx14692, A0=>nx13894, A1=>nx13071); ix13895 : oai22 port map ( Y=>nx13894, A0=>nx12568, A1=>nx12571, B0=> nx12835, B1=>nx13069); ix13070 : inv02 port map ( Y=>nx13069, A=>PRI_IN_1(12)); REG_43_reg_q_13 : dff port map ( Q=>reg_43_q_c_13, QB=>nx13333, D=> nx14682, CLK=>CLK); ix14683 : xor2 port map ( Y=>nx14682, A0=>nx13904, A1=>nx16105); ix14681 : xnor2 port map ( Y=>nx14680, A0=>nx14879, A1=>nx13307); ix13083 : mux21 port map ( Y=>nx13082, A0=>PRI_IN_2(13), A1=> reg_31_q_c_13, S0=>C_MUX2_22_SEL); REG_31_reg_q_13 : dff port map ( Q=>reg_31_q_c_13, QB=>OPEN, D=>nx14668, CLK=>CLK); ix14669 : xnor2 port map ( Y=>nx14668, A0=>nx13916, A1=>nx13089); ix13917 : oai22 port map ( Y=>nx13916, A0=>nx12585, A1=>nx12589, B0=> nx12796, B1=>nx12890); REG_48_reg_q_13 : dff port map ( Q=>reg_48_q_c_13, QB=>nx13303, D=> nx14218, CLK=>CLK); ix14219 : xor2 port map ( Y=>nx14218, A0=>nx13093, A1=>nx13103); ix13094 : aoi22 port map ( Y=>nx13093, A0=>nx6581, A1=>reg_46_q_c_12, B0 =>nx12904, B1=>nx13114); ix13104 : xnor2 port map ( Y=>nx13103, A0=>nx14839, A1=>nx6589); REG_46_reg_q_13 : dff port map ( Q=>reg_46_q_c_13, QB=>nx13302, D=> nx14208, CLK=>CLK); ix14209 : xnor2 port map ( Y=>nx14208, A0=>nx13109, A1=>nx14206); ix13110 : mux21 port map ( Y=>nx13109, A0=>nx13111, A1=>nx12914, S0=> nx13104); ix14207 : xnor2 port map ( Y=>nx14206, A0=>nx6586, A1=>nx14204); ix14189 : ao21 port map ( Y=>nx6586, A0=>C_MUX2_15_SEL, A1=>reg_34_q_c_13, B0=>nx14028); REG_34_reg_q_13 : dff port map ( Q=>reg_34_q_c_13, QB=>nx13295, D=> nx14178, CLK=>CLK); ix14179 : xor2 port map ( Y=>nx14178, A0=>nx13123, A1=>nx13127); ix13124 : aoi22 port map ( Y=>nx13123, A0=>nx13072, A1=>reg_45_q_c_12, B0 =>nx12934, B1=>nx13074); REG_45_reg_q_13 : dff port map ( Q=>reg_45_q_c_13, QB=>nx13143, D=> nx14228, CLK=>CLK); ix14229 : xor2 port map ( Y=>nx14228, A0=>nx13131, A1=>nx13141); ix13132 : aoi22 port map ( Y=>nx13131, A0=>nx6577, A1=>reg_48_q_c_12, B0 =>nx12896, B1=>nx13124); ix14175 : ao21 port map ( Y=>nx14174, A0=>C_MUX2_7_SEL, A1=>reg_5_q_c_13, B0=>nx14170); REG_5_reg_q_13 : dff port map ( Q=>reg_5_q_c_13, QB=>OPEN, D=>nx14256, CLK=>CLK); ix14257 : xnor2 port map ( Y=>nx14256, A0=>nx13990, A1=>nx13149); ix13991 : oai22 port map ( Y=>nx13990, A0=>nx12625, A1=>nx12629, B0=> nx12639, B1=>nx12619); REG_50_reg_q_13 : dff port map ( Q=>reg_50_q_c_13, QB=>OPEN, D=>nx14246, CLK=>CLK); ix14247 : xnor2 port map ( Y=>nx14246, A0=>nx14242, A1=>nx13155); ix14243 : oai22 port map ( Y=>nx14242, A0=>nx12634, A1=>nx12637, B0=> nx12619, B1=>nx12898); ix14171 : nor02 port map ( Y=>nx14170, A0=>C_MUX2_7_SEL, A1=>nx13160); ix13161 : mux21 port map ( Y=>nx13160, A0=>reg_3_q_c_13, A1=>reg_8_q_c_13, S0=>C_MUX2_14_SEL); REG_3_reg_q_13 : dff port map ( Q=>reg_3_q_c_13, QB=>OPEN, D=>nx14132, CLK=>CLK); ix14133 : xnor2 port map ( Y=>nx14132, A0=>nx14044, A1=>nx13165); ix14045 : oai22 port map ( Y=>nx14044, A0=>nx12648, A1=>nx12651, B0=> nx14925, B1=>nx12768); REG_37_reg_q_13 : dff port map ( Q=>reg_37_q_c_13, QB=>nx13275, D=> nx14122, CLK=>CLK); ix14123 : xor2 port map ( Y=>nx14122, A0=>nx14054, A1=>nx14120); ix14055 : oai22 port map ( Y=>nx14054, A0=>nx12657, A1=>nx13173, B0=> PRI_OUT_7_12_EXMPLR, B1=>nx12843); REG_16_reg_q_13 : dff port map ( Q=>PRI_OUT_7(13), QB=>nx13273, D=> nx14112, CLK=>CLK); ix14113 : xnor2 port map ( Y=>nx14112, A0=>nx14062, A1=>nx13184); ix14063 : oai22 port map ( Y=>nx14062, A0=>nx12665, A1=>nx12669, B0=> nx13182, B1=>nx12797); ix13185 : xnor2 port map ( Y=>nx13184, A0=>reg_31_q_c_13, A1=>nx14108); ix14109 : mux21 port map ( Y=>nx14108, A0=>nx14879, A1=>nx13187, S0=> C_MUX2_3_SEL); ix14099 : xnor2 port map ( Y=>nx14098, A0=>nx14074, A1=>nx13193); ix14075 : oai22 port map ( Y=>nx14074, A0=>nx12677, A1=>nx12681, B0=> nx12766, B1=>nx14925); ix13194 : xnor2 port map ( Y=>nx13193, A0=>nx14839, A1=>reg_49_q_c_13); REG_49_reg_q_13 : dff port map ( Q=>reg_49_q_c_13, QB=>OPEN, D=>nx14088, CLK=>CLK); ix14089 : xor2 port map ( Y=>nx14088, A0=>nx14084, A1=>nx14086); ix14085 : mux21 port map ( Y=>nx14084, A0=>reg_43_q_c_12, A1=>nx12687, S0 =>nx12984); ix14441 : ao21 port map ( Y=>nx6585, A0=>nx14853, A1=>reg_6_q_c_13, B0=> nx14336); REG_6_reg_q_13 : dff port map ( Q=>reg_6_q_c_13, QB=>OPEN, D=>nx14428, CLK=>CLK); ix14429 : xor2 port map ( Y=>nx14428, A0=>nx14346, A1=>nx14426); ix14347 : mux21 port map ( Y=>nx14346, A0=>reg_7_q_c_12, A1=>nx12697, S0 =>nx13324); REG_7_reg_q_13 : dff port map ( Q=>reg_7_q_c_13, QB=>nx13211, D=>nx14280, CLK=>CLK); ix14281 : xor2 port map ( Y=>nx14280, A0=>nx14276, A1=>nx14278); ix14277 : mux21 port map ( Y=>nx14276, A0=>reg_30_q_c_12, A1=>nx12705, S0 =>nx13176); REG_30_reg_q_13 : dff port map ( Q=>reg_30_q_c_13, QB=>nx13187, D=> nx14098, CLK=>CLK); REG_10_reg_q_13 : dff port map ( Q=>PRI_OUT_1_13_EXMPLR, QB=>OPEN, D=> nx14418, CLK=>CLK); ix14419 : xor2 port map ( Y=>nx14418, A0=>nx14356, A1=>nx14416); ix14357 : mux21 port map ( Y=>nx14356, A0=>reg_35_q_c_12, A1=>nx12715, S0 =>nx13314); REG_35_reg_q_13 : dff port map ( Q=>OPEN, QB=>nx13246, D=>nx14408, CLK=> CLK); ix14409 : xor2 port map ( Y=>nx14408, A0=>nx14366, A1=>nx14406); ix14367 : mux21 port map ( Y=>nx14366, A0=>reg_42_q_c_12, A1=>nx12723, S0 =>nx13304); REG_42_reg_q_13 : dff port map ( Q=>OPEN, QB=>nx13245, D=>nx14398, CLK=> CLK); ix14399 : xor2 port map ( Y=>nx14398, A0=>nx14376, A1=>nx14396); ix14377 : oai22 port map ( Y=>nx14376, A0=>nx12731, A1=>nx13229, B0=> reg_40_q_c_12, B1=>nx12843); REG_40_reg_q_13 : dff port map ( Q=>OPEN, QB=>nx13243, D=>nx14388, CLK=> CLK); ix14389 : xnor2 port map ( Y=>nx14388, A0=>nx14384, A1=>nx13241); ix14385 : oai22 port map ( Y=>nx14384, A0=>nx12739, A1=>nx12743, B0=> nx12836, B1=>nx13239); ix13240 : inv02 port map ( Y=>nx13239, A=>PRI_IN_6(12)); ix13242 : xnor2 port map ( Y=>nx13241, A0=>PRI_IN_6(13), A1=> reg_36_q_c_13); ix14337 : nor02 port map ( Y=>nx14336, A0=>nx6904, A1=>nx13251); ix13252 : mux21 port map ( Y=>nx13251, A0=>nx14326, A1=>reg_7_q_c_13, S0 =>C_MUX2_18_SEL); ix14327 : ao21 port map ( Y=>nx14326, A0=>PRI_IN_1(13), A1=>C_MUX2_2_SEL, B0=>nx14322); ix14323 : nor02 port map ( Y=>nx14322, A0=>C_MUX2_2_SEL, A1=>nx13257); ix13258 : mux21 port map ( Y=>nx13257, A0=>PRI_IN_6(13), A1=>reg_9_q_c_13, S0=>C_MUX2_24_SEL); REG_9_reg_q_13 : dff port map ( Q=>reg_9_q_c_13, QB=>OPEN, D=>nx14304, CLK=>CLK); ix14305 : xor2 port map ( Y=>nx14304, A0=>nx13263, A1=>nx13267); ix13264 : aoi22 port map ( Y=>nx13263, A0=>nx12524, A1=>reg_20_q_c_12, B0 =>nx12862, B1=>nx13200); ix13268 : xnor2 port map ( Y=>nx13267, A0=>nx14837, A1=>nx13029); REG_8_reg_q_13 : dff port map ( Q=>reg_8_q_c_13, QB=>OPEN, D=>nx14156, CLK=>CLK); ix14157 : xor2 port map ( Y=>nx14156, A0=>nx13281, A1=>nx13283); ix13282 : mux21 port map ( Y=>nx13281, A0=>nx13050, A1=>nx12775, S0=> nx12773); ix13284 : xnor2 port map ( Y=>nx13283, A0=>nx6586, A1=>nx13285); ix13286 : mux21 port map ( Y=>nx13285, A0=>reg_23_q_c_13, A1=> PRI_IN_9(13), S0=>C_MUX2_16_SEL); REG_23_reg_q_13 : dff port map ( Q=>reg_23_q_c_13, QB=>OPEN, D=>nx14734, CLK=>CLK); ix14735 : xor2 port map ( Y=>nx14734, A0=>nx13806, A1=>nx14732); ix13807 : mux21 port map ( Y=>nx13806, A0=>reg_27_q_c_12, A1=>nx12781, S0 =>nx13630); ix14029 : nor02 port map ( Y=>nx14028, A0=>C_MUX2_15_SEL, A1=>nx13297); ix13298 : mux21 port map ( Y=>nx13297, A0=>PRI_IN_8(13), A1=>PRI_IN_3(13), S0=>C_MUX2_9_SEL); ix14205 : mux21 port map ( Y=>nx14204, A0=>nx13300, A1=>nx13017, S0=> C_MUX2_13_SEL); ix13301 : mux21 port map ( Y=>nx13300, A0=>nx14837, A1=>reg_22_q_c_13, S0 =>C_MUX2_19_SEL); ix13308 : mux21 port map ( Y=>nx13307, A0=>nx6585, A1=>reg_2_q_c_13, S0=> C_MUX2_11_SEL); REG_2_reg_q_13 : dff port map ( Q=>reg_2_q_c_13, QB=>OPEN, D=>nx14502, CLK=>CLK); ix14503 : xnor2 port map ( Y=>nx14502, A0=>nx14452, A1=>nx13312); ix14453 : oai22 port map ( Y=>nx14452, A0=>nx12805, A1=>nx12809, B0=> nx14925, B1=>nx12831); REG_28_reg_q_13 : dff port map ( Q=>reg_28_q_c_13, QB=>nx13331, D=> nx14492, CLK=>CLK); ix14493 : xnor2 port map ( Y=>nx14492, A0=>nx13317, A1=>nx14490); ix13318 : aoi22 port map ( Y=>nx13317, A0=>nx13386, A1=>PRI_IN_5(12), B0 =>nx13358, B1=>nx13388); ix14491 : xnor2 port map ( Y=>nx14490, A0=>PRI_IN_5(13), A1=>nx13322); ix13323 : mux21 port map ( Y=>nx13322, A0=>reg_32_q_c_13, A1=>nx14108, S0 =>C_MUX2_10_SEL); REG_32_reg_q_13 : dff port map ( Q=>reg_32_q_c_13, QB=>OPEN, D=>nx14474, CLK=>CLK); ix14475 : xnor2 port map ( Y=>nx14474, A0=>nx13327, A1=>nx14472); ix13328 : mux21 port map ( Y=>nx13327, A0=>nx12524, A1=>nx13368, S0=> nx13370); ix14473 : xnor2 port map ( Y=>nx14472, A0=>nx13029, A1=>nx13017); ix13340 : mux21 port map ( Y=>nx13338, A0=>reg_5_q_c_13, A1=>reg_7_q_c_13, S0=>C_MUX2_8_SEL); ix14517 : nor02 port map ( Y=>nx14516, A0=>C_MUX2_17_SEL, A1=>nx13307); REG_29_reg_q_13 : dff port map ( Q=>reg_29_q_c_13, QB=>OPEN, D=>nx14610, CLK=>CLK); ix13356 : xnor2 port map ( Y=>nx13355, A0=>PRI_OUT_3_13_EXMPLR, A1=> PRI_OUT_2_13_EXMPLR); REG_11_reg_q_13 : dff port map ( Q=>reg_11_q_c_13, QB=>OPEN, D=>nx14586, CLK=>CLK); ix14587 : xor2 port map ( Y=>nx14586, A0=>nx14564, A1=>nx14584); ix14565 : oai22 port map ( Y=>nx14564, A0=>nx12865, A1=>nx13363, B0=> nx12775, B1=>nx12877); ix14585 : xnor2 port map ( Y=>nx14584, A0=>reg_47_q_c_13, A1=>nx13285); REG_47_reg_q_13 : dff port map ( Q=>reg_47_q_c_13, QB=>OPEN, D=>nx14576, CLK=>CLK); ix14577 : xor2 port map ( Y=>nx14576, A0=>nx14572, A1=>nx14574); ix14573 : oai22 port map ( Y=>nx14572, A0=>nx12873, A1=>nx13376, B0=> nx12643, B1=>nx12843); ix14557 : nor02 port map ( Y=>nx14556, A0=>nx16251, A1=>nx13383); ix13384 : mux21 port map ( Y=>nx13383, A0=>PRI_OUT_2_13_EXMPLR, A1=> reg_12_q_c_13, S0=>C_MUX2_25_SEL); ix14753 : nor02 port map ( Y=>nx14752, A0=>C_MUX2_12_SEL, A1=>nx13285); ix14845 : ao21 port map ( Y=>PRI_OUT_0_13_EXMPLR, A0=>C_MUX2_5_SEL, A1=> reg_1_q_c_13, B0=>nx14820); REG_1_reg_q_13 : dff port map ( Q=>reg_1_q_c_13, QB=>OPEN, D=>nx14834, CLK=>CLK); ix14835 : xor2 port map ( Y=>nx14834, A0=>nx14830, A1=>nx14832); ix14831 : mux21 port map ( Y=>nx14830, A0=>reg_7_q_c_12, A1=>nx12905, S0 =>nx13730); ix14821 : nor02 port map ( Y=>nx14820, A0=>C_MUX2_5_SEL, A1=>nx13409); REG_18_reg_q_14 : dff port map ( Q=>PRI_OUT_9_14_EXMPLR, QB=>OPEN, D=> nx15950, CLK=>CLK); ix15951 : xor2 port map ( Y=>nx15950, A0=>nx15778, A1=>nx13423); REG_44_reg_q_14 : dff port map ( Q=>reg_44_q_c_14, QB=>nx13898, D=> nx15912, CLK=>CLK); ix15913 : xor2 port map ( Y=>nx15912, A0=>nx13429, A1=>nx13432); ix13430 : aoi22 port map ( Y=>nx13429, A0=>reg_38_q_c_13, A1=> reg_27_q_c_13, B0=>nx13768, B1=>nx14808); REG_27_reg_q_14 : dff port map ( Q=>reg_27_q_c_14, QB=>nx13897, D=> nx15902, CLK=>CLK); ix15903 : xnor2 port map ( Y=>nx15902, A0=>nx13437, A1=>nx15900); ix13438 : aoi22 port map ( Y=>nx13437, A0=>nx13246, A1=>reg_26_q_c_13, B0 =>nx13778, B1=>nx14798); ix15901 : xnor2 port map ( Y=>nx15900, A0=>reg_26_q_c_14, A1=> reg_35_q_c_14); REG_26_reg_q_14 : dff port map ( Q=>reg_26_q_c_14, QB=>OPEN, D=>nx15892, CLK=>CLK); ix15893 : xnor2 port map ( Y=>nx15892, A0=>nx13445, A1=>nx15890); ix13446 : aoi22 port map ( Y=>nx13445, A0=>nx13295, A1=> PRI_OUT_5_13_EXMPLR, B0=>nx13788, B1=>nx14788); ix15891 : xnor2 port map ( Y=>nx15890, A0=>PRI_OUT_5_14_EXMPLR, A1=> reg_34_q_c_14); REG_14_reg_q_14 : dff port map ( Q=>PRI_OUT_5_14_EXMPLR, QB=>OPEN, D=> nx15882, CLK=>CLK); ix15883 : xor2 port map ( Y=>nx15882, A0=>nx14898, A1=>nx15880); ix14899 : mux21 port map ( Y=>nx14898, A0=>nx13082, A1=>nx12955, S0=> nx14778); ix15881 : xnor2 port map ( Y=>nx15880, A0=>nx6592, A1=>nx13594); REG_21_reg_q_14 : dff port map ( Q=>reg_21_q_c_14, QB=>OPEN, D=>nx15868, CLK=>CLK); ix15869 : xnor2 port map ( Y=>nx15868, A0=>nx13461, A1=>nx15866); ix13462 : aoi22 port map ( Y=>nx13461, A0=>nx13331, A1=>reg_12_q_c_13, B0 =>nx14762, B1=>nx14764); REG_12_reg_q_14 : dff port map ( Q=>reg_12_q_c_14, QB=>nx13891, D=> nx15760, CLK=>CLK); ix15761 : xnor2 port map ( Y=>nx15760, A0=>nx13469, A1=>nx15758); ix13470 : aoi22 port map ( Y=>nx13469, A0=>nx13391, A1=>PRI_IN_6(13), B0 =>nx13926, B1=>nx14656); ix15759 : xnor2 port map ( Y=>nx15758, A0=>PRI_IN_6(14), A1=> reg_33_q_c_14); REG_33_reg_q_14 : dff port map ( Q=>reg_33_q_c_14, QB=>OPEN, D=>nx15750, CLK=>CLK); ix15751 : xor2 port map ( Y=>nx15750, A0=>nx13477, A1=>nx13483); ix13478 : aoi22 port map ( Y=>nx13477, A0=>nx14879, A1=>reg_39_q_c_13, B0 =>nx13936, B1=>nx14646); ix13484 : xnor2 port map ( Y=>nx13483, A0=>reg_39_q_c_14, A1=>nx13594); REG_39_reg_q_14 : dff port map ( Q=>reg_39_q_c_14, QB=>OPEN, D=>nx15740, CLK=>CLK); ix15741 : xor2 port map ( Y=>nx15740, A0=>nx13488, A1=>nx13491); ix13489 : aoi22 port map ( Y=>nx13488, A0=>reg_47_q_c_13, A1=> reg_22_q_c_13, B0=>nx13944, B1=>nx14636); REG_22_reg_q_14 : dff port map ( Q=>reg_22_q_c_14, QB=>nx13887, D=> nx15730, CLK=>CLK); ix15731 : xor2 port map ( Y=>nx15730, A0=>nx13495, A1=>nx13499); ix13500 : xnor2 port map ( Y=>nx13499, A0=>PRI_OUT_3_14_EXMPLR, A1=> nx13855); ix15641 : ao21 port map ( Y=>PRI_OUT_3_14_EXMPLR, A0=>C_MUX2_17_SEL, A1=> reg_4_q_c_14, B0=>nx15618); REG_4_reg_q_14 : dff port map ( Q=>reg_4_q_c_14, QB=>OPEN, D=>nx15630, CLK=>CLK); ix15631 : xor2 port map ( Y=>nx15630, A0=>nx13507, A1=>nx13511); ix13508 : aoi22 port map ( Y=>nx13507, A0=>reg_31_q_c_13, A1=> reg_20_q_c_13, B0=>nx14524, B1=>nx14526); REG_20_reg_q_14 : dff port map ( Q=>reg_20_q_c_14, QB=>nx13852, D=> nx15396, CLK=>CLK); ix15397 : xnor2 port map ( Y=>nx15396, A0=>nx13515, A1=>nx15394); ix13516 : mux21 port map ( Y=>nx13515, A0=>nx13338, A1=>nx13974, S0=> nx14292); ix15395 : xnor2 port map ( Y=>nx15394, A0=>nx13519, A1=>nx13850); ix13520 : mux21 port map ( Y=>nx13519, A0=>PRI_IN_9(14), A1=> reg_19_q_c_14, S0=>C_MUX2_23_SEL); REG_19_reg_q_14 : dff port map ( Q=>reg_19_q_c_14, QB=>OPEN, D=>nx15826, CLK=>CLK); ix15819 : nor02 port map ( Y=>nx15818, A0=>C_MUX2_20_SEL, A1=>nx13539); ix13540 : mux21 port map ( Y=>nx13539, A0=>reg_24_q_c_14, A1=> reg_25_q_c_14, S0=>C_MUX2_1_SEL); REG_24_reg_q_14 : dff port map ( Q=>reg_24_q_c_14, QB=>OPEN, D=>nx14968, CLK=>CLK); ix14969 : xnor2 port map ( Y=>nx14968, A0=>nx13543, A1=>nx14966); ix13544 : mux21 port map ( Y=>nx13543, A0=>nx13055, A1=>nx13824, S0=> nx13864); ix14967 : xnor2 port map ( Y=>nx14966, A0=>reg_41_q_c_14, A1=>nx6592); REG_41_reg_q_14 : dff port map ( Q=>reg_41_q_c_14, QB=>OPEN, D=>nx14958, CLK=>CLK); ix14959 : xnor2 port map ( Y=>nx14958, A0=>nx13550, A1=>nx14956); ix13551 : aoi22 port map ( Y=>nx13550, A0=>nx13552, A1=>reg_38_q_c_13, B0 =>nx13834, B1=>nx13854); ix13553 : inv02 port map ( Y=>nx13552, A=>PRI_IN_0(13)); REG_38_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13569, D=>nx14948, CLK=> CLK); ix14949 : xor2 port map ( Y=>nx14948, A0=>nx15881, A1=>nx15883); REG_25_reg_q_14 : dff port map ( Q=>reg_25_q_c_14, QB=>OPEN, D=>nx15804, CLK=>CLK); ix15805 : xnor2 port map ( Y=>nx15804, A0=>nx13575, A1=>nx15802); ix13576 : aoi22 port map ( Y=>nx13575, A0=>nx13275, A1=>reg_36_q_c_13, B0 =>nx13886, B1=>nx14700); REG_36_reg_q_14 : dff port map ( Q=>reg_36_q_c_14, QB=>nx13847, D=> nx15794, CLK=>CLK); ix15795 : xor2 port map ( Y=>nx15794, A0=>nx13583, A1=>nx13586); ix13584 : aoi22 port map ( Y=>nx13583, A0=>reg_43_q_c_13, A1=> PRI_IN_1(13), B0=>nx13894, B1=>nx14690); REG_43_reg_q_14 : dff port map ( Q=>reg_43_q_c_14, QB=>nx13845, D=> nx15784, CLK=>CLK); ix15785 : xnor2 port map ( Y=>nx15784, A0=>nx13591, A1=>nx15782); ix15783 : xnor2 port map ( Y=>nx15782, A0=>nx13594, A1=>nx13808); ix13595 : mux21 port map ( Y=>nx13594, A0=>PRI_IN_2(14), A1=> reg_31_q_c_14, S0=>C_MUX2_22_SEL); REG_31_reg_q_14 : dff port map ( Q=>reg_31_q_c_14, QB=>nx13807, D=> nx15770, CLK=>CLK); ix15771 : xor2 port map ( Y=>nx15770, A0=>nx13599, A1=>nx13603); ix13600 : aoi22 port map ( Y=>nx13599, A0=>reg_48_q_c_13, A1=> reg_12_q_c_13, B0=>nx13916, B1=>nx14666); REG_48_reg_q_14 : dff port map ( Q=>reg_48_q_c_14, QB=>nx13805, D=> nx15320, CLK=>CLK); ix15321 : xnor2 port map ( Y=>nx15320, A0=>nx15108, A1=>nx13609); ix15109 : oai22 port map ( Y=>nx15108, A0=>nx13093, A1=>nx13103, B0=> nx13529, B1=>nx13302); REG_46_reg_q_14 : dff port map ( Q=>reg_46_q_c_14, QB=>nx13803, D=> nx15310, CLK=>CLK); ix15311 : xor2 port map ( Y=>nx15310, A0=>nx15118, A1=>nx15308); ix15119 : mux21 port map ( Y=>nx15118, A0=>nx14204, A1=>nx13109, S0=> nx14206); ix15309 : xnor2 port map ( Y=>nx15308, A0=>nx6595, A1=>nx15306); ix15291 : ao21 port map ( Y=>nx6595, A0=>C_MUX2_15_SEL, A1=>reg_34_q_c_14, B0=>nx15130); REG_34_reg_q_14 : dff port map ( Q=>reg_34_q_c_14, QB=>OPEN, D=>nx15280, CLK=>CLK); ix15281 : xnor2 port map ( Y=>nx15280, A0=>nx15138, A1=>nx13625); REG_45_reg_q_14 : dff port map ( Q=>reg_45_q_c_14, QB=>nx13638, D=> nx15330, CLK=>CLK); ix15331 : xnor2 port map ( Y=>nx15330, A0=>nx15100, A1=>nx13636); ix15101 : oai22 port map ( Y=>nx15100, A0=>nx13131, A1=>nx13141, B0=> nx13631, B1=>nx13303); ix15277 : ao21 port map ( Y=>nx15276, A0=>C_MUX2_7_SEL, A1=>reg_5_q_c_14, B0=>nx15272); REG_5_reg_q_14 : dff port map ( Q=>reg_5_q_c_14, QB=>OPEN, D=>nx15358, CLK=>CLK); ix15359 : xor2 port map ( Y=>nx15358, A0=>nx13643, A1=>nx13647); ix13644 : aoi22 port map ( Y=>nx13643, A0=>reg_50_q_c_13, A1=> reg_45_q_c_13, B0=>nx13990, B1=>nx14254); REG_50_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13657, D=>nx15348, CLK=> CLK); ix15349 : xor2 port map ( Y=>nx15348, A0=>nx13651, A1=>nx13655); ix13652 : aoi22 port map ( Y=>nx13651, A0=>reg_45_q_c_13, A1=> reg_44_q_c_13, B0=>nx14242, B1=>nx14244); ix15273 : nor02 port map ( Y=>nx15272, A0=>C_MUX2_7_SEL, A1=>nx13661); ix13662 : mux21 port map ( Y=>nx13661, A0=>reg_3_q_c_14, A1=>reg_8_q_c_14, S0=>C_MUX2_14_SEL); REG_3_reg_q_14 : dff port map ( Q=>reg_3_q_c_14, QB=>OPEN, D=>nx15234, CLK=>CLK); ix15235 : xor2 port map ( Y=>nx15234, A0=>nx13667, A1=>nx13670); ix13668 : aoi22 port map ( Y=>nx13667, A0=>nx14839, A1=>reg_37_q_c_13, B0 =>nx14044, B1=>nx14130); REG_37_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13772, D=>nx15224, CLK=> CLK); ix15225 : xnor2 port map ( Y=>nx15224, A0=>nx13675, A1=>nx15222); ix13676 : aoi22 port map ( Y=>nx13675, A0=>nx13273, A1=>reg_20_q_c_13, B0 =>nx14054, B1=>nx14120); ix15223 : xnor2 port map ( Y=>nx15222, A0=>PRI_OUT_7_14_EXMPLR, A1=> nx14841); REG_16_reg_q_14 : dff port map ( Q=>PRI_OUT_7_14_EXMPLR, QB=>OPEN, D=> nx15214, CLK=>CLK); ix15215 : xor2 port map ( Y=>nx15214, A0=>nx13682, A1=>nx13685); ix13683 : aoi22 port map ( Y=>nx13682, A0=>nx14108, A1=>reg_31_q_c_13, B0 =>nx14062, B1=>nx14110); ix15211 : mux21 port map ( Y=>nx15210, A0=>nx13594, A1=>nx13689, S0=> C_MUX2_3_SEL); ix15201 : xor2 port map ( Y=>nx15200, A0=>nx13692, A1=>nx13695); ix13693 : aoi22 port map ( Y=>nx13692, A0=>reg_49_q_c_13, A1=> reg_46_q_c_13, B0=>nx14074, B1=>nx14096); REG_49_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13770, D=>nx15190, CLK=> CLK); ix15191 : xnor2 port map ( Y=>nx15190, A0=>nx13701, A1=>nx15188); ix13702 : mux21 port map ( Y=>nx13701, A0=>nx13333, A1=>nx14084, S0=> nx14086); ix15543 : ao21 port map ( Y=>nx6594, A0=>nx6904, A1=>reg_6_q_c_14, B0=> nx15438); REG_6_reg_q_14 : dff port map ( Q=>reg_6_q_c_14, QB=>OPEN, D=>nx15530, CLK=>CLK); ix15531 : xnor2 port map ( Y=>nx15530, A0=>nx13707, A1=>nx15528); ix13708 : aoi22 port map ( Y=>nx13707, A0=>nx13211, A1=> PRI_OUT_1_13_EXMPLR, B0=>nx14346, B1=>nx14426); ix15529 : xnor2 port map ( Y=>nx15528, A0=>reg_7_q_c_14, A1=> PRI_OUT_1_14_EXMPLR); REG_7_reg_q_14 : dff port map ( Q=>reg_7_q_c_14, QB=>OPEN, D=>nx15382, CLK=>CLK); ix15383 : xnor2 port map ( Y=>nx15382, A0=>nx13713, A1=>nx15380); ix13714 : aoi22 port map ( Y=>nx13713, A0=>nx13187, A1=> PRI_OUT_9_13_EXMPLR, B0=>nx14276, B1=>nx14278); REG_30_reg_q_14 : dff port map ( Q=>reg_30_q_c_14, QB=>nx13689, D=> nx15200, CLK=>CLK); REG_10_reg_q_14 : dff port map ( Q=>PRI_OUT_1_14_EXMPLR, QB=>OPEN, D=> nx15520, CLK=>CLK); ix15521 : xnor2 port map ( Y=>nx15520, A0=>nx13721, A1=>nx15518); ix13722 : aoi22 port map ( Y=>nx13721, A0=>nx13246, A1=>PRI_IN_1(13), B0 =>nx14356, B1=>nx14416); ix15519 : xnor2 port map ( Y=>nx15518, A0=>PRI_IN_1(14), A1=> reg_35_q_c_14); REG_35_reg_q_14 : dff port map ( Q=>reg_35_q_c_14, QB=>OPEN, D=>nx15510, CLK=>CLK); ix15511 : xnor2 port map ( Y=>nx15510, A0=>nx13729, A1=>nx15508); ix13730 : aoi22 port map ( Y=>nx13729, A0=>nx13245, A1=>PRI_IN_6(13), B0 =>nx14366, B1=>nx14406); ix15509 : xnor2 port map ( Y=>nx15508, A0=>PRI_IN_6(14), A1=> reg_42_q_c_14); REG_42_reg_q_14 : dff port map ( Q=>reg_42_q_c_14, QB=>OPEN, D=>nx15500, CLK=>CLK); ix15501 : xnor2 port map ( Y=>nx15500, A0=>nx13736, A1=>nx15498); ix13737 : aoi22 port map ( Y=>nx13736, A0=>nx13243, A1=>reg_20_q_c_13, B0 =>nx14376, B1=>nx14396); ix15499 : xnor2 port map ( Y=>nx15498, A0=>nx14841, A1=>reg_40_q_c_14); REG_40_reg_q_14 : dff port map ( Q=>reg_40_q_c_14, QB=>OPEN, D=>nx15490, CLK=>CLK); ix15491 : xor2 port map ( Y=>nx15490, A0=>nx13741, A1=>nx13745); ix13742 : aoi22 port map ( Y=>nx13741, A0=>reg_36_q_c_13, A1=> PRI_IN_6(13), B0=>nx14384, B1=>nx14386); ix15439 : nor02 port map ( Y=>nx15438, A0=>nx6904, A1=>nx13753); ix13754 : mux21 port map ( Y=>nx13753, A0=>nx15428, A1=>reg_7_q_c_14, S0 =>C_MUX2_18_SEL); ix15429 : ao21 port map ( Y=>nx15428, A0=>PRI_IN_1(14), A1=>C_MUX2_2_SEL, B0=>nx15424); ix15425 : nor02 port map ( Y=>nx15424, A0=>C_MUX2_2_SEL, A1=>nx13759); ix13760 : mux21 port map ( Y=>nx13759, A0=>PRI_IN_6(14), A1=>reg_9_q_c_14, S0=>C_MUX2_24_SEL); REG_9_reg_q_14 : dff port map ( Q=>reg_9_q_c_14, QB=>OPEN, D=>nx15406, CLK=>CLK); ix15407 : xnor2 port map ( Y=>nx15406, A0=>nx15066, A1=>nx13767); ix15067 : oai22 port map ( Y=>nx15066, A0=>nx13263, A1=>nx13267, B0=> nx6591, B1=>nx13341); ix13768 : xnor2 port map ( Y=>nx13767, A0=>nx14841, A1=>nx13539); REG_8_reg_q_14 : dff port map ( Q=>reg_8_q_c_14, QB=>OPEN, D=>nx15258, CLK=>CLK); ix15259 : xnor2 port map ( Y=>nx15258, A0=>nx15254, A1=>nx13779); ix15255 : mux21 port map ( Y=>nx15254, A0=>nx13281, A1=>nx6587, S0=> nx13283); ix13780 : xnor2 port map ( Y=>nx13779, A0=>nx6595, A1=>nx13781); ix13782 : mux21 port map ( Y=>nx13781, A0=>reg_23_q_c_14, A1=> PRI_IN_9(14), S0=>C_MUX2_16_SEL); REG_23_reg_q_14 : dff port map ( Q=>reg_23_q_c_14, QB=>OPEN, D=>nx15836, CLK=>CLK); ix15837 : xnor2 port map ( Y=>nx15836, A0=>nx13787, A1=>nx15834); ix13788 : aoi22 port map ( Y=>nx13787, A0=>nx13397, A1=>reg_19_q_c_13, B0 =>nx13806, B1=>nx14732); ix15131 : nor02 port map ( Y=>nx15130, A0=>C_MUX2_15_SEL, A1=>nx13797); ix13798 : mux21 port map ( Y=>nx13797, A0=>PRI_IN_8(14), A1=>PRI_IN_3(14), S0=>C_MUX2_9_SEL); ix15307 : mux21 port map ( Y=>nx15306, A0=>nx13801, A1=>nx13519, S0=> C_MUX2_13_SEL); ix13802 : mux21 port map ( Y=>nx13801, A0=>nx14841, A1=>reg_22_q_c_14, S0 =>C_MUX2_19_SEL); ix13810 : mux21 port map ( Y=>nx13808, A0=>nx6594, A1=>reg_2_q_c_14, S0=> C_MUX2_11_SEL); REG_2_reg_q_14 : dff port map ( Q=>reg_2_q_c_14, QB=>OPEN, D=>nx15604, CLK=>CLK); ix15605 : xor2 port map ( Y=>nx15604, A0=>nx13815, A1=>nx13819); ix13816 : aoi22 port map ( Y=>nx13815, A0=>reg_46_q_c_13, A1=> reg_28_q_c_13, B0=>nx14452, B1=>nx14500); REG_28_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13841, D=>nx15594, CLK=> CLK); ix15595 : xor2 port map ( Y=>nx15594, A0=>nx15562, A1=>nx15592); ix15563 : mux21 port map ( Y=>nx15562, A0=>nx13322, A1=>nx13317, S0=> nx14490); ix15593 : xnor2 port map ( Y=>nx15592, A0=>PRI_IN_5(14), A1=>nx13829); ix13830 : mux21 port map ( Y=>nx13829, A0=>reg_32_q_c_14, A1=>nx15210, S0 =>C_MUX2_10_SEL); REG_32_reg_q_14 : dff port map ( Q=>reg_32_q_c_14, QB=>OPEN, D=>nx15576, CLK=>CLK); ix15577 : xor2 port map ( Y=>nx15576, A0=>nx15572, A1=>nx15574); ix15573 : mux21 port map ( Y=>nx15572, A0=>nx6591, A1=>nx13327, S0=> nx14472); ix15575 : xnor2 port map ( Y=>nx15574, A0=>nx13539, A1=>nx13519); ix13851 : mux21 port map ( Y=>nx13850, A0=>reg_5_q_c_14, A1=>reg_7_q_c_14, S0=>C_MUX2_8_SEL); ix15619 : nor02 port map ( Y=>nx15618, A0=>C_MUX2_17_SEL, A1=>nx13808); REG_29_reg_q_14 : dff port map ( Q=>reg_29_q_c_14, QB=>OPEN, D=>nx15712, CLK=>CLK); ix13864 : xnor2 port map ( Y=>nx13863, A0=>PRI_OUT_3_14_EXMPLR, A1=> PRI_OUT_2_14_EXMPLR); REG_11_reg_q_14 : dff port map ( Q=>reg_11_q_c_14, QB=>OPEN, D=>nx15688, CLK=>CLK); ix15689 : xnor2 port map ( Y=>nx15688, A0=>nx13870, A1=>nx15686); ix13871 : aoi22 port map ( Y=>nx13870, A0=>nx6587, A1=>reg_47_q_c_13, B0 =>nx14564, B1=>nx14584); REG_47_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13879, D=>nx15678, CLK=> CLK); ix15679 : xnor2 port map ( Y=>nx15678, A0=>nx13875, A1=>nx15676); ix13876 : aoi22 port map ( Y=>nx13875, A0=>nx14166, A1=>reg_20_q_c_13, B0 =>nx14572, B1=>nx14574); ix15659 : nor02 port map ( Y=>nx15658, A0=>nx16251, A1=>nx13883); ix13884 : mux21 port map ( Y=>nx13883, A0=>PRI_OUT_2_14_EXMPLR, A1=> reg_12_q_c_14, S0=>C_MUX2_25_SEL); ix15855 : nor02 port map ( Y=>nx15854, A0=>C_MUX2_12_SEL, A1=>nx13781); ix15947 : ao21 port map ( Y=>PRI_OUT_0_14_EXMPLR, A0=>C_MUX2_5_SEL, A1=> reg_1_q_c_14, B0=>nx15922); REG_1_reg_q_14 : dff port map ( Q=>reg_1_q_c_14, QB=>OPEN, D=>nx15936, CLK=>CLK); ix15937 : xnor2 port map ( Y=>nx15936, A0=>nx13905, A1=>nx15934); ix13906 : aoi22 port map ( Y=>nx13905, A0=>nx13211, A1=> PRI_OUT_5_13_EXMPLR, B0=>nx14830, B1=>nx14832); ix15935 : xnor2 port map ( Y=>nx15934, A0=>reg_7_q_c_14, A1=> PRI_OUT_5_14_EXMPLR); ix15923 : nor02 port map ( Y=>nx15922, A0=>C_MUX2_5_SEL, A1=>nx13911); REG_18_reg_q_15 : dff port map ( Q=>PRI_OUT_9_15_EXMPLR, QB=>OPEN, D=> nx17052, CLK=>CLK); REG_44_reg_q_15 : dff port map ( Q=>reg_44_q_c_15, QB=>OPEN, D=>nx17014, CLK=>CLK); ix17015 : xnor2 port map ( Y=>nx17014, A0=>nx15972, A1=>nx13933); ix15973 : oai22 port map ( Y=>nx15972, A0=>nx13429, A1=>nx13432, B0=> nx13569, B1=>nx13897); ix13934 : xnor2 port map ( Y=>nx13933, A0=>reg_27_q_c_15, A1=> reg_38_q_c_15); REG_27_reg_q_15 : dff port map ( Q=>reg_27_q_c_15, QB=>OPEN, D=>nx17004, CLK=>CLK); ix17005 : xnor2 port map ( Y=>nx17004, A0=>nx15982, A1=>nx13941); ix15983 : mux21 port map ( Y=>nx15982, A0=>reg_35_q_c_14, A1=>nx13437, S0 =>nx15900); ix13942 : xor2 port map ( Y=>nx13941, A0=>reg_26_q_c_15, A1=> reg_35_q_c_15); REG_26_reg_q_15 : dff port map ( Q=>reg_26_q_c_15, QB=>OPEN, D=>nx16994, CLK=>CLK); ix16995 : xnor2 port map ( Y=>nx16994, A0=>nx15992, A1=>nx13949); ix15993 : mux21 port map ( Y=>nx15992, A0=>reg_34_q_c_14, A1=>nx13445, S0 =>nx15890); ix13950 : xor2 port map ( Y=>nx13949, A0=>PRI_OUT_5_15_EXMPLR, A1=> reg_34_q_c_15); REG_14_reg_q_15 : dff port map ( Q=>PRI_OUT_5_15_EXMPLR, QB=>OPEN, D=> nx16984, CLK=>CLK); ix16985 : xnor2 port map ( Y=>nx16984, A0=>nx13955, A1=>nx16982); ix13956 : mux21 port map ( Y=>nx13955, A0=>nx6593, A1=>nx14898, S0=> nx15880); ix16983 : xnor2 port map ( Y=>nx16982, A0=>nx6601, A1=>nx14104); ix16981 : ao21 port map ( Y=>nx6601, A0=>C_MUX2_12_SEL, A1=>reg_21_q_c_15, B0=>nx16956); REG_21_reg_q_15 : dff port map ( Q=>reg_21_q_c_15, QB=>OPEN, D=>nx16970, CLK=>CLK); ix16971 : xnor2 port map ( Y=>nx16970, A0=>nx16966, A1=>nx13973); ix13974 : xor2 port map ( Y=>nx13973, A0=>reg_12_q_c_15, A1=> reg_28_q_c_15); REG_12_reg_q_15 : dff port map ( Q=>reg_12_q_c_15, QB=>OPEN, D=>nx16862, CLK=>CLK); ix16863 : xnor2 port map ( Y=>nx16862, A0=>nx16130, A1=>nx13979); ix16131 : mux21 port map ( Y=>nx16130, A0=>reg_33_q_c_14, A1=>nx13469, S0 =>nx15758); ix13980 : xor2 port map ( Y=>nx13979, A0=>PRI_IN_6(15), A1=>reg_33_q_c_15 ); REG_33_reg_q_15 : dff port map ( Q=>reg_33_q_c_15, QB=>OPEN, D=>nx16852, CLK=>CLK); ix16853 : xnor2 port map ( Y=>nx16852, A0=>nx16140, A1=>nx13987); ix16141 : mux21 port map ( Y=>nx16140, A0=>nx13477, A1=>nx6593, S0=> nx13483); ix13988 : xnor2 port map ( Y=>nx13987, A0=>reg_39_q_c_15, A1=>nx14104); REG_39_reg_q_15 : dff port map ( Q=>reg_39_q_c_15, QB=>OPEN, D=>nx16842, CLK=>CLK); ix16843 : xnor2 port map ( Y=>nx16842, A0=>nx16148, A1=>nx13995); ix16149 : oai22 port map ( Y=>nx16148, A0=>nx13488, A1=>nx13491, B0=> nx13879, B1=>nx13887); ix13996 : xnor2 port map ( Y=>nx13995, A0=>reg_22_q_c_15, A1=> reg_47_q_c_15); REG_22_reg_q_15 : dff port map ( Q=>reg_22_q_c_15, QB=>OPEN, D=>nx16832, CLK=>CLK); ix14006 : xnor2 port map ( Y=>nx14005, A0=>PRI_OUT_3_15_EXMPLR, A1=> nx14373); ix16743 : ao21 port map ( Y=>PRI_OUT_3_15_EXMPLR, A0=>C_MUX2_17_SEL, A1=> reg_4_q_c_15, B0=>nx16720); REG_4_reg_q_15 : dff port map ( Q=>reg_4_q_c_15, QB=>OPEN, D=>nx16732, CLK=>CLK); ix16733 : xnor2 port map ( Y=>nx16732, A0=>nx16728, A1=>nx14015); ix16729 : oai22 port map ( Y=>nx16728, A0=>nx13507, A1=>nx13511, B0=> nx13807, B1=>nx13852); ix16499 : xnor2 port map ( Y=>nx16498, A0=>nx16178, A1=>nx14025); ix16179 : mux21 port map ( Y=>nx16178, A0=>nx15392, A1=>nx13515, S0=> nx15394); ix14026 : xor2 port map ( Y=>nx14025, A0=>nx14027, A1=>nx14369); ix14028 : mux21 port map ( Y=>nx14027, A0=>PRI_IN_9(15), A1=> reg_19_q_c_15, S0=>C_MUX2_23_SEL); REG_19_reg_q_15 : dff port map ( Q=>reg_19_q_c_15, QB=>OPEN, D=>nx16928, CLK=>CLK); ix16925 : ao21 port map ( Y=>nx6611, A0=>C_MUX2_20_SEL, A1=>reg_26_q_c_15, B0=>nx16920); ix16921 : nor02 port map ( Y=>nx16920, A0=>C_MUX2_20_SEL, A1=>nx14043); ix14044 : mux21 port map ( Y=>nx14043, A0=>reg_24_q_c_15, A1=> reg_25_q_c_15, S0=>C_MUX2_1_SEL); REG_24_reg_q_15 : dff port map ( Q=>reg_24_q_c_15, QB=>OPEN, D=>nx16070, CLK=>CLK); ix16071 : xnor2 port map ( Y=>nx16070, A0=>nx16028, A1=>nx14051); ix16029 : mux21 port map ( Y=>nx16028, A0=>reg_41_q_c_14, A1=>nx13543, S0 =>nx14966); ix14052 : xor2 port map ( Y=>nx14051, A0=>reg_41_q_c_15, A1=>nx6601); REG_41_reg_q_15 : dff port map ( Q=>reg_41_q_c_15, QB=>OPEN, D=>nx16060, CLK=>CLK); ix16061 : xnor2 port map ( Y=>nx16060, A0=>nx16038, A1=>nx14061); ix16039 : oai22 port map ( Y=>nx16038, A0=>nx13550, A1=>nx14059, B0=> PRI_IN_0(14), B1=>nx13569); ix14062 : xor2 port map ( Y=>nx14061, A0=>PRI_IN_0(15), A1=>reg_38_q_c_15 ); REG_38_reg_q_15 : dff port map ( Q=>reg_38_q_c_15, QB=>OPEN, D=>nx16050, CLK=>CLK); REG_25_reg_q_15 : dff port map ( Q=>reg_25_q_c_15, QB=>OPEN, D=>nx16906, CLK=>CLK); ix16907 : xnor2 port map ( Y=>nx16906, A0=>nx16090, A1=>nx14083); ix14084 : xor2 port map ( Y=>nx14083, A0=>reg_36_q_c_15, A1=> reg_37_q_c_15); REG_36_reg_q_15 : dff port map ( Q=>reg_36_q_c_15, QB=>OPEN, D=>nx16896, CLK=>CLK); ix16897 : xnor2 port map ( Y=>nx16896, A0=>nx16098, A1=>nx14093); ix16099 : oai22 port map ( Y=>nx16098, A0=>nx13583, A1=>nx13586, B0=> nx13845, B1=>nx14091); ix14092 : inv02 port map ( Y=>nx14091, A=>PRI_IN_1(14)); ix14094 : xnor2 port map ( Y=>nx14093, A0=>PRI_IN_1(15), A1=> reg_43_q_c_15); REG_43_reg_q_15 : dff port map ( Q=>reg_43_q_c_15, QB=>OPEN, D=>nx16886, CLK=>CLK); ix16887 : xnor2 port map ( Y=>nx16886, A0=>nx16108, A1=>nx14102); ix14103 : xor2 port map ( Y=>nx14102, A0=>nx14104, A1=>nx14328); ix14105 : mux21 port map ( Y=>nx14104, A0=>PRI_IN_2(15), A1=> reg_31_q_c_15, S0=>C_MUX2_22_SEL); REG_31_reg_q_15 : dff port map ( Q=>reg_31_q_c_15, QB=>OPEN, D=>nx16872, CLK=>CLK); ix16873 : xnor2 port map ( Y=>nx16872, A0=>nx16120, A1=>nx14111); ix16121 : oai22 port map ( Y=>nx16120, A0=>nx13599, A1=>nx13603, B0=> nx13805, B1=>nx13891); ix14112 : xnor2 port map ( Y=>nx14111, A0=>reg_12_q_c_15, A1=> reg_48_q_c_15); REG_48_reg_q_15 : dff port map ( Q=>reg_48_q_c_15, QB=>OPEN, D=>nx16422, CLK=>CLK); ix16423 : xnor2 port map ( Y=>nx16422, A0=>nx14116, A1=>nx16420); ix14117 : aoi22 port map ( Y=>nx14116, A0=>nx6597, A1=>reg_46_q_c_14, B0 =>nx15108, B1=>nx15318); ix16421 : xor2 port map ( Y=>nx16420, A0=>reg_46_q_c_15, A1=>nx6611); REG_46_reg_q_15 : dff port map ( Q=>reg_46_q_c_15, QB=>OPEN, D=>nx16412, CLK=>CLK); ix16413 : xnor2 port map ( Y=>nx16412, A0=>nx14128, A1=>nx16410); ix14129 : mux21 port map ( Y=>nx14128, A0=>nx14131, A1=>nx15118, S0=> nx15308); ix16411 : xnor2 port map ( Y=>nx16410, A0=>nx6607, A1=>nx16408); ix16393 : ao21 port map ( Y=>nx6607, A0=>C_MUX2_15_SEL, A1=>reg_34_q_c_15, B0=>nx16232); REG_34_reg_q_15 : dff port map ( Q=>reg_34_q_c_15, QB=>OPEN, D=>nx16382, CLK=>CLK); ix16383 : xnor2 port map ( Y=>nx16382, A0=>nx14143, A1=>nx16380); ix16381 : xor2 port map ( Y=>nx16380, A0=>reg_45_q_c_15, A1=>nx16378); REG_45_reg_q_15 : dff port map ( Q=>reg_45_q_c_15, QB=>OPEN, D=>nx16432, CLK=>CLK); ix16433 : xnor2 port map ( Y=>nx16432, A0=>nx14153, A1=>nx16430); ix14154 : aoi22 port map ( Y=>nx14153, A0=>nx6595, A1=>reg_48_q_c_14, B0 =>nx15100, B1=>nx15328); ix16431 : xor2 port map ( Y=>nx16430, A0=>reg_48_q_c_15, A1=>nx6607); ix16379 : ao21 port map ( Y=>nx16378, A0=>C_MUX2_7_SEL, A1=>reg_5_q_c_15, B0=>nx16374); REG_5_reg_q_15 : dff port map ( Q=>reg_5_q_c_15, QB=>OPEN, D=>nx16460, CLK=>CLK); ix16461 : xnor2 port map ( Y=>nx16460, A0=>nx16194, A1=>nx14169); ix16195 : oai22 port map ( Y=>nx16194, A0=>nx13643, A1=>nx13647, B0=> nx13657, B1=>nx13638); ix14170 : xnor2 port map ( Y=>nx14169, A0=>reg_45_q_c_15, A1=> reg_50_q_c_15); REG_50_reg_q_15 : dff port map ( Q=>reg_50_q_c_15, QB=>OPEN, D=>nx16450, CLK=>CLK); ix16451 : xnor2 port map ( Y=>nx16450, A0=>nx16446, A1=>nx14177); ix16447 : oai22 port map ( Y=>nx16446, A0=>nx13651, A1=>nx13655, B0=> nx13638, B1=>nx13898); ix14178 : xnor2 port map ( Y=>nx14177, A0=>reg_44_q_c_15, A1=> reg_45_q_c_15); ix16375 : nor02 port map ( Y=>nx16374, A0=>C_MUX2_7_SEL, A1=>nx14182); ix14183 : mux21 port map ( Y=>nx14182, A0=>reg_3_q_c_15, A1=>reg_8_q_c_15, S0=>C_MUX2_14_SEL); REG_3_reg_q_15 : dff port map ( Q=>reg_3_q_c_15, QB=>OPEN, D=>nx16336, CLK=>CLK); ix16337 : xnor2 port map ( Y=>nx16336, A0=>nx16248, A1=>nx14187); ix16249 : oai22 port map ( Y=>nx16248, A0=>nx13667, A1=>nx13670, B0=> nx14927, B1=>nx13772); ix14188 : xnor2 port map ( Y=>nx14187, A0=>reg_37_q_c_15, A1=> reg_46_q_c_15); REG_37_reg_q_15 : dff port map ( Q=>reg_37_q_c_15, QB=>OPEN, D=>nx16326, CLK=>CLK); ix16327 : xnor2 port map ( Y=>nx16326, A0=>nx16258, A1=>nx14197); ix16259 : oai22 port map ( Y=>nx16258, A0=>nx13675, A1=>nx14195, B0=> PRI_OUT_7_14_EXMPLR, B1=>nx13852); REG_16_reg_q_15 : dff port map ( Q=>PRI_OUT_7_15_EXMPLR, QB=>OPEN, D=> nx16316, CLK=>CLK); ix16317 : xnor2 port map ( Y=>nx16316, A0=>nx16266, A1=>nx14205); ix16267 : oai22 port map ( Y=>nx16266, A0=>nx13682, A1=>nx13685, B0=> nx14203, B1=>nx13807); ix14206 : xnor2 port map ( Y=>nx14205, A0=>reg_31_q_c_15, A1=>nx16312); ix16313 : ao21 port map ( Y=>nx16312, A0=>C_MUX2_3_SEL, A1=>reg_30_q_c_15, B0=>nx16270); ix16303 : xnor2 port map ( Y=>nx16302, A0=>nx16278, A1=>nx14213); ix16279 : oai22 port map ( Y=>nx16278, A0=>nx13692, A1=>nx13695, B0=> nx13770, B1=>nx14927); ix14214 : xnor2 port map ( Y=>nx14213, A0=>reg_46_q_c_15, A1=> reg_49_q_c_15); REG_49_reg_q_15 : dff port map ( Q=>reg_49_q_c_15, QB=>OPEN, D=>nx16292, CLK=>CLK); ix16293 : xnor2 port map ( Y=>nx16292, A0=>nx16288, A1=>nx14219); ix16289 : mux21 port map ( Y=>nx16288, A0=>reg_43_q_c_14, A1=>nx13701, S0 =>nx15188); ix14220 : xor2 port map ( Y=>nx14219, A0=>reg_43_q_c_15, A1=>nx6605); ix16645 : ao21 port map ( Y=>nx6605, A0=>nx6904, A1=>reg_6_q_c_15, B0=> nx16540); REG_6_reg_q_15 : dff port map ( Q=>reg_6_q_c_15, QB=>OPEN, D=>nx16632, CLK=>CLK); ix16633 : xnor2 port map ( Y=>nx16632, A0=>nx16550, A1=>nx14225); ix16551 : mux21 port map ( Y=>nx16550, A0=>reg_7_q_c_14, A1=>nx13707, S0 =>nx15528); ix14226 : xor2 port map ( Y=>nx14225, A0=>reg_7_q_c_15, A1=> PRI_OUT_1_15_EXMPLR); REG_7_reg_q_15 : dff port map ( Q=>reg_7_q_c_15, QB=>OPEN, D=>nx16484, CLK=>CLK); ix16485 : xnor2 port map ( Y=>nx16484, A0=>nx16480, A1=>nx14232); ix16481 : mux21 port map ( Y=>nx16480, A0=>reg_30_q_c_14, A1=>nx13713, S0 =>nx15380); REG_30_reg_q_15 : dff port map ( Q=>reg_30_q_c_15, QB=>OPEN, D=>nx16302, CLK=>CLK); REG_10_reg_q_15 : dff port map ( Q=>PRI_OUT_1_15_EXMPLR, QB=>OPEN, D=> nx16622, CLK=>CLK); ix16623 : xnor2 port map ( Y=>nx16622, A0=>nx16560, A1=>nx14243); ix16561 : mux21 port map ( Y=>nx16560, A0=>reg_35_q_c_14, A1=>nx13721, S0 =>nx15518); ix14244 : xor2 port map ( Y=>nx14243, A0=>PRI_IN_1(15), A1=>reg_35_q_c_15 ); REG_35_reg_q_15 : dff port map ( Q=>reg_35_q_c_15, QB=>OPEN, D=>nx16612, CLK=>CLK); ix16613 : xnor2 port map ( Y=>nx16612, A0=>nx16570, A1=>nx14250); ix16571 : mux21 port map ( Y=>nx16570, A0=>reg_42_q_c_14, A1=>nx13729, S0 =>nx15508); ix14251 : xor2 port map ( Y=>nx14250, A0=>PRI_IN_6(15), A1=>reg_42_q_c_15 ); REG_42_reg_q_15 : dff port map ( Q=>reg_42_q_c_15, QB=>OPEN, D=>nx16602, CLK=>CLK); ix16603 : xnor2 port map ( Y=>nx16602, A0=>nx16580, A1=>nx14257); ix16581 : oai22 port map ( Y=>nx16580, A0=>nx13736, A1=>nx14255, B0=> reg_40_q_c_14, B1=>nx13852); REG_40_reg_q_15 : dff port map ( Q=>reg_40_q_c_15, QB=>OPEN, D=>nx16592, CLK=>CLK); ix16593 : xnor2 port map ( Y=>nx16592, A0=>nx16588, A1=>nx14264); ix16589 : oai22 port map ( Y=>nx16588, A0=>nx13741, A1=>nx13745, B0=> nx13847, B1=>nx14262); ix14263 : inv02 port map ( Y=>nx14262, A=>PRI_IN_6(14)); ix14265 : xnor2 port map ( Y=>nx14264, A0=>PRI_IN_6(15), A1=> reg_36_q_c_15); ix16541 : nor02 port map ( Y=>nx16540, A0=>nx6904, A1=>nx14273); ix14274 : mux21 port map ( Y=>nx14273, A0=>nx16530, A1=>reg_7_q_c_15, S0 =>C_MUX2_18_SEL); ix16531 : ao21 port map ( Y=>nx16530, A0=>C_MUX2_2_SEL, A1=>PRI_IN_1(15), B0=>nx16526); ix16527 : nor02 port map ( Y=>nx16526, A0=>C_MUX2_2_SEL, A1=>nx14279); ix14280 : mux21 port map ( Y=>nx14279, A0=>PRI_IN_6(15), A1=>reg_9_q_c_15, S0=>C_MUX2_24_SEL); REG_9_reg_q_15 : dff port map ( Q=>reg_9_q_c_15, QB=>OPEN, D=>nx16508, CLK=>CLK); ix16509 : xnor2 port map ( Y=>nx16508, A0=>nx14284, A1=>nx16506); ix14285 : aoi22 port map ( Y=>nx14284, A0=>nx13539, A1=>reg_20_q_c_14, B0 =>nx15066, B1=>nx15404); ix16271 : nor02 port map ( Y=>nx16270, A0=>C_MUX2_3_SEL, A1=>nx14104); REG_20_reg_q_15 : dff port map ( Q=>reg_20_q_c_15, QB=>nx14295, D=> nx16498, CLK=>CLK); REG_8_reg_q_15 : dff port map ( Q=>reg_8_q_c_15, QB=>OPEN, D=>nx16360, CLK=>CLK); ix16361 : xnor2 port map ( Y=>nx16360, A0=>nx14301, A1=>nx16358); ix14302 : mux21 port map ( Y=>nx14301, A0=>nx15254, A1=>nx13781, S0=> nx13779); ix16359 : xor2 port map ( Y=>nx16358, A0=>nx6607, A1=>nx14305); ix14306 : mux21 port map ( Y=>nx14305, A0=>reg_23_q_c_15, A1=> PRI_IN_9(15), S0=>C_MUX2_16_SEL); REG_23_reg_q_15 : dff port map ( Q=>reg_23_q_c_15, QB=>OPEN, D=>nx16938, CLK=>CLK); ix16939 : xnor2 port map ( Y=>nx16938, A0=>nx16010, A1=>nx14310); ix16011 : mux21 port map ( Y=>nx16010, A0=>reg_27_q_c_14, A1=>nx13787, S0 =>nx15834); ix14311 : xor2 port map ( Y=>nx14310, A0=>reg_19_q_c_15, A1=> reg_27_q_c_15); ix16233 : nor02 port map ( Y=>nx16232, A0=>C_MUX2_15_SEL, A1=>nx14317); ix14318 : mux21 port map ( Y=>nx14317, A0=>PRI_IN_8(15), A1=>PRI_IN_3(15), S0=>C_MUX2_9_SEL); ix16409 : mux21 port map ( Y=>nx16408, A0=>nx14321, A1=>nx14027, S0=> C_MUX2_13_SEL); ix14322 : mux21 port map ( Y=>nx14321, A0=>reg_20_q_c_15, A1=> reg_22_q_c_15, S0=>C_MUX2_19_SEL); ix14329 : mux21 port map ( Y=>nx14328, A0=>nx6605, A1=>reg_2_q_c_15, S0=> C_MUX2_11_SEL); REG_2_reg_q_15 : dff port map ( Q=>reg_2_q_c_15, QB=>OPEN, D=>nx16706, CLK=>CLK); ix16707 : xnor2 port map ( Y=>nx16706, A0=>nx16656, A1=>nx14335); ix16657 : oai22 port map ( Y=>nx16656, A0=>nx13815, A1=>nx13819, B0=> nx14927, B1=>nx13841); ix14336 : xnor2 port map ( Y=>nx14335, A0=>reg_28_q_c_15, A1=> reg_46_q_c_15); REG_28_reg_q_15 : dff port map ( Q=>reg_28_q_c_15, QB=>OPEN, D=>nx16696, CLK=>CLK); ix16697 : xnor2 port map ( Y=>nx16696, A0=>nx14341, A1=>nx16694); ix14342 : aoi22 port map ( Y=>nx14341, A0=>nx15590, A1=>PRI_IN_5(14), B0 =>nx15562, B1=>nx15592); ix16695 : xnor2 port map ( Y=>nx16694, A0=>PRI_IN_5(15), A1=>nx14349); ix14350 : mux21 port map ( Y=>nx14349, A0=>reg_32_q_c_15, A1=>nx16312, S0 =>C_MUX2_10_SEL); REG_32_reg_q_15 : dff port map ( Q=>reg_32_q_c_15, QB=>OPEN, D=>nx16678, CLK=>CLK); ix16679 : xnor2 port map ( Y=>nx16678, A0=>nx14355, A1=>nx16676); ix14356 : mux21 port map ( Y=>nx14355, A0=>nx13539, A1=>nx15572, S0=> nx15574); ix16677 : xnor2 port map ( Y=>nx16676, A0=>nx14043, A1=>nx14027); ix14370 : mux21 port map ( Y=>nx14369, A0=>reg_5_q_c_15, A1=>reg_7_q_c_15, S0=>C_MUX2_8_SEL); ix16721 : nor02 port map ( Y=>nx16720, A0=>C_MUX2_17_SEL, A1=>nx14328); ix14374 : mux21 port map ( Y=>nx14373, A0=>reg_29_q_c_15, A1=> reg_30_q_c_15, S0=>C_MUX2_4_SEL); REG_29_reg_q_15 : dff port map ( Q=>reg_29_q_c_15, QB=>OPEN, D=>nx16814, CLK=>CLK); ix14384 : xnor2 port map ( Y=>nx14383, A0=>PRI_OUT_3_15_EXMPLR, A1=> PRI_OUT_2_15_EXMPLR); ix16803 : ao21 port map ( Y=>PRI_OUT_2_15_EXMPLR, A0=>nx6920, A1=> reg_11_q_c_15, B0=>nx16760); REG_11_reg_q_15 : dff port map ( Q=>reg_11_q_c_15, QB=>OPEN, D=>nx16790, CLK=>CLK); ix16791 : xnor2 port map ( Y=>nx16790, A0=>nx16768, A1=>nx14397); ix16769 : oai22 port map ( Y=>nx16768, A0=>nx13870, A1=>nx14392, B0=> nx13781, B1=>nx13879); ix14398 : xor2 port map ( Y=>nx14397, A0=>reg_47_q_c_15, A1=>nx14305); REG_47_reg_q_15 : dff port map ( Q=>reg_47_q_c_15, QB=>OPEN, D=>nx16780, CLK=>CLK); ix16781 : xnor2 port map ( Y=>nx16780, A0=>nx16776, A1=>nx14405); ix16777 : oai22 port map ( Y=>nx16776, A0=>nx13875, A1=>nx14403, B0=> nx13661, B1=>nx13852); ix16761 : nor02 port map ( Y=>nx16760, A0=>nx6920, A1=>nx14411); ix14412 : mux21 port map ( Y=>nx14411, A0=>PRI_OUT_2_15_EXMPLR, A1=> reg_12_q_c_15, S0=>C_MUX2_25_SEL); ix16957 : nor02 port map ( Y=>nx16956, A0=>C_MUX2_12_SEL, A1=>nx14305); ix17049 : ao21 port map ( Y=>PRI_OUT_0_15_EXMPLR, A0=>nx14427, A1=> nx16378, B0=>nx17046); ix14428 : inv02 port map ( Y=>nx14427, A=>C_MUX2_5_SEL); ix17047 : and02 port map ( Y=>nx17046, A0=>C_MUX2_5_SEL, A1=>reg_1_q_c_15 ); REG_1_reg_q_15 : dff port map ( Q=>reg_1_q_c_15, QB=>OPEN, D=>nx17038, CLK=>CLK); ix17039 : xnor2 port map ( Y=>nx17038, A0=>nx17034, A1=>nx14434); ix17035 : mux21 port map ( Y=>nx17034, A0=>reg_7_q_c_14, A1=>nx13905, S0 =>nx15934); ix14435 : xor2 port map ( Y=>nx14434, A0=>reg_7_q_c_15, A1=> PRI_OUT_5_15_EXMPLR); REG_17_reg_q_0 : dff port map ( Q=>PRI_OUT_8_0_EXMPLR, QB=>OPEN, D=> nx17070, CLK=>CLK); ix17071 : oai21 port map ( Y=>nx17070, A0=>nx15653, A1=>nx6889, B0=> nx17062); ix17063 : nand02 port map ( Y=>nx17062, A0=>nx6889, A1=>reg_40_q_c_0); REG_17_reg_q_1 : dff port map ( Q=>PRI_OUT_8_1_EXMPLR, QB=>OPEN, D=> nx17080, CLK=>CLK); ix17081 : xnor2 port map ( Y=>nx17080, A0=>nx17062, A1=>nx14447); REG_17_reg_q_2 : dff port map ( Q=>PRI_OUT_8_2_EXMPLR, QB=>OPEN, D=> nx17100, CLK=>CLK); ix17101 : xor2 port map ( Y=>nx17100, A0=>nx14453, A1=>nx14455); ix14454 : mux21 port map ( Y=>nx14453, A0=>nx17062, A1=>nx7235, S0=> nx14447); ix14456 : xnor2 port map ( Y=>nx14455, A0=>reg_40_q_c_2, A1=>nx7800); REG_17_reg_q_3 : dff port map ( Q=>PRI_OUT_8_3_EXMPLR, QB=>OPEN, D=> nx17120, CLK=>CLK); ix17121 : xnor2 port map ( Y=>nx17120, A0=>nx17116, A1=>nx14463); ix17117 : mux21 port map ( Y=>nx17116, A0=>nx14453, A1=>reg_40_q_c_2, S0 =>nx14455); REG_17_reg_q_4 : dff port map ( Q=>PRI_OUT_8_4_EXMPLR, QB=>OPEN, D=> nx17140, CLK=>CLK); ix17141 : xor2 port map ( Y=>nx17140, A0=>nx14469, A1=>nx14471); ix14470 : mux21 port map ( Y=>nx14469, A0=>nx17116, A1=>nx8225, S0=> nx14463); ix14472 : xnor2 port map ( Y=>nx14471, A0=>reg_40_q_c_4, A1=>nx8801); REG_17_reg_q_5 : dff port map ( Q=>PRI_OUT_8_5_EXMPLR, QB=>OPEN, D=> nx17160, CLK=>CLK); ix17161 : xnor2 port map ( Y=>nx17160, A0=>nx17156, A1=>nx14478); ix17157 : mux21 port map ( Y=>nx17156, A0=>nx14469, A1=>reg_40_q_c_4, S0 =>nx14471); REG_17_reg_q_6 : dff port map ( Q=>PRI_OUT_8_6_EXMPLR, QB=>OPEN, D=> nx17180, CLK=>CLK); ix17181 : xor2 port map ( Y=>nx17180, A0=>nx14483, A1=>nx14485); ix14484 : mux21 port map ( Y=>nx14483, A0=>nx17156, A1=>nx9231, S0=> nx14478); ix14486 : xnor2 port map ( Y=>nx14485, A0=>reg_40_q_c_6, A1=>nx9803); REG_17_reg_q_7 : dff port map ( Q=>PRI_OUT_8_7_EXMPLR, QB=>OPEN, D=> nx17200, CLK=>CLK); ix17201 : xnor2 port map ( Y=>nx17200, A0=>nx17196, A1=>nx14493); ix17197 : mux21 port map ( Y=>nx17196, A0=>nx14483, A1=>reg_40_q_c_6, S0 =>nx14485); REG_17_reg_q_8 : dff port map ( Q=>PRI_OUT_8_8_EXMPLR, QB=>OPEN, D=> nx17220, CLK=>CLK); ix17221 : xor2 port map ( Y=>nx17220, A0=>nx14498, A1=>nx14501); ix14499 : mux21 port map ( Y=>nx14498, A0=>nx17196, A1=>nx10245, S0=> nx14493); ix14502 : xnor2 port map ( Y=>nx14501, A0=>reg_40_q_c_8, A1=>nx10815); REG_17_reg_q_9 : dff port map ( Q=>PRI_OUT_8_9_EXMPLR, QB=>OPEN, D=> nx17240, CLK=>CLK); ix17241 : xnor2 port map ( Y=>nx17240, A0=>nx17236, A1=>nx14507); ix17237 : mux21 port map ( Y=>nx17236, A0=>nx14498, A1=>reg_40_q_c_8, S0 =>nx14501); REG_17_reg_q_10 : dff port map ( Q=>PRI_OUT_8_10_EXMPLR, QB=>OPEN, D=> nx17260, CLK=>CLK); ix17261 : xor2 port map ( Y=>nx17260, A0=>nx14513, A1=>nx14515); ix14514 : mux21 port map ( Y=>nx14513, A0=>nx17236, A1=>nx11247, S0=> nx14507); ix14516 : xnor2 port map ( Y=>nx14515, A0=>reg_40_q_c_10, A1=>nx11799); REG_17_reg_q_11 : dff port map ( Q=>PRI_OUT_8_11_EXMPLR, QB=>OPEN, D=> nx17280, CLK=>CLK); ix17281 : xnor2 port map ( Y=>nx17280, A0=>nx17276, A1=>nx14523); ix17277 : mux21 port map ( Y=>nx17276, A0=>nx14513, A1=>reg_40_q_c_10, S0 =>nx14515); REG_17_reg_q_12 : dff port map ( Q=>PRI_OUT_8_12_EXMPLR, QB=>OPEN, D=> nx17300, CLK=>CLK); ix17301 : xor2 port map ( Y=>nx17300, A0=>nx14529, A1=>nx14531); ix14530 : mux21 port map ( Y=>nx14529, A0=>nx17276, A1=>nx12235, S0=> nx14523); ix14532 : xnor2 port map ( Y=>nx14531, A0=>reg_40_q_c_12, A1=>nx12789); REG_17_reg_q_13 : dff port map ( Q=>PRI_OUT_8_13_EXMPLR, QB=>OPEN, D=> nx17320, CLK=>CLK); ix17321 : xnor2 port map ( Y=>nx17320, A0=>nx17316, A1=>nx14537); ix17317 : mux21 port map ( Y=>nx17316, A0=>nx14529, A1=>reg_40_q_c_12, S0 =>nx14531); REG_17_reg_q_14 : dff port map ( Q=>PRI_OUT_8_14_EXMPLR, QB=>OPEN, D=> nx17340, CLK=>CLK); ix17341 : xor2 port map ( Y=>nx17340, A0=>nx14543, A1=>nx14545); ix14544 : mux21 port map ( Y=>nx14543, A0=>nx17316, A1=>nx13243, S0=> nx14537); ix14546 : xnor2 port map ( Y=>nx14545, A0=>reg_40_q_c_14, A1=>nx13797); REG_17_reg_q_15 : dff port map ( Q=>PRI_OUT_8_15_EXMPLR, QB=>OPEN, D=> nx17360, CLK=>CLK); ix17361 : xnor2 port map ( Y=>nx17360, A0=>nx17356, A1=>nx14551); ix17357 : mux21 port map ( Y=>nx17356, A0=>nx14543, A1=>reg_40_q_c_14, S0 =>nx14545); ix14552 : xnor2 port map ( Y=>nx14551, A0=>reg_40_q_c_15, A1=>nx14317); REG_15_reg_q_0 : dff port map ( Q=>PRI_OUT_6(0), QB=>OPEN, D=>nx17374, CLK=>CLK); ix17375 : xnor2 port map ( Y=>nx17374, A0=>PRI_OUT_8_0_EXMPLR, A1=>nx6889 ); REG_15_reg_q_1 : dff port map ( Q=>PRI_OUT_6(1), QB=>OPEN, D=>nx17384, CLK=>CLK); ix17385 : xnor2 port map ( Y=>nx17384, A0=>nx14561, A1=>nx17382); ix14562 : nand02 port map ( Y=>nx14561, A0=>PRI_OUT_8_0_EXMPLR, A1=>nx72 ); ix17383 : xnor2 port map ( Y=>nx17382, A0=>PRI_OUT_8_1_EXMPLR, A1=>nx7277 ); REG_15_reg_q_2 : dff port map ( Q=>PRI_OUT_6(2), QB=>OPEN, D=>nx17402, CLK=>CLK); ix17403 : xor2 port map ( Y=>nx17402, A0=>nx17398, A1=>nx17400); ix17399 : mux21 port map ( Y=>nx17398, A0=>nx7277, A1=>nx14561, S0=> nx17382); ix17401 : xnor2 port map ( Y=>nx17400, A0=>PRI_OUT_8_2_EXMPLR, A1=>nx7800 ); REG_15_reg_q_3 : dff port map ( Q=>PRI_OUT_6(3), QB=>OPEN, D=>nx17420, CLK=>CLK); ix17421 : xnor2 port map ( Y=>nx17420, A0=>nx14577, A1=>nx17418); ix14578 : aoi22 port map ( Y=>nx14577, A0=>nx1902, A1=>PRI_OUT_8_2_EXMPLR, B0=>nx17398, B1=>nx17400); ix17419 : xnor2 port map ( Y=>nx17418, A0=>PRI_OUT_8_3_EXMPLR, A1=>nx8275 ); REG_15_reg_q_4 : dff port map ( Q=>PRI_OUT_6(4), QB=>OPEN, D=>nx17438, CLK=>CLK); ix17439 : xor2 port map ( Y=>nx17438, A0=>nx17434, A1=>nx17436); ix17435 : mux21 port map ( Y=>nx17434, A0=>nx8275, A1=>nx14577, S0=> nx17418); ix17437 : xnor2 port map ( Y=>nx17436, A0=>PRI_OUT_8_4_EXMPLR, A1=>nx8801 ); REG_15_reg_q_5 : dff port map ( Q=>PRI_OUT_6(5), QB=>OPEN, D=>nx17456, CLK=>CLK); ix17457 : xnor2 port map ( Y=>nx17456, A0=>nx14589, A1=>nx17454); ix14590 : aoi22 port map ( Y=>nx14589, A0=>nx4106, A1=>PRI_OUT_8_4_EXMPLR, B0=>nx17434, B1=>nx17436); ix17455 : xnor2 port map ( Y=>nx17454, A0=>PRI_OUT_8_5_EXMPLR, A1=>nx9279 ); REG_15_reg_q_6 : dff port map ( Q=>PRI_OUT_6(6), QB=>OPEN, D=>nx17474, CLK=>CLK); ix17475 : xor2 port map ( Y=>nx17474, A0=>nx17470, A1=>nx17472); ix17471 : mux21 port map ( Y=>nx17470, A0=>nx9279, A1=>nx14589, S0=> nx17454); ix17473 : xnor2 port map ( Y=>nx17472, A0=>PRI_OUT_8_6_EXMPLR, A1=>nx9803 ); REG_15_reg_q_7 : dff port map ( Q=>PRI_OUT_6(7), QB=>OPEN, D=>nx17492, CLK=>CLK); ix17493 : xnor2 port map ( Y=>nx17492, A0=>nx14601, A1=>nx17490); ix14602 : aoi22 port map ( Y=>nx14601, A0=>nx6310, A1=>PRI_OUT_8_6_EXMPLR, B0=>nx17470, B1=>nx17472); ix17491 : xnor2 port map ( Y=>nx17490, A0=>PRI_OUT_8_7_EXMPLR, A1=> nx10295); REG_15_reg_q_8 : dff port map ( Q=>PRI_OUT_6(8), QB=>OPEN, D=>nx17510, CLK=>CLK); ix17511 : xor2 port map ( Y=>nx17510, A0=>nx17506, A1=>nx17508); ix17507 : mux21 port map ( Y=>nx17506, A0=>nx10295, A1=>nx14601, S0=> nx17490); ix17509 : xnor2 port map ( Y=>nx17508, A0=>PRI_OUT_8_8_EXMPLR, A1=> nx10815); REG_15_reg_q_9 : dff port map ( Q=>PRI_OUT_6(9), QB=>OPEN, D=>nx17528, CLK=>CLK); ix17529 : xnor2 port map ( Y=>nx17528, A0=>nx14616, A1=>nx17526); ix14617 : aoi22 port map ( Y=>nx14616, A0=>nx8514, A1=>PRI_OUT_8_8_EXMPLR, B0=>nx17506, B1=>nx17508); ix17527 : xnor2 port map ( Y=>nx17526, A0=>PRI_OUT_8_9_EXMPLR, A1=> nx11295); REG_15_reg_q_10 : dff port map ( Q=>PRI_OUT_6(10), QB=>OPEN, D=>nx17546, CLK=>CLK); ix17547 : xor2 port map ( Y=>nx17546, A0=>nx17542, A1=>nx17544); ix17543 : mux21 port map ( Y=>nx17542, A0=>nx11295, A1=>nx14616, S0=> nx17526); ix17545 : xnor2 port map ( Y=>nx17544, A0=>PRI_OUT_8_10_EXMPLR, A1=> nx11799); REG_15_reg_q_11 : dff port map ( Q=>PRI_OUT_6(11), QB=>OPEN, D=>nx17564, CLK=>CLK); ix17565 : xnor2 port map ( Y=>nx17564, A0=>nx14631, A1=>nx17562); ix14632 : aoi22 port map ( Y=>nx14631, A0=>nx10718, A1=> PRI_OUT_8_10_EXMPLR, B0=>nx17542, B1=>nx17544); ix17563 : xnor2 port map ( Y=>nx17562, A0=>PRI_OUT_8_11_EXMPLR, A1=> nx12285); REG_15_reg_q_12 : dff port map ( Q=>PRI_OUT_6(12), QB=>OPEN, D=>nx17582, CLK=>CLK); ix17583 : xor2 port map ( Y=>nx17582, A0=>nx17578, A1=>nx17580); ix17579 : mux21 port map ( Y=>nx17578, A0=>nx12285, A1=>nx14631, S0=> nx17562); ix17581 : xnor2 port map ( Y=>nx17580, A0=>PRI_OUT_8_12_EXMPLR, A1=> nx12789); REG_15_reg_q_13 : dff port map ( Q=>PRI_OUT_6(13), QB=>OPEN, D=>nx17600, CLK=>CLK); ix17601 : xnor2 port map ( Y=>nx17600, A0=>nx14644, A1=>nx17598); ix14645 : aoi22 port map ( Y=>nx14644, A0=>nx12922, A1=> PRI_OUT_8_12_EXMPLR, B0=>nx17578, B1=>nx17580); ix17599 : xnor2 port map ( Y=>nx17598, A0=>PRI_OUT_8_13_EXMPLR, A1=> nx13297); REG_15_reg_q_14 : dff port map ( Q=>PRI_OUT_6(14), QB=>OPEN, D=>nx17618, CLK=>CLK); ix17619 : xor2 port map ( Y=>nx17618, A0=>nx17614, A1=>nx17616); ix17615 : mux21 port map ( Y=>nx17614, A0=>nx13297, A1=>nx14644, S0=> nx17598); ix17617 : xnor2 port map ( Y=>nx17616, A0=>PRI_OUT_8_14_EXMPLR, A1=> nx13797); REG_15_reg_q_15 : dff port map ( Q=>PRI_OUT_6(15), QB=>OPEN, D=>nx17636, CLK=>CLK); ix17637 : xnor2 port map ( Y=>nx17636, A0=>nx14657, A1=>nx17634); ix14658 : aoi22 port map ( Y=>nx14657, A0=>nx15126, A1=> PRI_OUT_8_14_EXMPLR, B0=>nx17614, B1=>nx17616); ix17635 : xnor2 port map ( Y=>nx17634, A0=>PRI_OUT_8_15_EXMPLR, A1=> nx14317); REG_13_reg_q_0 : dff port map ( Q=>PRI_OUT_4(0), QB=>OPEN, D=>nx17650, CLK=>CLK); REG_13_reg_q_1 : dff port map ( Q=>PRI_OUT_4(1), QB=>OPEN, D=>nx17660, CLK=>CLK); ix17661 : xor2 port map ( Y=>nx17660, A0=>nx14667, A1=>nx14669); ix14668 : nand02 port map ( Y=>nx14667, A0=>PRI_OUT_1_0_EXMPLR, A1=> reg_32_q_c_0); REG_13_reg_q_2 : dff port map ( Q=>PRI_OUT_4(2), QB=>OPEN, D=>nx17678, CLK=>CLK); ix17679 : xor2 port map ( Y=>nx17678, A0=>nx14674, A1=>nx14677); REG_13_reg_q_3 : dff port map ( Q=>PRI_OUT_4(3), QB=>OPEN, D=>nx17696, CLK=>CLK); ix17697 : xnor2 port map ( Y=>nx17696, A0=>nx17692, A1=>nx14686); ix14687 : xnor2 port map ( Y=>nx14686, A0=>PRI_OUT_1_3_EXMPLR, A1=> reg_32_q_c_3); REG_13_reg_q_4 : dff port map ( Q=>PRI_OUT_4(4), QB=>OPEN, D=>nx17714, CLK=>CLK); ix17715 : xor2 port map ( Y=>nx17714, A0=>nx14691, A1=>nx14695); ix14692 : aoi22 port map ( Y=>nx14691, A0=>reg_32_q_c_3, A1=> PRI_OUT_1_3_EXMPLR, B0=>nx16122, B1=>nx17694); ix14696 : xnor2 port map ( Y=>nx14695, A0=>PRI_OUT_1_4_EXMPLR, A1=> reg_32_q_c_4); REG_13_reg_q_5 : dff port map ( Q=>PRI_OUT_4(5), QB=>OPEN, D=>nx17732, CLK=>CLK); ix17733 : xnor2 port map ( Y=>nx17732, A0=>nx17728, A1=>nx14703); ix17729 : ao21 port map ( Y=>nx17728, A0=>reg_32_q_c_4, A1=> PRI_OUT_1_4_EXMPLR, B0=>nx17726); ix17727 : nor02 port map ( Y=>nx17726, A0=>nx14691, A1=>nx14695); ix14704 : xnor2 port map ( Y=>nx14703, A0=>PRI_OUT_1_5_EXMPLR, A1=> reg_32_q_c_5); REG_13_reg_q_6 : dff port map ( Q=>PRI_OUT_4(6), QB=>OPEN, D=>nx17750, CLK=>CLK); ix17751 : xor2 port map ( Y=>nx17750, A0=>nx14708, A1=>nx14711); ix14709 : aoi22 port map ( Y=>nx14708, A0=>reg_32_q_c_5, A1=> PRI_OUT_1_5_EXMPLR, B0=>nx17728, B1=>nx17730); ix14712 : xnor2 port map ( Y=>nx14711, A0=>PRI_OUT_1_6_EXMPLR, A1=> reg_32_q_c_6); REG_13_reg_q_7 : dff port map ( Q=>PRI_OUT_4(7), QB=>OPEN, D=>nx17768, CLK=>CLK); ix17769 : xnor2 port map ( Y=>nx17768, A0=>nx17764, A1=>nx14719); ix17765 : ao21 port map ( Y=>nx17764, A0=>reg_32_q_c_6, A1=> PRI_OUT_1_6_EXMPLR, B0=>nx17762); ix17763 : nor02 port map ( Y=>nx17762, A0=>nx14708, A1=>nx14711); ix14720 : xnor2 port map ( Y=>nx14719, A0=>PRI_OUT_1_7_EXMPLR, A1=> reg_32_q_c_7); REG_13_reg_q_8 : dff port map ( Q=>PRI_OUT_4(8), QB=>OPEN, D=>nx17786, CLK=>CLK); ix17787 : xor2 port map ( Y=>nx17786, A0=>nx14725, A1=>nx14728); ix14726 : aoi22 port map ( Y=>nx14725, A0=>reg_32_q_c_7, A1=> PRI_OUT_1_7_EXMPLR, B0=>nx17764, B1=>nx17766); ix14729 : xnor2 port map ( Y=>nx14728, A0=>PRI_OUT_1_8_EXMPLR, A1=> reg_32_q_c_8); REG_13_reg_q_9 : dff port map ( Q=>PRI_OUT_4(9), QB=>OPEN, D=>nx17804, CLK=>CLK); ix17805 : xnor2 port map ( Y=>nx17804, A0=>nx17800, A1=>nx14737); ix17801 : ao21 port map ( Y=>nx17800, A0=>reg_32_q_c_8, A1=> PRI_OUT_1_8_EXMPLR, B0=>nx17798); ix17799 : nor02 port map ( Y=>nx17798, A0=>nx14725, A1=>nx14728); ix14738 : xnor2 port map ( Y=>nx14737, A0=>PRI_OUT_1_9_EXMPLR, A1=> reg_32_q_c_9); REG_13_reg_q_10 : dff port map ( Q=>PRI_OUT_4(10), QB=>OPEN, D=>nx17822, CLK=>CLK); ix17823 : xor2 port map ( Y=>nx17822, A0=>nx14742, A1=>nx14745); ix14743 : aoi22 port map ( Y=>nx14742, A0=>reg_32_q_c_9, A1=> PRI_OUT_1_9_EXMPLR, B0=>nx17800, B1=>nx17802); ix14746 : xnor2 port map ( Y=>nx14745, A0=>PRI_OUT_1_10_EXMPLR, A1=> reg_32_q_c_10); REG_13_reg_q_11 : dff port map ( Q=>PRI_OUT_4(11), QB=>OPEN, D=>nx17840, CLK=>CLK); ix17841 : xnor2 port map ( Y=>nx17840, A0=>nx17836, A1=>nx14753); ix17837 : ao21 port map ( Y=>nx17836, A0=>reg_32_q_c_10, A1=> PRI_OUT_1_10_EXMPLR, B0=>nx17834); ix17835 : nor02 port map ( Y=>nx17834, A0=>nx14742, A1=>nx14745); ix14754 : xnor2 port map ( Y=>nx14753, A0=>PRI_OUT_1_11_EXMPLR, A1=> reg_32_q_c_11); REG_13_reg_q_12 : dff port map ( Q=>PRI_OUT_4(12), QB=>OPEN, D=>nx17858, CLK=>CLK); ix17859 : xor2 port map ( Y=>nx17858, A0=>nx14759, A1=>nx14763); ix14760 : aoi22 port map ( Y=>nx14759, A0=>reg_32_q_c_11, A1=> PRI_OUT_1_11_EXMPLR, B0=>nx17836, B1=>nx17838); ix14764 : xnor2 port map ( Y=>nx14763, A0=>PRI_OUT_1_12_EXMPLR, A1=> reg_32_q_c_12); REG_13_reg_q_13 : dff port map ( Q=>PRI_OUT_4(13), QB=>OPEN, D=>nx17876, CLK=>CLK); ix17877 : xnor2 port map ( Y=>nx17876, A0=>nx17872, A1=>nx14771); ix17871 : nor02 port map ( Y=>nx17870, A0=>nx14759, A1=>nx14763); ix14772 : xnor2 port map ( Y=>nx14771, A0=>PRI_OUT_1_13_EXMPLR, A1=> reg_32_q_c_13); REG_13_reg_q_14 : dff port map ( Q=>PRI_OUT_4(14), QB=>OPEN, D=>nx17894, CLK=>CLK); ix17895 : xor2 port map ( Y=>nx17894, A0=>nx14777, A1=>nx14781); REG_13_reg_q_15 : dff port map ( Q=>PRI_OUT_4(15), QB=>OPEN, D=>nx17912, CLK=>CLK); ix17839 : inv02 port map ( Y=>nx17838, A=>nx14753); ix17803 : inv02 port map ( Y=>nx17802, A=>nx14737); ix17767 : inv02 port map ( Y=>nx17766, A=>nx14719); ix17731 : inv02 port map ( Y=>nx17730, A=>nx14703); ix17695 : inv02 port map ( Y=>nx17694, A=>nx14686); ix14393 : inv02 port map ( Y=>nx14392, A=>nx15686); ix14404 : inv02 port map ( Y=>nx14403, A=>nx15676); ix15615 : inv02 port map ( Y=>nx15614, A=>nx13808); ix15591 : inv02 port map ( Y=>nx15590, A=>nx13829); ix14256 : inv02 port map ( Y=>nx14255, A=>nx15498); ix15405 : inv02 port map ( Y=>nx15404, A=>nx13767); ix15393 : inv02 port map ( Y=>nx15392, A=>nx13850); ix15329 : inv02 port map ( Y=>nx15328, A=>nx13636); ix15319 : inv02 port map ( Y=>nx15318, A=>nx13609); ix14132 : inv02 port map ( Y=>nx14131, A=>nx15306); ix15279 : inv02 port map ( Y=>nx15278, A=>nx13625); ix13912 : inv02 port map ( Y=>nx13911, A=>nx15276); ix14196 : inv02 port map ( Y=>nx14195, A=>nx15222); ix14204 : inv02 port map ( Y=>nx14203, A=>nx15210); ix15781 : inv02 port map ( Y=>nx6593, A=>nx13594); ix15127 : inv02 port map ( Y=>nx15126, A=>nx13797); ix14060 : inv02 port map ( Y=>nx14059, A=>nx14956); ix14847 : inv02 port map ( Y=>nx14846, A=>nx12925); ix14809 : inv02 port map ( Y=>nx14808, A=>nx12933); ix14691 : inv02 port map ( Y=>nx14690, A=>nx13071); ix14667 : inv02 port map ( Y=>nx14666, A=>nx13089); ix14647 : inv02 port map ( Y=>nx14646, A=>nx12987); ix14637 : inv02 port map ( Y=>nx14636, A=>nx12992); ix14627 : inv02 port map ( Y=>nx14626, A=>nx13000); ix14609 : inv02 port map ( Y=>nx14608, A=>nx13355); ix14527 : inv02 port map ( Y=>nx14526, A=>nx13007); ix14501 : inv02 port map ( Y=>nx14500, A=>nx13312); ix14387 : inv02 port map ( Y=>nx14386, A=>nx13241); ix14713 : inv02 port map ( Y=>nx6591, A=>nx13029); ix14255 : inv02 port map ( Y=>nx14254, A=>nx13149); ix14245 : inv02 port map ( Y=>nx14244, A=>nx13155); ix13530 : inv02 port map ( Y=>nx13529, A=>nx6589); ix13410 : inv02 port map ( Y=>nx13409, A=>nx14174); ix14167 : inv02 port map ( Y=>nx14166, A=>nx13160); ix14749 : inv02 port map ( Y=>nx6587, A=>nx13285); ix13632 : inv02 port map ( Y=>nx13631, A=>nx6586); ix14131 : inv02 port map ( Y=>nx14130, A=>nx13165); ix14111 : inv02 port map ( Y=>nx14110, A=>nx13184); ix14097 : inv02 port map ( Y=>nx14096, A=>nx13193); ix13364 : inv02 port map ( Y=>nx13363, A=>nx13482); ix13377 : inv02 port map ( Y=>nx13376, A=>nx13472); ix13411 : inv02 port map ( Y=>nx13410, A=>nx12799); ix13387 : inv02 port map ( Y=>nx13386, A=>nx12819); ix13230 : inv02 port map ( Y=>nx13229, A=>nx13294); ix13201 : inv02 port map ( Y=>nx13200, A=>nx12763); ix13189 : inv02 port map ( Y=>nx13188, A=>nx12841); ix13125 : inv02 port map ( Y=>nx13124, A=>nx12617); ix13115 : inv02 port map ( Y=>nx13114, A=>nx12595); ix13112 : inv02 port map ( Y=>nx13111, A=>nx13102); ix13075 : inv02 port map ( Y=>nx13074, A=>nx12607); ix12912 : inv02 port map ( Y=>nx12911, A=>nx13072); ix13174 : inv02 port map ( Y=>nx13173, A=>nx13018); ix13183 : inv02 port map ( Y=>nx13182, A=>nx13006); ix13577 : inv02 port map ( Y=>nx6573, A=>nx12580); ix12923 : inv02 port map ( Y=>nx12922, A=>nx12789); ix13040 : inv02 port map ( Y=>nx13039, A=>nx12752); ix12643 : inv02 port map ( Y=>nx12642, A=>nx11923); ix12605 : inv02 port map ( Y=>nx12604, A=>nx11929); ix12487 : inv02 port map ( Y=>nx12486, A=>nx12057); ix12463 : inv02 port map ( Y=>nx12462, A=>nx12075); ix12443 : inv02 port map ( Y=>nx12442, A=>nx11971); ix12433 : inv02 port map ( Y=>nx12432, A=>nx11978); ix12423 : inv02 port map ( Y=>nx12422, A=>nx11985); ix12405 : inv02 port map ( Y=>nx12404, A=>nx12347); ix12323 : inv02 port map ( Y=>nx12322, A=>nx11993); ix12297 : inv02 port map ( Y=>nx12296, A=>nx12301); ix12183 : inv02 port map ( Y=>nx12182, A=>nx12232); ix12509 : inv02 port map ( Y=>nx6571, A=>nx12017); ix12051 : inv02 port map ( Y=>nx12050, A=>nx12137); ix12041 : inv02 port map ( Y=>nx12040, A=>nx12145); ix12514 : inv02 port map ( Y=>nx12513, A=>nx6570); ix12406 : inv02 port map ( Y=>nx12405, A=>nx11970); ix11963 : inv02 port map ( Y=>nx11962, A=>nx12151); ix12545 : inv02 port map ( Y=>nx6569, A=>nx12273); ix12613 : inv02 port map ( Y=>nx12612, A=>nx6568); ix11927 : inv02 port map ( Y=>nx11926, A=>nx12159); ix11907 : inv02 port map ( Y=>nx11906, A=>nx12179); ix11893 : inv02 port map ( Y=>nx11892, A=>nx12188); ix11641 : inv02 port map ( Y=>nx11640, A=>nx12037); ix12358 : inv02 port map ( Y=>nx12357, A=>nx11278); ix12372 : inv02 port map ( Y=>nx12371, A=>nx11268); ix11207 : inv02 port map ( Y=>nx11206, A=>nx11809); ix11183 : inv02 port map ( Y=>nx11182, A=>nx11827); ix12224 : inv02 port map ( Y=>nx12223, A=>nx11090); ix10997 : inv02 port map ( Y=>nx10996, A=>nx11769); ix10985 : inv02 port map ( Y=>nx10984, A=>nx11847); ix10921 : inv02 port map ( Y=>nx10920, A=>nx11623); ix10911 : inv02 port map ( Y=>nx10910, A=>nx11591); ix12097 : inv02 port map ( Y=>nx12096, A=>nx10898); ix10871 : inv02 port map ( Y=>nx10870, A=>nx11609); ix11914 : inv02 port map ( Y=>nx11913, A=>nx10868); ix12168 : inv02 port map ( Y=>nx12167, A=>nx10814); ix12178 : inv02 port map ( Y=>nx12177, A=>nx10802); ix11373 : inv02 port map ( Y=>nx6554, A=>nx11573); ix10719 : inv02 port map ( Y=>nx10718, A=>nx11799); ix12029 : inv02 port map ( Y=>nx12028, A=>nx10548); ix10439 : inv02 port map ( Y=>nx10438, A=>nx10933); ix10401 : inv02 port map ( Y=>nx10400, A=>nx10941); ix10315 : inv02 port map ( Y=>nx10314, A=>nx11021); ix10283 : inv02 port map ( Y=>nx10282, A=>nx11073); ix10259 : inv02 port map ( Y=>nx10258, A=>nx11091); ix10239 : inv02 port map ( Y=>nx10238, A=>nx10981); ix10229 : inv02 port map ( Y=>nx10228, A=>nx10989); ix10219 : inv02 port map ( Y=>nx10218, A=>nx10995); ix10201 : inv02 port map ( Y=>nx10200, A=>nx11351); ix10119 : inv02 port map ( Y=>nx10118, A=>nx11003); ix10093 : inv02 port map ( Y=>nx10092, A=>nx11311); ix9979 : inv02 port map ( Y=>nx9978, A=>nx11245); ix10305 : inv02 port map ( Y=>nx6551, A=>nx11025); ix9847 : inv02 port map ( Y=>nx9846, A=>nx11149); ix9837 : inv02 port map ( Y=>nx9836, A=>nx11157); ix11508 : inv02 port map ( Y=>nx11507, A=>nx6549); ix11398 : inv02 port map ( Y=>nx11397, A=>nx9766); ix9759 : inv02 port map ( Y=>nx9758, A=>nx11163); ix10341 : inv02 port map ( Y=>nx6548, A=>nx11285); ix11618 : inv02 port map ( Y=>nx11617, A=>nx6547); ix9723 : inv02 port map ( Y=>nx9722, A=>nx11171); ix9703 : inv02 port map ( Y=>nx9702, A=>nx11187); ix9689 : inv02 port map ( Y=>nx9688, A=>nx11195); ix9437 : inv02 port map ( Y=>nx9436, A=>nx11051); ix11359 : inv02 port map ( Y=>nx11358, A=>nx9074); ix11369 : inv02 port map ( Y=>nx11368, A=>nx9064); ix9003 : inv02 port map ( Y=>nx9002, A=>nx15663); ix8979 : inv02 port map ( Y=>nx8978, A=>nx10841); ix11234 : inv02 port map ( Y=>nx11233, A=>nx8886); ix8793 : inv02 port map ( Y=>nx8792, A=>nx10787); ix8781 : inv02 port map ( Y=>nx8780, A=>nx10859); ix8717 : inv02 port map ( Y=>nx8716, A=>nx10643); ix8707 : inv02 port map ( Y=>nx8706, A=>nx10611); ix11112 : inv02 port map ( Y=>nx11111, A=>nx8694); ix8667 : inv02 port map ( Y=>nx8666, A=>nx10629); ix10924 : inv02 port map ( Y=>nx10923, A=>nx8664); ix11177 : inv02 port map ( Y=>nx11176, A=>nx8610); ix11186 : inv02 port map ( Y=>nx11185, A=>nx8598); ix9169 : inv02 port map ( Y=>nx6536, A=>nx10593); ix8515 : inv02 port map ( Y=>nx8514, A=>nx10815); ix11042 : inv02 port map ( Y=>nx11041, A=>nx8344); ix8235 : inv02 port map ( Y=>nx8234, A=>nx9921); ix8197 : inv02 port map ( Y=>nx8196, A=>nx9929); ix8111 : inv02 port map ( Y=>nx8110, A=>nx10021); ix8079 : inv02 port map ( Y=>nx8078, A=>nx10071); ix8055 : inv02 port map ( Y=>nx8054, A=>nx10088); ix8035 : inv02 port map ( Y=>nx8034, A=>nx9983); ix8025 : inv02 port map ( Y=>nx8024, A=>nx9989); ix8015 : inv02 port map ( Y=>nx8014, A=>nx9996); ix7997 : inv02 port map ( Y=>nx7996, A=>nx10355); ix7915 : inv02 port map ( Y=>nx7914, A=>nx10004); ix7889 : inv02 port map ( Y=>nx7888, A=>nx10311); ix7775 : inv02 port map ( Y=>nx7774, A=>nx10243); ix8101 : inv02 port map ( Y=>nx6534, A=>nx10025); ix7643 : inv02 port map ( Y=>nx7642, A=>nx10153); ix7633 : inv02 port map ( Y=>nx7632, A=>nx10161); ix10522 : inv02 port map ( Y=>nx10521, A=>nx6533); ix10404 : inv02 port map ( Y=>nx10403, A=>nx7562); ix7555 : inv02 port map ( Y=>nx7554, A=>nx10167); ix8137 : inv02 port map ( Y=>nx6531, A=>nx10283); ix10638 : inv02 port map ( Y=>nx10637, A=>nx6529); ix7519 : inv02 port map ( Y=>nx7518, A=>nx10173); ix7499 : inv02 port map ( Y=>nx7498, A=>nx10187); ix7485 : inv02 port map ( Y=>nx7484, A=>nx10197); ix7233 : inv02 port map ( Y=>nx7232, A=>nx10049); ix10364 : inv02 port map ( Y=>nx10363, A=>nx6870); ix10376 : inv02 port map ( Y=>nx10375, A=>nx6860); ix6799 : inv02 port map ( Y=>nx6798, A=>nx15665); ix6775 : inv02 port map ( Y=>nx6774, A=>nx9827); ix10235 : inv02 port map ( Y=>nx10234, A=>nx6682); ix6589 : inv02 port map ( Y=>nx6588, A=>nx9775); ix6577 : inv02 port map ( Y=>nx6576, A=>nx9845); ix6513 : inv02 port map ( Y=>nx6512, A=>nx9641); ix6503 : inv02 port map ( Y=>nx6502, A=>nx9611); ix10110 : inv02 port map ( Y=>nx10109, A=>nx6490); ix6463 : inv02 port map ( Y=>nx6462, A=>nx9627); ix9908 : inv02 port map ( Y=>nx9907, A=>nx6460); ix10180 : inv02 port map ( Y=>nx10179, A=>nx6406); ix10186 : inv02 port map ( Y=>nx10185, A=>nx6394); ix6965 : inv02 port map ( Y=>nx6517, A=>nx9593); ix6311 : inv02 port map ( Y=>nx6310, A=>nx9803); ix10038 : inv02 port map ( Y=>nx10037, A=>nx6140); ix6031 : inv02 port map ( Y=>nx6030, A=>nx8923); ix5993 : inv02 port map ( Y=>nx5992, A=>nx8929); ix5907 : inv02 port map ( Y=>nx5906, A=>nx9017); ix5875 : inv02 port map ( Y=>nx5874, A=>nx9067); ix5851 : inv02 port map ( Y=>nx5850, A=>nx9081); ix5831 : inv02 port map ( Y=>nx5830, A=>nx8975); ix5821 : inv02 port map ( Y=>nx5820, A=>nx8983); ix5811 : inv02 port map ( Y=>nx5810, A=>nx8989); ix5793 : inv02 port map ( Y=>nx5792, A=>nx9339); ix5711 : inv02 port map ( Y=>nx5710, A=>nx8997); ix5685 : inv02 port map ( Y=>nx5684, A=>nx9295); ix5571 : inv02 port map ( Y=>nx5570, A=>nx9229); ix5897 : inv02 port map ( Y=>nx6515, A=>nx9022); ix5439 : inv02 port map ( Y=>nx5438, A=>nx9141); ix5429 : inv02 port map ( Y=>nx5428, A=>nx9147); ix9516 : inv02 port map ( Y=>nx9515, A=>nx6513); ix9396 : inv02 port map ( Y=>nx9395, A=>nx5358); ix5351 : inv02 port map ( Y=>nx5350, A=>nx9152); ix5933 : inv02 port map ( Y=>nx6511, A=>nx9267); ix9636 : inv02 port map ( Y=>nx9635, A=>nx6510); ix5315 : inv02 port map ( Y=>nx5314, A=>nx9157); ix5295 : inv02 port map ( Y=>nx5294, A=>nx9173); ix5281 : inv02 port map ( Y=>nx5280, A=>nx9181); ix5029 : inv02 port map ( Y=>nx5028, A=>nx9045); ix9346 : inv02 port map ( Y=>nx9345, A=>nx4666); ix9360 : inv02 port map ( Y=>nx9359, A=>nx4656); ix4595 : inv02 port map ( Y=>nx4594, A=>nx8811); ix4571 : inv02 port map ( Y=>nx4570, A=>nx8831); ix9220 : inv02 port map ( Y=>nx9219, A=>nx4478); ix4385 : inv02 port map ( Y=>nx4384, A=>nx8773); ix4373 : inv02 port map ( Y=>nx4372, A=>nx8850); ix4309 : inv02 port map ( Y=>nx4308, A=>nx8639); ix4299 : inv02 port map ( Y=>nx4298, A=>nx8616); ix9104 : inv02 port map ( Y=>nx9103, A=>nx4286); ix4259 : inv02 port map ( Y=>nx4258, A=>nx8628); ix8914 : inv02 port map ( Y=>nx8913, A=>nx4256); ix9164 : inv02 port map ( Y=>nx9163, A=>nx4202); ix9172 : inv02 port map ( Y=>nx9171, A=>nx4190); ix4761 : inv02 port map ( Y=>nx6499, A=>nx15660); ix4107 : inv02 port map ( Y=>nx4106, A=>nx8801); ix9036 : inv02 port map ( Y=>nx9035, A=>nx3936); ix3827 : inv02 port map ( Y=>nx3826, A=>nx7917); ix3789 : inv02 port map ( Y=>nx3788, A=>nx7922); ix3703 : inv02 port map ( Y=>nx3702, A=>nx8013); ix3671 : inv02 port map ( Y=>nx3670, A=>nx8057); ix3647 : inv02 port map ( Y=>nx3646, A=>nx8075); ix3627 : inv02 port map ( Y=>nx3626, A=>nx7971); ix3617 : inv02 port map ( Y=>nx3616, A=>nx7978); ix3607 : inv02 port map ( Y=>nx3606, A=>nx7985); ix3589 : inv02 port map ( Y=>nx3588, A=>nx8343); ix3507 : inv02 port map ( Y=>nx3506, A=>nx7995); ix3481 : inv02 port map ( Y=>nx3480, A=>nx8295); ix3367 : inv02 port map ( Y=>nx3366, A=>nx8223); ix3693 : inv02 port map ( Y=>nx6497, A=>nx8019); ix3235 : inv02 port map ( Y=>nx3234, A=>nx8133); ix3225 : inv02 port map ( Y=>nx3224, A=>nx8141); ix8530 : inv02 port map ( Y=>nx8529, A=>nx6496); ix8398 : inv02 port map ( Y=>nx8397, A=>nx3154); ix3147 : inv02 port map ( Y=>nx3146, A=>nx8147); ix3729 : inv02 port map ( Y=>nx6495, A=>nx8261); ix8634 : inv02 port map ( Y=>nx8633, A=>nx6493); ix3111 : inv02 port map ( Y=>nx3110, A=>nx8155); ix3091 : inv02 port map ( Y=>nx3090, A=>nx8171); ix3077 : inv02 port map ( Y=>nx3076, A=>nx8179); ix2825 : inv02 port map ( Y=>nx2824, A=>nx8039); ix8352 : inv02 port map ( Y=>nx8351, A=>nx2462); ix8362 : inv02 port map ( Y=>nx8361, A=>nx2452); ix2367 : inv02 port map ( Y=>nx2366, A=>nx7823); ix8212 : inv02 port map ( Y=>nx8211, A=>nx2274); ix2181 : inv02 port map ( Y=>nx2180, A=>nx7775); ix2169 : inv02 port map ( Y=>nx2168, A=>nx7842); ix2105 : inv02 port map ( Y=>nx2104, A=>nx7635); ix8096 : inv02 port map ( Y=>nx8095, A=>nx2082); ix8161 : inv02 port map ( Y=>nx8160, A=>nx1998); ix8170 : inv02 port map ( Y=>nx8169, A=>nx1986); ix2557 : inv02 port map ( Y=>nx6475, A=>nx15655); ix1903 : inv02 port map ( Y=>nx1902, A=>nx7800); ix8031 : inv02 port map ( Y=>nx8030, A=>nx1732); ix1623 : inv02 port map ( Y=>nx1622, A=>nx6977); ix1595 : inv02 port map ( Y=>nx1594, A=>nx6984); ix1487 : inv02 port map ( Y=>nx1486, A=>nx7087); ix1463 : inv02 port map ( Y=>nx1462, A=>nx7101); ix1443 : inv02 port map ( Y=>nx1442, A=>nx7015); ix1433 : inv02 port map ( Y=>nx1432, A=>nx7021); ix1405 : inv02 port map ( Y=>nx1404, A=>nx7337); ix1347 : inv02 port map ( Y=>nx1346, A=>nx7033); ix1329 : inv02 port map ( Y=>nx1328, A=>nx7295); ix1241 : inv02 port map ( Y=>nx1240, A=>nx7233); ix1167 : inv02 port map ( Y=>nx1166, A=>nx7139); ix1157 : inv02 port map ( Y=>nx1156, A=>nx7145); ix7520 : inv02 port map ( Y=>nx7519, A=>nx6471); ix1087 : inv02 port map ( Y=>nx1086, A=>nx7151); ix1545 : inv02 port map ( Y=>nx6470, A=>nx7263); ix7630 : inv02 port map ( Y=>nx7629, A=>nx6469); ix1061 : inv02 port map ( Y=>nx1060, A=>nx7159); ix1041 : inv02 port map ( Y=>nx1040, A=>nx7173); ix1027 : inv02 port map ( Y=>nx1026, A=>nx7183); ix921 : inv02 port map ( Y=>nx920, A=>nx6961); ix885 : inv02 port map ( Y=>nx884, A=>nx6955); ix867 : inv02 port map ( Y=>nx866, A=>nx6951); ix801 : inv02 port map ( Y=>nx800, A=>nx6795); ix785 : inv02 port map ( Y=>nx784, A=>nx7045); ix671 : inv02 port map ( Y=>nx670, A=>nx6943); ix639 : inv02 port map ( Y=>nx638, A=>nx6938); ix553 : inv02 port map ( Y=>nx552, A=>nx7031); ix465 : inv02 port map ( Y=>nx464, A=>nx6859); ix447 : inv02 port map ( Y=>nx446, A=>nx6855); ix335 : inv02 port map ( Y=>nx334, A=>nx6845); ix313 : inv02 port map ( Y=>nx312, A=>nx6827); ix7610 : inv02 port map ( Y=>nx7609, A=>nx234); ix6691 : inv02 port map ( Y=>nx6690, A=>nx6459); ix721 : inv02 port map ( Y=>nx6455, A=>nx6733_XX0_XREP29); ix73 : inv02 port map ( Y=>nx72, A=>nx6889); ix65 : inv02 port map ( Y=>nx64, A=>nx6895); ix6765 : inv02 port map ( Y=>nx6764, A=>nx6453); REG_45_reg_q_0_rep_1 : dff port map ( Q=>nx14797, QB=>OPEN, D=>nx270, CLK =>CLK); REG_20_reg_q_1_rep_1 : dff port map ( Q=>nx14801, QB=>OPEN, D=>nx1196, CLK=>CLK); REG_46_reg_q_1_rep_1 : dff port map ( Q=>nx14803, QB=>OPEN, D=>nx1128, CLK=>CLK); REG_20_reg_q_2_rep_1 : dff port map ( Q=>nx14805, QB=>OPEN, D=>nx2172, CLK=>CLK); REG_20_reg_q_3_rep_1 : dff port map ( Q=>nx14807, QB=>OPEN, D=>nx3274, CLK=>CLK); REG_46_reg_q_3_rep_1 : dff port map ( Q=>nx14809, QB=>OPEN, D=>nx3188, CLK=>CLK); REG_20_reg_q_4_rep_1 : dff port map ( Q=>nx14811, QB=>OPEN, D=>nx4376, CLK=>CLK); REG_20_reg_q_5_rep_1 : dff port map ( Q=>nx14813, QB=>OPEN, D=>nx5478, CLK=>CLK); REG_46_reg_q_5_rep_1 : dff port map ( Q=>nx14815, QB=>OPEN, D=>nx5392, CLK=>CLK); REG_20_reg_q_6_rep_1 : dff port map ( Q=>nx14817, QB=>OPEN, D=>nx6580, CLK=>CLK); REG_20_reg_q_7_rep_1 : dff port map ( Q=>nx14819, QB=>OPEN, D=>nx7682, CLK=>CLK); REG_46_reg_q_7_rep_1 : dff port map ( Q=>nx14821, QB=>OPEN, D=>nx7596, CLK=>CLK); REG_20_reg_q_8_rep_1 : dff port map ( Q=>nx14823, QB=>OPEN, D=>nx8784, CLK=>CLK); REG_20_reg_q_9_rep_1 : dff port map ( Q=>nx14825, QB=>OPEN, D=>nx9886, CLK=>CLK); REG_46_reg_q_9_rep_1 : dff port map ( Q=>nx14827, QB=>OPEN, D=>nx9800, CLK=>CLK); REG_20_reg_q_10_rep_1 : dff port map ( Q=>nx14829, QB=>OPEN, D=>nx10988, CLK=>CLK); REG_20_reg_q_11_rep_1 : dff port map ( Q=>nx14831, QB=>OPEN, D=>nx12090, CLK=>CLK); REG_46_reg_q_11_rep_1 : dff port map ( Q=>nx14833, QB=>OPEN, D=>nx12004, CLK=>CLK); REG_20_reg_q_12_rep_1 : dff port map ( Q=>nx14835, QB=>OPEN, D=>nx13192, CLK=>CLK); REG_20_reg_q_13_rep_1 : dff port map ( Q=>nx14837, QB=>OPEN, D=>nx14294, CLK=>CLK); REG_46_reg_q_13_rep_1 : dff port map ( Q=>nx14839, QB=>OPEN, D=>nx14208, CLK=>CLK); REG_20_reg_q_14_rep_1 : dff port map ( Q=>nx14841, QB=>OPEN, D=>nx15396, CLK=>CLK); REG_20_reg_q_0_rep_1 : dff port map ( Q=>OPEN, QB=>nx14843, D=>nx342, CLK =>CLK); ix14848 : inv02 port map ( Y=>nx14849, A=>C_MUX2_21_SEL); ix14850 : inv02 port map ( Y=>nx14851, A=>C_MUX2_21_SEL); ix14852 : inv02 port map ( Y=>nx14853, A=>C_MUX2_21_SEL); ix14854 : inv02 port map ( Y=>nx14855, A=>C_MUX2_6_SEL); ix14856 : inv02 port map ( Y=>nx14857, A=>C_MUX2_6_SEL); ix14858 : inv02 port map ( Y=>nx14859, A=>C_MUX2_6_SEL); ix14860 : inv02 port map ( Y=>nx14861, A=>C_MUX2_6_SEL); ix14862 : inv02 port map ( Y=>nx14863, A=>C_MUX2_6_SEL); ix14864 : inv02 port map ( Y=>nx14865, A=>C_MUX2_6_SEL); ix14870 : mux21 port map ( Y=>nx14871, A0=>PRI_IN_2(5), A1=>reg_31_q_c_5, S0=>C_MUX2_22_SEL); ix14872 : mux21 port map ( Y=>nx14873, A0=>PRI_IN_2(7), A1=>reg_31_q_c_7, S0=>C_MUX2_22_SEL); ix14874 : mux21 port map ( Y=>nx14875, A0=>PRI_IN_2(9), A1=>reg_31_q_c_9, S0=>C_MUX2_22_SEL); ix14876 : mux21 port map ( Y=>nx14877, A0=>PRI_IN_2(11), A1=> reg_31_q_c_11, S0=>C_MUX2_22_SEL); ix14878 : mux21 port map ( Y=>nx14879, A0=>PRI_IN_2(13), A1=> reg_31_q_c_13, S0=>C_MUX2_22_SEL); ix907 : xnor2 port map ( Y=>nx906, A0=>nx6793, A1=>reg_38_q_c_0); ix661 : xor2 port map ( Y=>nx660, A0=>reg_22_q_c_0, A1=>reg_47_q_c_0); ix711 : xnor2 port map ( Y=>nx710, A0=>nx6647, A1=>reg_48_q_c_0); ix257 : xor2 port map ( Y=>nx256, A0=>nx15652, A1=>nx6463); ix271 : xnor2 port map ( Y=>nx270, A0=>nx6677, A1=>nx6459); ix285 : xor2 port map ( Y=>nx284, A0=>reg_44_q_c_0, A1=>reg_45_q_c_0); ix157 : xor2 port map ( Y=>nx156, A0=>reg_37_q_c_0, A1=>reg_46_q_c_0); ix125 : xor2 port map ( Y=>nx124, A0=>nx6671, A1=>nx6718); ix93 : xor2 port map ( Y=>nx92, A0=>nx6787, A1=>nx15739); ix537 : xor2 port map ( Y=>nx536, A0=>reg_28_q_c_0, A1=>reg_46_q_c_0); ix7 : xor2 port map ( Y=>nx6, A0=>PRI_IN_4(0), A1=>nx6453); ix791 : xor2 port map ( Y=>nx790, A0=>PRI_IN_7(0), A1=>nx6463); ix747 : xor2 port map ( Y=>nx746, A0=>PRI_IN_1(0), A1=>reg_43_q_c_0); ix6983 : or02 port map ( Y=>nx6982, A0=>nx6793, A1=>nx6761); ix6985 : xor2 port map ( Y=>nx6984, A0=>nx7372, A1=>reg_38_q_c_1); ix1585 : xor2 port map ( Y=>nx1584, A0=>reg_26_q_c_1, A1=>nx7237); ix1575 : xor2 port map ( Y=>nx1574, A0=>PRI_OUT_5_1_EXMPLR, A1=>nx7275); ix1551 : xor2 port map ( Y=>nx1550, A0=>reg_12_q_c_1, A1=>nx7315); ix1453 : xor2 port map ( Y=>nx1452, A0=>PRI_IN_6(1), A1=>nx7364); ix7032 : or02 port map ( Y=>nx7031, A0=>nx6839, A1=>nx6671); ix1497 : xor2 port map ( Y=>nx1496, A0=>reg_36_q_c_1, A1=>nx7257); ix7088 : xor2 port map ( Y=>nx7087, A0=>PRI_IN_1(1), A1=>nx7317); ix7100 : or02 port map ( Y=>nx7099, A0=>nx6647, A1=>nx6677); ix7102 : xor2 port map ( Y=>nx7101, A0=>reg_12_q_c_1, A1=>nx7284); ix7130 : xor2 port map ( Y=>nx7129, A0=>nx7284, A1=>nx6469_XX0_XREP55); ix7140 : xor2 port map ( Y=>nx7139, A0=>nx16220, A1=>reg_50_q_c_1); ix7146 : xor2 port map ( Y=>nx7145, A0=>reg_44_q_c_1, A1=>nx16220); ix7160 : xor2 port map ( Y=>nx7159, A0=>nx7257, A1=>nx14803); ix1051 : xor2 port map ( Y=>nx1050, A0=>nx7256, A1=>nx14801); ix1017 : xor2 port map ( Y=>nx1016, A0=>nx7317, A1=>nx6468); ix1281 : xor2 port map ( Y=>nx1280, A0=>nx7209, A1=>PRI_OUT_1_1_EXMPLR); ix1181 : xor2 port map ( Y=>nx1180, A0=>PRI_OUT_9_1_EXMPLR, A1=>nx7177); ix1271 : xor2 port map ( Y=>nx1270, A0=>PRI_IN_1(1), A1=>nx7237); ix1261 : xor2 port map ( Y=>nx1260, A0=>PRI_IN_6(1), A1=>nx7236); ix1251 : xor2 port map ( Y=>nx1250, A0=>nx14801, A1=>nx7235); ix1529 : xor2 port map ( Y=>nx1528, A0=>reg_19_q_c_1, A1=>nx7372); ix7296 : xor2 port map ( Y=>nx7295, A0=>nx7315, A1=>nx14803); ix1371 : xor2 port map ( Y=>nx1370, A0=>nx7325, A1=>nx7151); ix1609 : xor2 port map ( Y=>nx1608, A0=>nx7209, A1=>PRI_OUT_5_1_EXMPLR); ix7398 : xor2 port map ( Y=>nx7397, A0=>nx7892, A1=>PRI_OUT_0_2_EXMPLR); ix7408 : xnor2 port map ( Y=>nx7407, A0=>nx7891, A1=>nx7561); ix2643 : xnor2 port map ( Y=>nx2642, A0=>nx7884, A1=>nx7833); ix7476 : xnor2 port map ( Y=>nx7475, A0=>nx7881, A1=>nx7873); ix7496 : xor2 port map ( Y=>nx7495, A0=>nx14805, A1=>nx7808); ix1733 : xor2 port map ( Y=>nx1732, A0=>PRI_IN_0(2), A1=>nx7561); ix2579 : xnor2 port map ( Y=>nx2578, A0=>nx7837, A1=>nx7780); ix7580 : xor2 port map ( Y=>nx7579, A0=>PRI_IN_1(2), A1=>nx7835); ix7598 : xnor2 port map ( Y=>nx7597, A0=>nx7884, A1=>nx7807); ix7636 : xor2 port map ( Y=>nx7635, A0=>nx7807, A1=>nx6479_XX0_XREP69); ix7646 : xnor2 port map ( Y=>nx7645, A0=>nx16242, A1=>nx7655); ix7653 : xnor2 port map ( Y=>nx7652, A0=>nx7892, A1=>nx16243); ix7670 : xnor2 port map ( Y=>nx7669, A0=>nx7780, A1=>nx15656); ix7684 : xor2 port map ( Y=>nx7683, A0=>nx7808, A1=>nx1986); ix7694 : xnor2 port map ( Y=>nx7693, A0=>nx7805, A1=>nx7778); ix1965 : xor2 port map ( Y=>nx1964, A0=>nx7835, A1=>nx6477); ix2157 : xor2 port map ( Y=>nx2156, A0=>PRI_OUT_9_2_EXMPLR, A1=>nx7686); ix7754 : xor2 port map ( Y=>nx7753, A0=>PRI_IN_6(2), A1=>nx7837); ix2611 : xor2 port map ( Y=>nx2610, A0=>reg_19_q_c_2, A1=>nx7891); ix7818 : xnor2 port map ( Y=>nx7817, A0=>nx7833, A1=>nx7805); ix2463 : xor2 port map ( Y=>nx2462, A0=>nx7873, A1=>nx7787); ix2453 : xor2 port map ( Y=>nx2452, A0=>nx7845, A1=>nx7659); ix7923 : xor2 port map ( Y=>nx7922, A0=>nx8383, A1=>reg_38_q_c_3); ix3779 : xor2 port map ( Y=>nx3778, A0=>reg_26_q_c_3, A1=>nx8227); ix3769 : xor2 port map ( Y=>nx3768, A0=>PRI_OUT_5_3_EXMPLR, A1=>nx8273); ix3743 : ao22 port map ( Y=>nx3742, A0=>nx7833, A1=>reg_12_q_c_2, B0=> nx14887, B1=>nx2642); ix14886 : inv02 port map ( Y=>nx14887, A=>nx7441); ix3745 : xor2 port map ( Y=>nx3744, A0=>reg_12_q_c_3, A1=>nx8321); ix3637 : xor2 port map ( Y=>nx3636, A0=>PRI_IN_6(3), A1=>nx8373); ix2845 : xor2 port map ( Y=>nx2844, A0=>nx8042, A1=>nx6487); ix2867 : ao22 port map ( Y=>nx2866, A0=>nx7780, A1=>reg_36_q_c_2, B0=> nx14889, B1=>nx2578); ix14888 : inv02 port map ( Y=>nx14889, A=>nx7569); ix3681 : xor2 port map ( Y=>nx3680, A0=>reg_36_q_c_3, A1=>nx8252); ix8058 : xor2 port map ( Y=>nx8057, A0=>PRI_IN_1(3), A1=>nx8325); ix8076 : xor2 port map ( Y=>nx8075, A0=>reg_12_q_c_3, A1=>nx8283); ix8114 : xor2 port map ( Y=>nx8113, A0=>nx8128, A1=>nx3154); ix8127 : xor2 port map ( Y=>nx8126, A0=>nx8283, A1=>nx6493_XX0_XREP89); ix8134 : xor2 port map ( Y=>nx8133, A0=>nx8128, A1=>reg_50_q_c_3); ix8142 : xor2 port map ( Y=>nx8141, A0=>reg_44_q_c_3, A1=>nx8128); ix8156 : xor2 port map ( Y=>nx8155, A0=>nx8252, A1=>nx14809); ix3101 : xor2 port map ( Y=>nx3100, A0=>nx8251, A1=>nx14807); ix3067 : xor2 port map ( Y=>nx3066, A0=>nx8325, A1=>nx6491); ix3407 : xor2 port map ( Y=>nx3406, A0=>nx8197, A1=>PRI_OUT_1_3_EXMPLR); ix3259 : xor2 port map ( Y=>nx3258, A0=>PRI_OUT_9_3_EXMPLR, A1=>nx8174); ix3397 : xor2 port map ( Y=>nx3396, A0=>PRI_IN_1(3), A1=>nx8227); ix3387 : xor2 port map ( Y=>nx3386, A0=>PRI_IN_6(3), A1=>nx8226); ix3377 : xor2 port map ( Y=>nx3376, A0=>nx14807, A1=>nx8225); ix3713 : xor2 port map ( Y=>nx3712, A0=>reg_19_q_c_3, A1=>nx8383); ix8296 : xor2 port map ( Y=>nx8295, A0=>nx8321, A1=>nx14809); ix3555 : xor2 port map ( Y=>nx3554, A0=>nx8333, A1=>nx8147); ix3813 : xor2 port map ( Y=>nx3812, A0=>nx8197, A1=>PRI_OUT_5_3_EXMPLR); ix8412 : xor2 port map ( Y=>nx8411, A0=>nx8902, A1=>PRI_OUT_0_4_EXMPLR); ix8422 : xnor2 port map ( Y=>nx8421, A0=>nx8901, A1=>nx8577); ix4847 : xnor2 port map ( Y=>nx4846, A0=>nx8895, A1=>nx8841); ix8488 : xnor2 port map ( Y=>nx8487, A0=>nx8892, A1=>nx8885); ix8510 : xor2 port map ( Y=>nx8509, A0=>nx14811, A1=>nx8810); ix3937 : xor2 port map ( Y=>nx3936, A0=>PRI_IN_0(4), A1=>nx8577); ix4783 : xnor2 port map ( Y=>nx4782, A0=>nx8847, A1=>nx8779); ix8593 : xor2 port map ( Y=>nx8592, A0=>PRI_IN_1(4), A1=>nx8845); ix8610 : xnor2 port map ( Y=>nx8609, A0=>nx8895, A1=>nx8809); ix8617 : xor2 port map ( Y=>nx8616, A0=>nx14917, A1=>nx6505); ix8629 : xor2 port map ( Y=>nx8628, A0=>nx8641, A1=>nx4256); ix8640 : xor2 port map ( Y=>nx8639, A0=>nx8809, A1=>nx6501); ix8651 : xnor2 port map ( Y=>nx8650, A0=>nx8641, A1=>nx8661); ix8660 : xnor2 port map ( Y=>nx8659, A0=>nx8902, A1=>nx8641); ix8675 : xnor2 port map ( Y=>nx8674, A0=>nx8779, A1=>nx14917); ix8690 : xor2 port map ( Y=>nx8689, A0=>nx8810, A1=>nx4190); ix8702 : xnor2 port map ( Y=>nx8701, A0=>nx8807, A1=>nx8776); ix4169 : xor2 port map ( Y=>nx4168, A0=>nx8845, A1=>nx6500); ix4361 : xor2 port map ( Y=>nx4360, A0=>PRI_OUT_9_4_EXMPLR, A1=>nx8693); ix8751 : xor2 port map ( Y=>nx8750, A0=>PRI_IN_6(4), A1=>nx8847); ix4815 : xor2 port map ( Y=>nx4814, A0=>reg_19_q_c_4, A1=>nx8901); ix8822 : xnor2 port map ( Y=>nx8821, A0=>nx8841, A1=>nx8807); ix4667 : xor2 port map ( Y=>nx4666, A0=>nx8885, A1=>nx8789); ix4657 : xor2 port map ( Y=>nx4656, A0=>nx8853, A1=>nx8665); ix8930 : xor2 port map ( Y=>nx8929, A0=>nx9381, A1=>reg_38_q_c_5); ix5983 : xor2 port map ( Y=>nx5982, A0=>reg_26_q_c_5, A1=>nx9233); ix5973 : xor2 port map ( Y=>nx5972, A0=>PRI_OUT_5_5_EXMPLR, A1=>nx9277); ix5947 : ao22 port map ( Y=>nx5946, A0=>nx8841, A1=>reg_12_q_c_4, B0=> nx14891, B1=>nx4846); ix14890 : inv02 port map ( Y=>nx14891, A=>nx8453); ix5949 : xor2 port map ( Y=>nx5948, A0=>reg_12_q_c_5, A1=>nx9314); ix5841 : xor2 port map ( Y=>nx5840, A0=>PRI_IN_6(5), A1=>nx9373); ix5049 : xor2 port map ( Y=>nx5048, A0=>nx9048, A1=>nx6507); ix5071 : ao22 port map ( Y=>nx5070, A0=>nx8779, A1=>reg_36_q_c_4, B0=> nx14893, B1=>nx4782); ix14892 : inv02 port map ( Y=>nx14893, A=>nx8583); ix5885 : xor2 port map ( Y=>nx5884, A0=>reg_36_q_c_5, A1=>nx9259); ix9068 : xor2 port map ( Y=>nx9067, A0=>PRI_IN_1(5), A1=>nx9317); ix9082 : xor2 port map ( Y=>nx9081, A0=>reg_12_q_c_5, A1=>nx9286); ix9120 : xor2 port map ( Y=>nx9119, A0=>nx9134, A1=>nx5358); ix9133 : xor2 port map ( Y=>nx9132, A0=>nx9286, A1=>nx6510); ix9142 : xor2 port map ( Y=>nx9141, A0=>nx9134, A1=>reg_50_q_c_5); ix9148 : xor2 port map ( Y=>nx9147, A0=>reg_44_q_c_5, A1=>nx9134); ix9158 : xor2 port map ( Y=>nx9157, A0=>nx9259, A1=>nx14815); ix5305 : xor2 port map ( Y=>nx5304, A0=>nx9258, A1=>nx14813); ix5271 : xor2 port map ( Y=>nx5270, A0=>nx9317, A1=>nx6509); ix5611 : xor2 port map ( Y=>nx5610, A0=>nx9201, A1=>PRI_OUT_1_5_EXMPLR); ix5463 : xor2 port map ( Y=>nx5462, A0=>PRI_OUT_9_5_EXMPLR, A1=>nx9176); ix5601 : xor2 port map ( Y=>nx5600, A0=>PRI_IN_1(5), A1=>nx9233); ix5591 : xor2 port map ( Y=>nx5590, A0=>PRI_IN_6(5), A1=>nx9232); ix5581 : xor2 port map ( Y=>nx5580, A0=>nx14813, A1=>nx9231); ix5917 : xor2 port map ( Y=>nx5916, A0=>reg_19_q_c_5, A1=>nx9381); ix9296 : xor2 port map ( Y=>nx9295, A0=>nx9314, A1=>nx14815); ix5759 : xor2 port map ( Y=>nx5758, A0=>nx9327, A1=>nx9152); ix6017 : xor2 port map ( Y=>nx6016, A0=>nx9201, A1=>PRI_OUT_5_5_EXMPLR); ix9410 : xor2 port map ( Y=>nx9409, A0=>nx9897, A1=>PRI_OUT_0_6_EXMPLR); ix9420 : xnor2 port map ( Y=>nx9419, A0=>nx9895, A1=>nx9563); ix7051 : xnor2 port map ( Y=>nx7050, A0=>nx9889, A1=>nx9839); ix9474 : xnor2 port map ( Y=>nx9473, A0=>nx9885, A1=>nx9878); ix9496 : xor2 port map ( Y=>nx9495, A0=>nx14817, A1=>nx9812); ix6141 : xor2 port map ( Y=>nx6140, A0=>PRI_IN_0(6), A1=>nx9563); ix6987 : xnor2 port map ( Y=>nx6986, A0=>nx9842, A1=>nx9781); ix9584 : xor2 port map ( Y=>nx9583, A0=>PRI_IN_1(6), A1=>nx9841); ix9604 : xnor2 port map ( Y=>nx9603, A0=>nx9889, A1=>nx9811); ix9612 : xor2 port map ( Y=>nx9611, A0=>nx14919, A1=>nx6521); ix9628 : xor2 port map ( Y=>nx9627, A0=>nx9643, A1=>nx6460); ix9642 : xor2 port map ( Y=>nx9641, A0=>nx9811, A1=>nx6519); ix9654 : xnor2 port map ( Y=>nx9653, A0=>nx9643, A1=>nx9665); ix9664 : xnor2 port map ( Y=>nx9663, A0=>nx9897, A1=>nx9643); ix9680 : xnor2 port map ( Y=>nx9679, A0=>nx9781, A1=>nx14919); ix9694 : xor2 port map ( Y=>nx9693, A0=>nx9812, A1=>nx6394); ix9704 : xnor2 port map ( Y=>nx9703, A0=>nx9809, A1=>nx9779); ix6373 : xor2 port map ( Y=>nx6372, A0=>nx9841, A1=>nx6518); ix6565 : xor2 port map ( Y=>nx6564, A0=>PRI_OUT_9_6_EXMPLR, A1=>nx9696); ix9753 : xor2 port map ( Y=>nx9752, A0=>PRI_IN_6(6), A1=>nx9842); ix7019 : xor2 port map ( Y=>nx7018, A0=>reg_19_q_c_6, A1=>nx9895); ix9822 : xnor2 port map ( Y=>nx9821, A0=>nx9839, A1=>nx9809); ix6871 : xor2 port map ( Y=>nx6870, A0=>nx9878, A1=>nx9789); ix6861 : xor2 port map ( Y=>nx6860, A0=>nx9847, A1=>nx9669); ix9930 : xor2 port map ( Y=>nx9929, A0=>nx10394, A1=>reg_38_q_c_7); ix8187 : xor2 port map ( Y=>nx8186, A0=>reg_26_q_c_7, A1=>nx10247); ix8177 : xor2 port map ( Y=>nx8176, A0=>PRI_OUT_5_7_EXMPLR, A1=>nx10293); ix8151 : ao22 port map ( Y=>nx8150, A0=>nx9839, A1=>reg_12_q_c_6, B0=> nx14895, B1=>nx7050); ix14894 : inv02 port map ( Y=>nx14895, A=>nx9447); ix8153 : xor2 port map ( Y=>nx8152, A0=>reg_12_q_c_7, A1=>nx10331); ix8045 : xor2 port map ( Y=>nx8044, A0=>PRI_IN_6(7), A1=>nx10386); ix7253 : xor2 port map ( Y=>nx7252, A0=>nx10053, A1=>nx6523); ix7275 : ao22 port map ( Y=>nx7274, A0=>nx9781, A1=>reg_36_q_c_6, B0=> nx14897, B1=>nx6986); ix14896 : inv02 port map ( Y=>nx14897, A=>nx9571); ix8089 : xor2 port map ( Y=>nx8088, A0=>reg_36_q_c_7, A1=>nx10273); ix10072 : xor2 port map ( Y=>nx10071, A0=>PRI_IN_1(7), A1=>nx10333); ix10089 : xor2 port map ( Y=>nx10088, A0=>reg_12_q_c_7, A1=>nx10301); ix10130 : xor2 port map ( Y=>nx10129, A0=>nx10145, A1=>nx7562); ix10144 : xor2 port map ( Y=>nx10143, A0=>nx10301, A1=>nx6529); ix10154 : xor2 port map ( Y=>nx10153, A0=>nx10145, A1=>reg_50_q_c_7); ix10162 : xor2 port map ( Y=>nx10161, A0=>reg_44_q_c_7, A1=>nx10145); ix10174 : xor2 port map ( Y=>nx10173, A0=>nx10273, A1=>nx14821); ix7509 : xor2 port map ( Y=>nx7508, A0=>nx10271, A1=>nx14819); ix7475 : xor2 port map ( Y=>nx7474, A0=>nx10333, A1=>nx6527); ix7815 : xor2 port map ( Y=>nx7814, A0=>nx10219, A1=>PRI_OUT_1_7_EXMPLR); ix7667 : xor2 port map ( Y=>nx7666, A0=>PRI_OUT_9_7_EXMPLR, A1=>nx10191); ix7805 : xor2 port map ( Y=>nx7804, A0=>PRI_IN_1(7), A1=>nx10247); ix7795 : xor2 port map ( Y=>nx7794, A0=>PRI_IN_6(7), A1=>nx10246); ix7785 : xor2 port map ( Y=>nx7784, A0=>nx14819, A1=>nx10245); ix8121 : xor2 port map ( Y=>nx8120, A0=>reg_19_q_c_7, A1=>nx10394); ix10312 : xor2 port map ( Y=>nx10311, A0=>nx10331, A1=>nx14821); ix7963 : xor2 port map ( Y=>nx7962, A0=>nx10341, A1=>nx10167); ix8221 : xor2 port map ( Y=>nx8220, A0=>nx10219, A1=>PRI_OUT_5_7_EXMPLR); ix10414 : xor2 port map ( Y=>nx10413, A0=>nx10913, A1=>PRI_OUT_0_dup0_8); ix10422 : xnor2 port map ( Y=>nx10421, A0=>nx10911, A1=>nx10565); ix9255 : xnor2 port map ( Y=>nx9254, A0=>nx10905, A1=>nx10852); ix10480 : xnor2 port map ( Y=>nx10479, A0=>nx10901, A1=>nx10893); ix10502 : xor2 port map ( Y=>nx10501, A0=>nx14823, A1=>nx10823); ix8345 : xor2 port map ( Y=>nx8344, A0=>PRI_IN_0(8), A1=>nx10565); ix9191 : xnor2 port map ( Y=>nx9190, A0=>nx10855, A1=>nx10794); ix10584 : xor2 port map ( Y=>nx10583, A0=>PRI_IN_1(8), A1=>nx10854); ix10604 : xnor2 port map ( Y=>nx10603, A0=>nx10905, A1=>nx10822); ix10612 : xor2 port map ( Y=>nx10611, A0=>nx14921, A1=>nx6541); ix10630 : xor2 port map ( Y=>nx10629, A0=>nx10645, A1=>nx8664); ix10644 : xor2 port map ( Y=>nx10643, A0=>nx10822, A1=>nx6538); ix10656 : xnor2 port map ( Y=>nx10655, A0=>nx10645, A1=>nx10667); ix10666 : xnor2 port map ( Y=>nx10665, A0=>nx10913, A1=>nx10645); ix10682 : xnor2 port map ( Y=>nx10681, A0=>nx10794, A1=>nx14921); ix10700 : xor2 port map ( Y=>nx10699, A0=>nx10823, A1=>nx8598); ix10712 : xnor2 port map ( Y=>nx10711, A0=>nx10821, A1=>nx10791); ix8577 : xor2 port map ( Y=>nx8576, A0=>nx10854, A1=>nx6537); ix8769 : xor2 port map ( Y=>nx8768, A0=>PRI_OUT_9_8_EXMPLR, A1=>nx10703); ix10768 : xor2 port map ( Y=>nx10767, A0=>PRI_IN_6(8), A1=>nx10855); ix9223 : xor2 port map ( Y=>nx9222, A0=>reg_19_q_c_8, A1=>nx10911); ix10834 : xnor2 port map ( Y=>nx10833, A0=>nx10852, A1=>nx10821); ix9075 : xor2 port map ( Y=>nx9074, A0=>nx10893, A1=>nx15661); ix9065 : xor2 port map ( Y=>nx9064, A0=>nx10861, A1=>nx10671); ix10942 : xor2 port map ( Y=>nx10941, A0=>nx11388, A1=>reg_38_q_c_9); ix10391 : xor2 port map ( Y=>nx10390, A0=>reg_26_q_c_9, A1=>nx11251); ix10381 : xor2 port map ( Y=>nx10380, A0=>PRI_OUT_5_9_EXMPLR, A1=>nx11293 ); ix10355 : ao22 port map ( Y=>nx10354, A0=>nx10852, A1=>reg_12_q_c_8, B0=> nx14899, B1=>nx9254); ix14898 : inv02 port map ( Y=>nx14899, A=>nx10446); ix10357 : xor2 port map ( Y=>nx10356, A0=>reg_12_q_c_9, A1=>nx11333); ix10249 : xor2 port map ( Y=>nx10248, A0=>PRI_IN_6(9), A1=>nx11380); ix9457 : xor2 port map ( Y=>nx9456, A0=>nx11054, A1=>nx6544); ix9479 : ao22 port map ( Y=>nx9478, A0=>nx10794, A1=>reg_36_q_c_8, B0=> nx14901, B1=>nx9190); ix14900 : inv02 port map ( Y=>nx14901, A=>nx10571); ix10293 : xor2 port map ( Y=>nx10292, A0=>reg_36_q_c_9, A1=>nx11276); ix11074 : xor2 port map ( Y=>nx11073, A0=>PRI_IN_1(9), A1=>nx11335); ix11092 : xor2 port map ( Y=>nx11091, A0=>reg_12_q_c_9, A1=>nx11303); ix11127 : xor2 port map ( Y=>nx11126, A0=>nx11141, A1=>nx9766); ix11140 : xor2 port map ( Y=>nx11139, A0=>nx11303, A1=>nx6547); ix11150 : xor2 port map ( Y=>nx11149, A0=>nx11141, A1=>reg_50_q_c_9); ix11158 : xor2 port map ( Y=>nx11157, A0=>reg_44_q_c_9, A1=>nx11141); ix11172 : xor2 port map ( Y=>nx11171, A0=>nx11276, A1=>nx14827); ix9713 : xor2 port map ( Y=>nx9712, A0=>nx11275, A1=>nx14825); ix9679 : xor2 port map ( Y=>nx9678, A0=>nx11335, A1=>nx6546); ix10019 : xor2 port map ( Y=>nx10018, A0=>nx11217, A1=>PRI_OUT_1_9_EXMPLR ); ix9871 : xor2 port map ( Y=>nx9870, A0=>PRI_OUT_9_9_EXMPLR, A1=>nx11190); ix10009 : xor2 port map ( Y=>nx10008, A0=>PRI_IN_1(9), A1=>nx11251); ix9999 : xor2 port map ( Y=>nx9998, A0=>PRI_IN_6(9), A1=>nx11249); ix9989 : xor2 port map ( Y=>nx9988, A0=>nx14825, A1=>nx11247); ix10325 : xor2 port map ( Y=>nx10324, A0=>reg_19_q_c_9, A1=>nx11388); ix11312 : xor2 port map ( Y=>nx11311, A0=>nx11333, A1=>nx14827); ix10167 : xor2 port map ( Y=>nx10166, A0=>nx11341, A1=>nx11163); ix10425 : xor2 port map ( Y=>nx10424, A0=>nx11217, A1=>PRI_OUT_5_9_EXMPLR ); ix11410 : xor2 port map ( Y=>nx11409, A0=>nx11901, A1=> PRI_OUT_0_10_EXMPLR); ix11420 : xnor2 port map ( Y=>nx11419, A0=>nx11900, A1=>nx11545); ix11459 : xnor2 port map ( Y=>nx11458, A0=>nx11895, A1=>nx11839); ix11472 : xnor2 port map ( Y=>nx11471, A0=>nx11890, A1=>nx11883); ix11491 : xor2 port map ( Y=>nx11490, A0=>nx14829, A1=>nx11807); ix10549 : xor2 port map ( Y=>nx10548, A0=>PRI_IN_0(10), A1=>nx11545); ix11395 : xnor2 port map ( Y=>nx11394, A0=>nx11843, A1=>nx11775); ix11564 : xor2 port map ( Y=>nx11563, A0=>PRI_IN_1(10), A1=>nx11842); ix11584 : xnor2 port map ( Y=>nx11583, A0=>nx11895, A1=>nx11806); ix11592 : xor2 port map ( Y=>nx11591, A0=>nx14923, A1=>nx6559); ix11610 : xor2 port map ( Y=>nx11609, A0=>nx11625, A1=>nx10868); ix11624 : xor2 port map ( Y=>nx11623, A0=>nx11806, A1=>nx6556); ix11636 : xnor2 port map ( Y=>nx11635, A0=>nx11625, A1=>nx11647); ix11646 : xnor2 port map ( Y=>nx11645, A0=>nx11901, A1=>nx11625); ix11660 : xnor2 port map ( Y=>nx11659, A0=>nx11775, A1=>nx14923); ix11674 : xor2 port map ( Y=>nx11673, A0=>nx11807, A1=>nx10802); ix11686 : xnor2 port map ( Y=>nx11685, A0=>nx11805, A1=>nx11772); ix10781 : xor2 port map ( Y=>nx10780, A0=>nx11842, A1=>nx6555); ix10973 : xor2 port map ( Y=>nx10972, A0=>PRI_OUT_9_10_EXMPLR, A1=> nx11677); ix11746 : xor2 port map ( Y=>nx11745, A0=>PRI_IN_6(10), A1=>nx11843); ix11427 : xor2 port map ( Y=>nx11426, A0=>reg_19_q_c_10, A1=>nx11900); ix11820 : xnor2 port map ( Y=>nx11819, A0=>nx11839, A1=>nx11805); ix11279 : xor2 port map ( Y=>nx11278, A0=>nx11883, A1=>nx11785); ix11269 : xor2 port map ( Y=>nx11268, A0=>nx11849, A1=>nx11651); ix11930 : xor2 port map ( Y=>nx11929, A0=>nx12391, A1=>reg_38_q_c_11); ix12595 : xor2 port map ( Y=>nx12594, A0=>reg_26_q_c_11, A1=>nx12237); ix12585 : xor2 port map ( Y=>nx12584, A0=>PRI_OUT_5_11_EXMPLR, A1=> nx12283); ix12559 : ao22 port map ( Y=>nx12558, A0=>nx11839, A1=>reg_12_q_c_10, B0 =>nx14903, B1=>nx11458); ix14902 : inv02 port map ( Y=>nx14903, A=>nx11439); ix12561 : xor2 port map ( Y=>nx12560, A0=>reg_12_q_c_11, A1=>nx12325); ix12453 : xor2 port map ( Y=>nx12452, A0=>PRI_IN_6(11), A1=>nx12385); ix11661 : xor2 port map ( Y=>nx11660, A0=>nx12041, A1=>nx6563); ix11683 : ao22 port map ( Y=>nx11682, A0=>nx11775, A1=>reg_36_q_c_10, B0 =>nx14905, B1=>nx11394); ix14904 : inv02 port map ( Y=>nx14905, A=>nx11550); ix12497 : xor2 port map ( Y=>nx12496, A0=>reg_36_q_c_11, A1=>nx12263); ix12058 : xor2 port map ( Y=>nx12057, A0=>PRI_IN_1(11), A1=>nx12327); ix12076 : xor2 port map ( Y=>nx12075, A0=>reg_12_q_c_11, A1=>nx12292); ix12114 : xor2 port map ( Y=>nx12113, A0=>nx12129, A1=>nx11970); ix12128 : xor2 port map ( Y=>nx12127, A0=>nx12292, A1=>nx6568); ix12138 : xor2 port map ( Y=>nx12137, A0=>nx12129, A1=>reg_50_q_c_11); ix12146 : xor2 port map ( Y=>nx12145, A0=>reg_44_q_c_11, A1=>nx12129); ix12160 : xor2 port map ( Y=>nx12159, A0=>nx12263, A1=>nx14833); ix11917 : xor2 port map ( Y=>nx11916, A0=>nx12261, A1=>nx14831); ix11883 : xor2 port map ( Y=>nx11882, A0=>nx12327, A1=>nx6567); ix12223 : xor2 port map ( Y=>nx12222, A0=>nx12208, A1=> PRI_OUT_1_11_EXMPLR); ix12075 : xor2 port map ( Y=>nx12074, A0=>PRI_OUT_9_11_EXMPLR, A1=> nx12183); ix12213 : xor2 port map ( Y=>nx12212, A0=>PRI_IN_1(11), A1=>nx12237); ix12203 : xor2 port map ( Y=>nx12202, A0=>PRI_IN_6(11), A1=>nx12236); ix12193 : xor2 port map ( Y=>nx12192, A0=>nx14831, A1=>nx12235); ix12529 : xor2 port map ( Y=>nx12528, A0=>reg_19_q_c_11, A1=>nx12391); ix12302 : xor2 port map ( Y=>nx12301, A0=>nx12325, A1=>nx14833); ix12371 : xor2 port map ( Y=>nx12370, A0=>nx12333, A1=>nx12151); ix12629 : xor2 port map ( Y=>nx12628, A0=>nx12208, A1=> PRI_OUT_5_11_EXMPLR); ix12418 : xor2 port map ( Y=>nx12417, A0=>nx12898, A1=> PRI_OUT_0_12_EXMPLR); ix12428 : xnor2 port map ( Y=>nx12427, A0=>nx12897, A1=>nx12555); ix13663 : xnor2 port map ( Y=>nx13662, A0=>nx12890, A1=>nx12831); ix12478 : xnor2 port map ( Y=>nx12477, A0=>nx12885, A1=>nx12877); ix12496 : xor2 port map ( Y=>nx12495, A0=>nx14835, A1=>nx12797); ix12753 : xor2 port map ( Y=>nx12752, A0=>PRI_IN_0(12), A1=>nx12555); ix13599 : xnor2 port map ( Y=>nx13598, A0=>nx12836, A1=>nx12768); ix12572 : xor2 port map ( Y=>nx12571, A0=>PRI_IN_1(12), A1=>nx12835); ix12590 : xnor2 port map ( Y=>nx12589, A0=>nx12890, A1=>nx12796); ix12596 : xor2 port map ( Y=>nx12595, A0=>nx14925, A1=>nx6581); ix12608 : xor2 port map ( Y=>nx12607, A0=>nx12619, A1=>nx13072); ix12618 : xor2 port map ( Y=>nx12617, A0=>nx12796, A1=>nx6577); ix12630 : xnor2 port map ( Y=>nx12629, A0=>nx12619, A1=>nx12639); ix12638 : xnor2 port map ( Y=>nx12637, A0=>nx12898, A1=>nx12619); ix12652 : xnor2 port map ( Y=>nx12651, A0=>nx12768, A1=>nx14925); ix12670 : xor2 port map ( Y=>nx12669, A0=>nx12797, A1=>nx13006); ix12682 : xnor2 port map ( Y=>nx12681, A0=>nx12795, A1=>nx12766); ix12985 : xor2 port map ( Y=>nx12984, A0=>nx12835, A1=>nx6575); ix13177 : xor2 port map ( Y=>nx13176, A0=>PRI_OUT_9_12_EXMPLR, A1=> nx12673); ix12744 : xor2 port map ( Y=>nx12743, A0=>PRI_IN_6(12), A1=>nx12836); ix13631 : xor2 port map ( Y=>nx13630, A0=>reg_19_q_c_12, A1=>nx12897); ix12810 : xnor2 port map ( Y=>nx12809, A0=>nx12831, A1=>nx12795); ix13483 : xor2 port map ( Y=>nx13482, A0=>nx12877, A1=>nx12775); ix13473 : xor2 port map ( Y=>nx13472, A0=>nx12843, A1=>nx12643); ix12934 : xor2 port map ( Y=>nx12933, A0=>nx13397, A1=>reg_38_q_c_13); ix14799 : xor2 port map ( Y=>nx14798, A0=>reg_26_q_c_13, A1=>nx13246); ix14789 : xor2 port map ( Y=>nx14788, A0=>PRI_OUT_5_13_EXMPLR, A1=> nx13295); ix14763 : ao22 port map ( Y=>nx14762, A0=>nx12831, A1=>reg_12_q_c_12, B0 =>nx14907, B1=>nx13662); ix14906 : inv02 port map ( Y=>nx14907, A=>nx12450); ix14765 : xor2 port map ( Y=>nx14764, A0=>reg_12_q_c_13, A1=>nx13331); ix14657 : xor2 port map ( Y=>nx14656, A0=>PRI_IN_6(13), A1=>nx13391); ix13865 : xor2 port map ( Y=>nx13864, A0=>nx13055, A1=>nx6583); ix13887 : ao22 port map ( Y=>nx13886, A0=>nx12768, A1=>reg_36_q_c_12, B0 =>nx14909, B1=>nx13598); ix14908 : inv02 port map ( Y=>nx14909, A=>nx12563); ix14701 : xor2 port map ( Y=>nx14700, A0=>reg_36_q_c_13, A1=>nx13275); ix13072 : xor2 port map ( Y=>nx13071, A0=>PRI_IN_1(13), A1=>nx13333); ix13090 : xor2 port map ( Y=>nx13089, A0=>reg_12_q_c_13, A1=>nx13303); ix13128 : xor2 port map ( Y=>nx13127, A0=>nx13143, A1=>nx14174); ix13142 : xor2 port map ( Y=>nx13141, A0=>nx13303, A1=>nx6586); ix13150 : xor2 port map ( Y=>nx13149, A0=>nx13143, A1=>reg_50_q_c_13); ix13156 : xor2 port map ( Y=>nx13155, A0=>reg_44_q_c_13, A1=>nx13143); ix13166 : xor2 port map ( Y=>nx13165, A0=>nx13275, A1=>nx14839); ix14121 : xor2 port map ( Y=>nx14120, A0=>nx13273, A1=>nx14837); ix14087 : xor2 port map ( Y=>nx14086, A0=>nx13333, A1=>nx6585); ix14427 : xor2 port map ( Y=>nx14426, A0=>nx13211, A1=> PRI_OUT_1_13_EXMPLR); ix14279 : xor2 port map ( Y=>nx14278, A0=>PRI_OUT_9_13_EXMPLR, A1=> nx13187); ix14417 : xor2 port map ( Y=>nx14416, A0=>PRI_IN_1(13), A1=>nx13246); ix14407 : xor2 port map ( Y=>nx14406, A0=>PRI_IN_6(13), A1=>nx13245); ix14397 : xor2 port map ( Y=>nx14396, A0=>nx14837, A1=>nx13243); ix14733 : xor2 port map ( Y=>nx14732, A0=>reg_19_q_c_13, A1=>nx13397); ix13313 : xor2 port map ( Y=>nx13312, A0=>nx13331, A1=>nx14839); ix14575 : xor2 port map ( Y=>nx14574, A0=>nx13341, A1=>nx13160); ix14833 : xor2 port map ( Y=>nx14832, A0=>nx13211, A1=> PRI_OUT_5_13_EXMPLR); ix13424 : xor2 port map ( Y=>nx13423, A0=>nx13898, A1=> PRI_OUT_0_14_EXMPLR); ix13433 : xnor2 port map ( Y=>nx13432, A0=>nx13897, A1=>nx13569); ix13492 : xnor2 port map ( Y=>nx13491, A0=>nx13887, A1=>nx13879); ix13512 : xor2 port map ( Y=>nx13511, A0=>nx14841, A1=>nx13807); ix14957 : xor2 port map ( Y=>nx14956, A0=>PRI_IN_0(14), A1=>nx13569); ix13587 : xor2 port map ( Y=>nx13586, A0=>PRI_IN_1(14), A1=>nx13845); ix13604 : xnor2 port map ( Y=>nx13603, A0=>nx13891, A1=>nx13805); ix13610 : xor2 port map ( Y=>nx13609, A0=>nx14927, A1=>nx6597); ix13626 : xor2 port map ( Y=>nx13625, A0=>nx13638, A1=>nx15276); ix13637 : xor2 port map ( Y=>nx13636, A0=>nx13805, A1=>nx6595); ix13648 : xnor2 port map ( Y=>nx13647, A0=>nx13638, A1=>nx13657); ix13656 : xnor2 port map ( Y=>nx13655, A0=>nx13898, A1=>nx13638); ix13671 : xnor2 port map ( Y=>nx13670, A0=>nx13772, A1=>nx14927); ix13686 : xor2 port map ( Y=>nx13685, A0=>nx13807, A1=>nx15210); ix13696 : xnor2 port map ( Y=>nx13695, A0=>nx13803, A1=>nx13770); ix15189 : xor2 port map ( Y=>nx15188, A0=>nx13845, A1=>nx6594); ix15381 : xor2 port map ( Y=>nx15380, A0=>PRI_OUT_9_14_EXMPLR, A1=> nx13689); ix13746 : xor2 port map ( Y=>nx13745, A0=>PRI_IN_6(14), A1=>nx13847); ix15835 : xor2 port map ( Y=>nx15834, A0=>reg_19_q_c_14, A1=>nx13897); ix13820 : xnor2 port map ( Y=>nx13819, A0=>nx13841, A1=>nx13803); ix15687 : xor2 port map ( Y=>nx15686, A0=>nx13879, A1=>nx13781); ix15677 : xor2 port map ( Y=>nx15676, A0=>nx13852, A1=>nx13661); ix14016 : xor2 port map ( Y=>nx14015, A0=>nx14295, A1=>reg_31_q_c_15); ix14198 : xor2 port map ( Y=>nx14197, A0=>PRI_OUT_7_15_EXMPLR, A1=> reg_20_q_c_15); ix14233 : xor2 port map ( Y=>nx14232, A0=>PRI_OUT_9_15_EXMPLR, A1=> reg_30_q_c_15); ix14258 : xnor2 port map ( Y=>nx14257, A0=>nx14295, A1=>reg_40_q_c_15); ix16507 : xnor2 port map ( Y=>nx16506, A0=>nx14295, A1=>nx14043); ix14406 : xnor2 port map ( Y=>nx14405, A0=>nx14295, A1=>nx14182); ix14448 : xor2 port map ( Y=>nx14447, A0=>nx7235, A1=>nx7277_XX0_XREP254 ); ix14464 : xor2 port map ( Y=>nx14463, A0=>nx8225, A1=>nx8275); ix14479 : xor2 port map ( Y=>nx14478, A0=>nx9231, A1=>nx9279); ix14494 : xor2 port map ( Y=>nx14493, A0=>nx10245, A1=>nx10295); ix14508 : xor2 port map ( Y=>nx14507, A0=>nx11247, A1=>nx11295); ix14524 : xor2 port map ( Y=>nx14523, A0=>nx12235, A1=>nx12285); ix14538 : xor2 port map ( Y=>nx14537, A0=>nx13243, A1=>nx13297); ix17651 : xor2 port map ( Y=>nx17650, A0=>PRI_OUT_1_0_EXMPLR, A1=> reg_32_q_c_0); REG_46_reg_q_4_rep_1 : dff port map ( Q=>OPEN, QB=>nx14917, D=>nx4290, CLK=>CLK); REG_46_reg_q_6_rep_1 : dff port map ( Q=>OPEN, QB=>nx14919, D=>nx6494, CLK=>CLK); REG_46_reg_q_8_rep_1 : dff port map ( Q=>OPEN, QB=>nx14921, D=>nx8698, CLK=>CLK); REG_46_reg_q_10_rep_1 : dff port map ( Q=>OPEN, QB=>nx14923, D=>nx10902, CLK=>CLK); REG_46_reg_q_12_rep_1 : dff port map ( Q=>OPEN, QB=>nx14925, D=>nx13106, CLK=>CLK); REG_46_reg_q_14_rep_1 : dff port map ( Q=>OPEN, QB=>nx14927, D=>nx15310, CLK=>CLK); ix9335 : ao21 port map ( Y=>PRI_OUT_0_dup0_8, A0=>C_MUX2_5_SEL, A1=> reg_1_q_c_8, B0=>nx9310); REG_1_reg_q_8 : dff port map ( Q=>reg_1_q_c_8, QB=>OPEN, D=>nx9324, CLK=> CLK); ix9311 : nor02 port map ( Y=>nx9310, A0=>C_MUX2_5_SEL, A1=>nx10923); ix11233 : ao21 port map ( Y=>PRI_OUT_3_10_EXMPLR, A0=>C_MUX2_17_SEL, A1=> reg_4_q_c_10, B0=>nx11210); REG_4_reg_q_10 : dff port map ( Q=>reg_4_q_c_10, QB=>OPEN, D=>nx11222, CLK=>CLK); ix11211 : nor02 port map ( Y=>nx11210, A0=>C_MUX2_17_SEL, A1=>nx11809); ix11307 : mux21 port map ( Y=>nx11306, A0=>nx6546, A1=>reg_2_q_c_9, S0=> C_MUX2_11_SEL); ix10033 : ao21 port map ( Y=>nx6546, A0=>nx14851, A1=>reg_6_q_c_9, B0=> nx9928); REG_2_reg_q_9 : dff port map ( Q=>reg_2_q_c_9, QB=>OPEN, D=>nx10094, CLK =>CLK); ix9029 : ao21 port map ( Y=>PRI_OUT_3_8_EXMPLR, A0=>C_MUX2_17_SEL, A1=> reg_4_q_c_8, B0=>nx9006); REG_4_reg_q_8 : dff port map ( Q=>reg_4_q_c_8, QB=>OPEN, D=>nx9018, CLK=> CLK); ix9007 : nor02 port map ( Y=>nx9006, A0=>C_MUX2_17_SEL, A1=>nx10825); ix9029_0_XREP7 : ao21 port map ( Y=>PRI_OUT_3_8_XX0_XREP7, A0=> C_MUX2_17_SEL, A1=>reg_4_q_c_8, B0=>nx9006); ix7927 : ao21 port map ( Y=>PRI_OUT_3_7_EXMPLR, A0=>C_MUX2_17_SEL, A1=> reg_4_q_c_7, B0=>nx7904); REG_4_reg_q_7 : dff port map ( Q=>reg_4_q_c_7, QB=>OPEN, D=>nx7916, CLK=> CLK); ix7905 : nor02 port map ( Y=>nx7904, A0=>C_MUX2_17_SEL, A1=>nx10304); ix7927_0_XREP9 : ao21 port map ( Y=>PRI_OUT_3_7_XX0_XREP9, A0=> C_MUX2_17_SEL, A1=>reg_4_q_c_7, B0=>nx7904); ix6825 : ao21 port map ( Y=>PRI_OUT_3_6_EXMPLR, A0=>C_MUX2_17_SEL, A1=> reg_4_q_c_6, B0=>nx6802); REG_4_reg_q_6 : dff port map ( Q=>reg_4_q_c_6, QB=>OPEN, D=>nx6814, CLK=> CLK); ix6803 : nor02 port map ( Y=>nx6802, A0=>C_MUX2_17_SEL, A1=>nx9813); ix6825_0_XREP11 : ao21 port map ( Y=>PRI_OUT_3_6_XX0_XREP11, A0=> C_MUX2_17_SEL, A1=>reg_4_q_c_6, B0=>nx6802); ix5723 : ao21 port map ( Y=>PRI_OUT_3_5_EXMPLR, A0=>C_MUX2_17_SEL, A1=> reg_4_q_c_5, B0=>nx5700); REG_4_reg_q_5 : dff port map ( Q=>reg_4_q_c_5, QB=>OPEN, D=>nx5712, CLK=> CLK); ix5701 : nor02 port map ( Y=>nx5700, A0=>C_MUX2_17_SEL, A1=>nx9289); ix5723_0_XREP13 : ao21 port map ( Y=>PRI_OUT_3_5_XX0_XREP13, A0=> C_MUX2_17_SEL, A1=>reg_4_q_c_5, B0=>nx5700); ix4621 : ao21 port map ( Y=>PRI_OUT_3_4_EXMPLR, A0=>C_MUX2_17_SEL, A1=> reg_4_q_c_4, B0=>nx4598); REG_4_reg_q_4 : dff port map ( Q=>reg_4_q_c_4, QB=>OPEN, D=>nx4610, CLK=> CLK); ix4599 : nor02 port map ( Y=>nx4598, A0=>C_MUX2_17_SEL, A1=>nx8811); ix4621_0_XREP15 : ao21 port map ( Y=>PRI_OUT_3_4_XX0_XREP15, A0=> C_MUX2_17_SEL, A1=>reg_4_q_c_4, B0=>nx4598); ix3519 : ao21 port map ( Y=>PRI_OUT_3_3_EXMPLR, A0=>C_MUX2_17_SEL, A1=> reg_4_q_c_3, B0=>nx3496); REG_4_reg_q_3 : dff port map ( Q=>reg_4_q_c_3, QB=>OPEN, D=>nx3508, CLK=> CLK); ix3497 : nor02 port map ( Y=>nx3496, A0=>C_MUX2_17_SEL, A1=>nx15814); ix3519_0_XREP17 : ao21 port map ( Y=>PRI_OUT_3_3_XX0_XREP17, A0=> C_MUX2_17_SEL, A1=>reg_4_q_c_3, B0=>nx3496); REG_4_reg_q_2 : dff port map ( Q=>reg_4_q_c_2, QB=>OPEN, D=>nx2406, CLK=> CLK); ix2417_0_XREP19 : ao21 port map ( Y=>PRI_OUT_3_2_XX0_XREP19, A0=> C_MUX2_17_SEL, A1=>reg_4_q_c_2, B0=>nx2394); REG_4_reg_q_1 : dff port map ( Q=>reg_4_q_c_1, QB=>OPEN, D=>nx1348, CLK=> CLK); ix569 : ao21 port map ( Y=>PRI_OUT_3_0_EXMPLR, A0=>C_MUX2_17_SEL, A1=> reg_4_q_c_0, B0=>nx550); REG_4_reg_q_0 : dff port map ( Q=>reg_4_q_c_0, QB=>OPEN, D=>nx558, CLK=> CLK); ix551 : nor02 port map ( Y=>nx550, A0=>C_MUX2_17_SEL, A1=>nx6901); ix569_0_XREP23 : ao21 port map ( Y=>PRI_OUT_3_0_XX0_XREP23, A0=> C_MUX2_17_SEL, A1=>reg_4_q_c_0, B0=>nx550); ix6881 : mux21 port map ( Y=>nx6880, A0=>reg_23_q_c_0, A1=>PRI_IN_9(0), S0=>C_MUX2_16_SEL); REG_23_reg_q_0 : dff port map ( Q=>reg_23_q_c_0, QB=>OPEN, D=>nx808, CLK =>CLK); ix6881_0_XREP25 : mux21 port map ( Y=>nx6880_XX0_XREP25, A0=>reg_23_q_c_0, A1=>PRI_IN_9(0), S0=>C_MUX2_16_SEL); ix849 : ao21 port map ( Y=>nx6453, A0=>C_MUX2_12_SEL, A1=>reg_21_q_c_0, B0=>nx826); REG_21_reg_q_0 : dff port map ( Q=>reg_21_q_c_0, QB=>OPEN, D=>nx838, CLK =>CLK); ix827 : nor02 port map ( Y=>nx826, A0=>C_MUX2_12_SEL, A1=> nx6880_XX0_XREP25); ix849_0_XREP27 : ao21 port map ( Y=>nx6453_XX0_XREP27, A0=>C_MUX2_12_SEL, A1=>reg_21_q_c_0, B0=>nx826); ix6734 : mux21 port map ( Y=>nx6733, A0=>PRI_IN_2(0), A1=>reg_31_q_c_0, S0=>C_MUX2_22_SEL); REG_31_reg_q_0 : dff port map ( Q=>reg_31_q_c_0, QB=>nx6671, D=>nx710, CLK=>CLK); ix6734_0_XREP29 : mux21 port map ( Y=>nx6733_XX0_XREP29, A0=>PRI_IN_2(0), A1=>reg_31_q_c_0, S0=>C_MUX2_22_SEL); ix215 : ao21 port map ( Y=>nx6459, A0=>C_MUX2_15_SEL, A1=>reg_34_q_c_0, B0=>nx76); REG_34_reg_q_0 : dff port map ( Q=>reg_34_q_c_0, QB=>nx6886, D=>nx204, CLK=>CLK); ix77 : nor02 port map ( Y=>nx76, A0=>C_MUX2_15_SEL, A1=>nx6889); ix215_0_XREP33 : ao21 port map ( Y=>nx6459_XX0_XREP33, A0=>C_MUX2_15_SEL, A1=>reg_34_q_c_0, B0=>nx76); REG_46_reg_q_0_rep_1 : dff port map ( Q=>nx14799, QB=>OPEN, D=>nx242, CLK =>CLK); ix243 : xor2 port map ( Y=>nx242, A0=>nx6459, A1=>nx230); ix783 : ao21 port map ( Y=>nx6463, A0=>C_MUX2_20_SEL, A1=>reg_26_q_c_0, B0=>nx778); REG_26_reg_q_0 : dff port map ( Q=>reg_26_q_c_0, QB=>OPEN, D=>nx874, CLK =>CLK); ix779 : nor02 port map ( Y=>nx778, A0=>C_MUX2_20_SEL, A1=>nx6750); ix783_0_XREP37 : ao21 port map ( Y=>nx6463_XX0_XREP37, A0=>C_MUX2_20_SEL, A1=>reg_26_q_c_0, B0=>nx778); REG_40_reg_q_0 : dff port map ( Q=>reg_40_q_c_0, QB=>OPEN, D=>nx400, CLK =>CLK); ix401 : xor2 port map ( Y=>nx400, A0=>PRI_IN_6(0), A1=>reg_36_q_c_0); ix7264 : mux21 port map ( Y=>nx7263, A0=>reg_23_q_c_1, A1=>PRI_IN_9(1), S0=>C_MUX2_16_SEL); REG_23_reg_q_1 : dff port map ( Q=>reg_23_q_c_1, QB=>OPEN, D=>nx1530, CLK =>CLK); REG_21_reg_q_1 : dff port map ( Q=>reg_21_q_c_1, QB=>OPEN, D=>nx1552, CLK =>CLK); ix14866 : mux21 port map ( Y=>nx14867, A0=>PRI_IN_2(1), A1=>reg_31_q_c_1, S0=>C_MUX2_22_SEL); REG_31_reg_q_1 : dff port map ( Q=>reg_31_q_c_1, QB=>OPEN, D=>nx1464, CLK =>CLK); ix1517 : ao21 port map ( Y=>nx6471, A0=>C_MUX2_20_SEL, A1=>reg_26_q_c_1, B0=>nx1512); REG_26_reg_q_1 : dff port map ( Q=>reg_26_q_c_1, QB=>OPEN, D=>nx1576, CLK =>CLK); ix7278_0_XREP53 : mux21 port map ( Y=>nx7277_XX0_XREP53, A0=>PRI_IN_8(1), A1=>PRI_IN_3(1), S0=>C_MUX2_9_SEL); ix1109 : ao21 port map ( Y=>nx6469, A0=>C_MUX2_15_SEL, A1=>reg_34_q_c_1, B0=>nx1010); REG_34_reg_q_1 : dff port map ( Q=>reg_34_q_c_1, QB=>nx7275, D=>nx1098, CLK=>CLK); ix1011 : nor02 port map ( Y=>nx1010, A0=>C_MUX2_15_SEL, A1=> nx7277_XX0_XREP53); ix1109_0_XREP55 : ao21 port map ( Y=>nx6469_XX0_XREP55, A0=>C_MUX2_15_SEL, A1=>reg_34_q_c_1, B0=>nx1010); ix7788 : mux21 port map ( Y=>nx7787, A0=>reg_23_q_c_2, A1=>PRI_IN_9(2), S0=>C_MUX2_16_SEL); REG_23_reg_q_2 : dff port map ( Q=>reg_23_q_c_2, QB=>OPEN, D=>nx2612, CLK =>CLK); ix7788_0_XREP59 : mux21 port map ( Y=>nx7787_XX0_XREP59, A0=>reg_23_q_c_2, A1=>PRI_IN_9(2), S0=>C_MUX2_16_SEL); ix2655 : ao21 port map ( Y=>nx6474, A0=>C_MUX2_12_SEL, A1=>reg_21_q_c_2, B0=>nx2630); REG_21_reg_q_2 : dff port map ( Q=>reg_21_q_c_2, QB=>OPEN, D=>nx2644, CLK =>CLK); ix2631 : nor02 port map ( Y=>nx2630, A0=>C_MUX2_12_SEL, A1=> nx7787_XX0_XREP59); ix2655_0_XREP61 : ao21 port map ( Y=>nx6474_XX0_XREP61, A0=>C_MUX2_12_SEL, A1=>reg_21_q_c_2, B0=>nx2630); ix7588 : mux21 port map ( Y=>nx7587, A0=>PRI_IN_2(2), A1=>reg_31_q_c_2, S0=>C_MUX2_22_SEL); REG_31_reg_q_2 : dff port map ( Q=>reg_31_q_c_2, QB=>nx7808, D=>nx2546, CLK=>CLK); REG_26_reg_q_2 : dff port map ( Q=>reg_26_q_c_2, QB=>OPEN, D=>nx2668, CLK =>CLK); ix2599_0_XREP65 : ao21 port map ( Y=>nx6483_XX0_XREP65, A0=>C_MUX2_20_SEL, A1=>reg_26_q_c_2, B0=>nx2594); REG_46_reg_q_2_rep_1 : dff port map ( Q=>OPEN, QB=>nx14915, D=>nx2086, CLK=>CLK); ix2087 : xor2 port map ( Y=>nx2086, A0=>nx1894, A1=>nx2084); ix2067 : ao21 port map ( Y=>nx6479, A0=>C_MUX2_15_SEL, A1=>reg_34_q_c_2, B0=>nx1906); REG_34_reg_q_2 : dff port map ( Q=>reg_34_q_c_2, QB=>OPEN, D=>nx2056, CLK =>CLK); ix1907 : nor02 port map ( Y=>nx1906, A0=>C_MUX2_15_SEL, A1=>nx7800); ix2067_0_XREP69 : ao21 port map ( Y=>nx6479_XX0_XREP69, A0=>C_MUX2_15_SEL, A1=>reg_34_q_c_2, B0=>nx1906); REG_20_reg_q_2 : dff port map ( Q=>reg_20_q_c_2, QB=>nx7845, D=>nx2172, CLK=>CLK); ix2173 : xnor2 port map ( Y=>nx2172, A0=>nx7501, A1=>nx2170); ix8262 : mux21 port map ( Y=>nx8261, A0=>reg_23_q_c_3, A1=>PRI_IN_9(3), S0=>C_MUX2_16_SEL); REG_23_reg_q_3 : dff port map ( Q=>reg_23_q_c_3, QB=>OPEN, D=>nx3714, CLK =>CLK); ix8262_0_XREP75 : mux21 port map ( Y=>nx8261_XX0_XREP75, A0=>reg_23_q_c_3, A1=>PRI_IN_9(3), S0=>C_MUX2_16_SEL); ix3757 : ao21 port map ( Y=>nx6487, A0=>C_MUX2_12_SEL, A1=>reg_21_q_c_3, B0=>nx3732); REG_21_reg_q_3 : dff port map ( Q=>reg_21_q_c_3, QB=>OPEN, D=>nx3746, CLK =>CLK); ix3733 : nor02 port map ( Y=>nx3732, A0=>C_MUX2_12_SEL, A1=> nx8261_XX0_XREP75); ix3757_0_XREP77 : ao21 port map ( Y=>nx6487_XX0_XREP77, A0=>C_MUX2_12_SEL, A1=>reg_21_q_c_3, B0=>nx3732); ix14868 : mux21 port map ( Y=>nx14869, A0=>PRI_IN_2(3), A1=>reg_31_q_c_3, S0=>C_MUX2_22_SEL); REG_31_reg_q_3 : dff port map ( Q=>reg_31_q_c_3, QB=>OPEN, D=>nx3648, CLK =>CLK); ix3701 : ao21 port map ( Y=>nx6496, A0=>C_MUX2_20_SEL, A1=>reg_26_q_c_3, B0=>nx3696); REG_26_reg_q_3 : dff port map ( Q=>reg_26_q_c_3, QB=>OPEN, D=>nx3770, CLK =>CLK); ix3697 : nor02 port map ( Y=>nx3696, A0=>C_MUX2_20_SEL, A1=>nx8019); ix3701_0_XREP85 : ao21 port map ( Y=>nx6496_XX0_XREP85, A0=>C_MUX2_20_SEL, A1=>reg_26_q_c_3, B0=>nx3696); ix3169 : ao21 port map ( Y=>nx6493, A0=>C_MUX2_15_SEL, A1=>reg_34_q_c_3, B0=>nx3008); REG_34_reg_q_3 : dff port map ( Q=>reg_34_q_c_3, QB=>nx8273, D=>nx3158, CLK=>CLK); ix3009 : nor02 port map ( Y=>nx3008, A0=>C_MUX2_15_SEL, A1=>nx8275); ix3169_0_XREP89 : ao21 port map ( Y=>nx6493_XX0_XREP89, A0=>C_MUX2_15_SEL, A1=>reg_34_q_c_3, B0=>nx3008); ix8790 : mux21 port map ( Y=>nx8789, A0=>reg_23_q_c_4, A1=>PRI_IN_9(4), S0=>C_MUX2_16_SEL); REG_23_reg_q_4 : dff port map ( Q=>reg_23_q_c_4, QB=>OPEN, D=>nx4816, CLK =>CLK); ix8790_0_XREP97 : mux21 port map ( Y=>nx8789_XX0_XREP97, A0=>reg_23_q_c_4, A1=>PRI_IN_9(4), S0=>C_MUX2_16_SEL); ix4859 : ao21 port map ( Y=>nx6498, A0=>C_MUX2_12_SEL, A1=>reg_21_q_c_4, B0=>nx4834); REG_21_reg_q_4 : dff port map ( Q=>reg_21_q_c_4, QB=>OPEN, D=>nx4848, CLK =>CLK); ix4835 : nor02 port map ( Y=>nx4834, A0=>C_MUX2_12_SEL, A1=> nx8789_XX0_XREP97); ix4859_0_XREP99 : ao21 port map ( Y=>nx6498_XX0_XREP99, A0=>C_MUX2_12_SEL, A1=>reg_21_q_c_4, B0=>nx4834); ix8602 : mux21 port map ( Y=>nx8601, A0=>PRI_IN_2(4), A1=>reg_31_q_c_4, S0=>C_MUX2_22_SEL); REG_31_reg_q_4 : dff port map ( Q=>reg_31_q_c_4, QB=>nx8810, D=>nx4750, CLK=>CLK); ix4803 : ao21 port map ( Y=>nx6505, A0=>C_MUX2_20_SEL, A1=>reg_26_q_c_4, B0=>nx4798); REG_26_reg_q_4 : dff port map ( Q=>reg_26_q_c_4, QB=>OPEN, D=>nx4872, CLK =>CLK); ix4799 : nor02 port map ( Y=>nx4798, A0=>C_MUX2_20_SEL, A1=>nx8541); ix4803_0_XREP105 : ao21 port map ( Y=>nx6505_XX0_XREP105, A0=> C_MUX2_20_SEL, A1=>reg_26_q_c_4, B0=>nx4798); ix5905 : ao21 port map ( Y=>nx6513, A0=>C_MUX2_20_SEL, A1=>reg_26_q_c_5, B0=>nx5900); REG_26_reg_q_5 : dff port map ( Q=>reg_26_q_c_5, QB=>OPEN, D=>nx5974, CLK =>CLK); ix5901 : nor02 port map ( Y=>nx5900, A0=>C_MUX2_20_SEL, A1=>nx9022); ix5905_0_XREP123 : ao21 port map ( Y=>nx6513_XX0_XREP123, A0=> C_MUX2_20_SEL, A1=>reg_26_q_c_5, B0=>nx5900); ix9790 : mux21 port map ( Y=>nx9789, A0=>reg_23_q_c_6, A1=>PRI_IN_9(6), S0=>C_MUX2_16_SEL); REG_23_reg_q_6 : dff port map ( Q=>reg_23_q_c_6, QB=>OPEN, D=>nx7020, CLK =>CLK); ix9790_0_XREP131 : mux21 port map ( Y=>nx9789_XX0_XREP131, A0=> reg_23_q_c_6, A1=>PRI_IN_9(6), S0=>C_MUX2_16_SEL); ix7007 : ao21 port map ( Y=>nx6521, A0=>C_MUX2_20_SEL, A1=>reg_26_q_c_6, B0=>nx7002); REG_26_reg_q_6 : dff port map ( Q=>reg_26_q_c_6, QB=>OPEN, D=>nx7076, CLK =>CLK); ix7003 : nor02 port map ( Y=>nx7002, A0=>C_MUX2_20_SEL, A1=>nx9527); ix7007_0_XREP133 : ao21 port map ( Y=>nx6521_XX0_XREP133, A0=> C_MUX2_20_SEL, A1=>reg_26_q_c_6, B0=>nx7002); ix10802 : mux21 port map ( Y=>nx10801, A0=>reg_23_q_c_8, A1=>PRI_IN_9(8), S0=>C_MUX2_16_SEL); REG_23_reg_q_8 : dff port map ( Q=>reg_23_q_c_8, QB=>OPEN, D=>nx9224, CLK =>CLK); ix10131 : ao21 port map ( Y=>PRI_OUT_3_9_EXMPLR, A0=>C_MUX2_17_SEL, A1=> reg_4_q_c_9, B0=>nx10108); REG_4_reg_q_9 : dff port map ( Q=>reg_4_q_c_9, QB=>OPEN, D=>nx10120, CLK =>CLK); ix10109 : nor02 port map ( Y=>nx10108, A0=>C_MUX2_17_SEL, A1=>nx11306); ix10826 : mux21 port map ( Y=>nx10825, A0=>nx6537, A1=>reg_2_q_c_8, S0=> C_MUX2_11_SEL); ix8931 : ao21 port map ( Y=>nx6537, A0=>nx14849, A1=>reg_6_q_c_8, B0=> nx8826); REG_2_reg_q_8 : dff port map ( Q=>reg_2_q_c_8, QB=>OPEN, D=>nx8992, CLK=> CLK); ix10306 : mux21 port map ( Y=>nx10304, A0=>nx6527, A1=>reg_2_q_c_7, S0=> C_MUX2_11_SEL); ix7829 : ao21 port map ( Y=>nx6527, A0=>nx14849, A1=>reg_6_q_c_7, B0=> nx7724); REG_2_reg_q_7 : dff port map ( Q=>reg_2_q_c_7, QB=>OPEN, D=>nx7890, CLK=> CLK); ix9814 : mux21 port map ( Y=>nx9813, A0=>nx6518, A1=>reg_2_q_c_6, S0=> C_MUX2_11_SEL); ix6727 : ao21 port map ( Y=>nx6518, A0=>nx14849, A1=>reg_6_q_c_6, B0=> nx6622); REG_2_reg_q_6 : dff port map ( Q=>reg_2_q_c_6, QB=>OPEN, D=>nx6788, CLK=> CLK); ix7278 : mux21 port map ( Y=>nx7277, A0=>PRI_IN_8(1), A1=>PRI_IN_3(1), S0 =>C_MUX2_9_SEL); ix7278_0_XREP254 : mux21 port map ( Y=>nx7277_XX0_XREP254, A0=> PRI_IN_8(1), A1=>PRI_IN_3(1), S0=>C_MUX2_9_SEL); ix16253 : buf04 port map ( Y=>PRI_OUT_0_8_EXMPLR, A=>PRI_OUT_0_dup0_8); ix16254 : buf04 port map ( Y=>nx15650, A=>PRI_OUT_3_10_EXMPLR); ix16255 : buf04 port map ( Y=>nx15651, A=>nx11306); ix16256 : buf04 port map ( Y=>nx15652, A=>nx14799); ix16257 : buf04 port map ( Y=>nx15653, A=>reg_40_q_c_0); ix16258 : buf04 port map ( Y=>nx15654, A=>nx14867); \[55496__XX0_XREP4\ : buf04 port map ( Y=>\[55496__XX0_XREP4\, A=>nx14867 ); ix16260 : buf04 port map ( Y=>nx15655, A=>nx7587); \[62457__XX0_XREP8\ : buf04 port map ( Y=>\[62457__XX0_XREP8\, A=>nx7587 ); ix16261 : buf04 port map ( Y=>nx15656, A=>nx14915); ix16262 : buf04 port map ( Y=>nx15657, A=>nx7845); ix16263 : buf04 port map ( Y=>nx15659, A=>nx14869); \[69410__XX0_XREP12\ : buf04 port map ( Y=>\[69410__XX0_XREP12\, A=> nx14869); ix16264 : buf04 port map ( Y=>nx15660, A=>nx8601); ix16265 : buf04 port map ( Y=>nx15661, A=>nx10801); ix16266 : buf04 port map ( Y=>nx15662, A=>PRI_OUT_3_9_EXMPLR); \[101637__XX0_XREP18\ : buf04 port map ( Y=>\[101637__XX0_XREP18\, A=> PRI_OUT_3_9_EXMPLR); ix16268 : buf04 port map ( Y=>nx15663, A=>nx10825); ix16269 : buf04 port map ( Y=>nx15664, A=>nx10304); ix16270 : buf04 port map ( Y=>nx15665, A=>nx9813); ix16272 : inv02 port map ( Y=>nx15666, A=>C_MUX2_4_SEL); ix16273 : aoi22 port map ( Y=>nx15667, A0=>reg_29_q_c_13, A1=>nx15666, B0 =>C_MUX2_4_SEL, B1=>reg_30_q_c_13); ix16274 : and02 port map ( Y=>nx15668, A0=>PRI_OUT_3_13_EXMPLR, A1=> nx15667); nx12847_EXMPLR : oai22 port map ( Y=>nx12847, A0=>C_MUX2_4_SEL, A1=> reg_29_q_c_12, B0=>nx15666, B1=>reg_30_q_c_12); ix16275 : and02 port map ( Y=>nx15669, A0=>PRI_OUT_3_12_EXMPLR, A1=> nx12847); ix16276 : inv02 port map ( Y=>nx15670, A=>nx13499); ix16277 : nand03 port map ( Y=>nx15671, A0=>nx16158, A1=>nx14005, A2=> nx15670); nx13855_EXMPLR : oai22 port map ( Y=>nx13855, A0=>C_MUX2_4_SEL, A1=> reg_29_q_c_14, B0=>nx15666, B1=>reg_30_q_c_14); ix16278 : and02 port map ( Y=>nx15672, A0=>PRI_OUT_3_14_EXMPLR, A1=> nx13855); ix16280 : or03 port map ( Y=>nx15673, A0=>nx16158, A1=>nx15672, A2=> nx14005); ix16281 : nor02 port map ( Y=>nx15674, A0=>nx15672, A1=>nx14005); ix16282 : aoi22 port map ( Y=>nx15675, A0=>nx13499, A1=>nx15674, B0=> nx14005, B1=>nx15672); nx16832_EXMPLR : nand03 port map ( Y=>nx16832, A0=>nx15671, A1=>nx15673, A2=>nx15675); nx13345_EXMPLR : oai22 port map ( Y=>nx13345, A0=>C_MUX2_4_SEL, A1=> reg_29_q_c_13, B0=>nx15666, B1=>reg_30_q_c_13); ix16283 : aoi21 port map ( Y=>nx15677, A0=>PRI_OUT_3_12_EXMPLR, A1=> nx12847, B0=>nx13952); nx13954_EXMPLR : inv01 port map ( Y=>nx13954, A=>nx15677); ix16284 : inv01 port map ( Y=>nx15679, A=>PRI_OUT_3_13_EXMPLR); ix16285 : aoi21 port map ( Y=>nx15680, A0=>nx16252, A1=>reg_11_q_c_13, B0 =>nx14556); ix16286 : nor02 port map ( Y=>nx15681, A0=>nx15679, A1=>nx15680); ix16287 : inv01 port map ( Y=>nx15682, A=>PRI_OUT_3_12_EXMPLR); ix16288 : aoi21 port map ( Y=>nx15683, A0=>nx16250, A1=>reg_11_q_c_12, B0 =>nx13454); ix16290 : nor02 port map ( Y=>nx15684, A0=>nx15682, A1=>nx15683); ix16291 : inv02 port map ( Y=>nx15685, A=>nx13863); ix16292 : inv02 port map ( Y=>nx15687, A=>PRI_OUT_3_14_EXMPLR); ix16294 : aoi21 port map ( Y=>nx15689, A0=>nx16252, A1=>reg_11_q_c_14, B0 =>nx15658); ix16295 : nor02 port map ( Y=>nx15690, A0=>nx15687, A1=>nx15689); PRI_OUT_2_14_EXMPLR_EXMPLR : ao21 port map ( Y=>PRI_OUT_2_14_EXMPLR, A0=> nx16252, A1=>reg_11_q_c_14, B0=>nx15658); ix16296 : aoi21 port map ( Y=>nx15691, A0=>PRI_OUT_3_14_EXMPLR, A1=> PRI_OUT_2_14_EXMPLR, B0=>nx14383); ix16297 : aoi22 port map ( Y=>nx15692, A0=>nx13863, A1=>nx15691, B0=> nx14383, B1=>nx15690); PRI_OUT_2_13_EXMPLR_EXMPLR : ao21 port map ( Y=>PRI_OUT_2_13_EXMPLR, A0=> nx16252, A1=>reg_11_q_c_13, B0=>nx14556); PRI_OUT_2_12_EXMPLR_EXMPLR : ao21 port map ( Y=>PRI_OUT_2_12_EXMPLR, A0=> nx16250, A1=>reg_11_q_c_12, B0=>nx13454); ix16298 : inv01 port map ( Y=>nx15693, A=>C_MUX2_24_SEL); ix16299 : inv01 port map ( Y=>nx15694, A=>C_MUX2_2_SEL); nx14885_EXMPLR : oai332 port map ( Y=>nx14885, A0=>C_MUX2_2_SEL, A1=> nx15693, A2=>reg_9_q_c_0, B0=>C_MUX2_2_SEL, B1=>C_MUX2_24_SEL, B2=> PRI_IN_6(0), C0=>nx15694, C1=>PRI_IN_1(0)); ix16300 : inv01 port map ( Y=>nx15695, A=>C_MUX2_18_SEL); ix16301 : inv01 port map ( Y=>nx15696, A=>C_MUX2_24_SEL); ix16302 : or03 port map ( Y=>nx15697, A0=>reg_9_q_c_1, A1=>nx15696, A2=> C_MUX2_2_SEL); ix16304 : inv01 port map ( Y=>nx15698, A=>C_MUX2_2_SEL); ix16305 : inv01 port map ( Y=>nx15699, A=>PRI_IN_6(1)); ix16306 : inv01 port map ( Y=>nx15700, A=>PRI_IN_1(1)); ix16307 : aoi32 port map ( Y=>nx15701, A0=>nx15698, A1=>nx15699, A2=> nx15696, B0=>C_MUX2_2_SEL, B1=>nx15700); nx7241_EXMPLR : oai222 port map ( Y=>nx7241, A0=>nx15695, A1=>reg_7_q_c_1, B0=>C_MUX2_18_SEL, B1=>nx15697, C0=>nx15701, C1=>C_MUX2_18_SEL); ix16308 : nor02 port map ( Y=>nx15702, A0=>C_MUX2_17_SEL, A1=> C_MUX2_11_SEL); ix16309 : and02 port map ( Y=>nx15703, A0=>reg_6_q_c_1, A1=>nx16236); ix16310 : nor02 port map ( Y=>nx15704, A0=>nx16236, A1=>nx7241); ix16311 : inv01 port map ( Y=>nx15705, A=>C_MUX2_17_SEL); ix16312 : ao32 port map ( Y=>nx15706, A0=>reg_2_q_c_1, A1=>C_MUX2_11_SEL, A2=>nx15705, B0=>C_MUX2_17_SEL, B1=>reg_4_q_c_1); PRI_OUT_3_1_EXMPLR_EXMPLR : ao221 port map ( Y=>PRI_OUT_3_1_XX0_XREP21, A0=>nx15702, A1=>nx15703, B0=>nx15704, B1=>nx15702, C0=>nx15706); ix16314 : and03 port map ( Y=>nx15707, A0=>nx15702, A1=>reg_6_q_c_1, A2=> nx16236); ix16315 : and03 port map ( Y=>nx15708, A0=>reg_2_q_c_1, A1=>C_MUX2_11_SEL, A2=>nx15705); ix16316 : inv01 port map ( Y=>nx15709, A=>C_MUX2_11_SEL); ix16318 : inv01 port map ( Y=>nx15710, A=>reg_6_q_c_1); ix16319 : inv01 port map ( Y=>nx15711, A=>reg_2_q_c_1); ix16320 : aoi332 port map ( Y=>nx15713, A0=>nx15709, A1=>nx16236, A2=> nx15710, B0=>nx15709, B1=>C_MUX2_21_SEL, B2=>nx7241, C0=>C_MUX2_11_SEL, C1=>nx15711); nx7286_EXMPLR : inv01 port map ( Y=>nx7286, A=>nx15713); ix16321 : inv01 port map ( Y=>nx15714, A=>nx15713); nx6468_EXMPLR : oai22 port map ( Y=>nx6468, A0=>nx15710, A1=> C_MUX2_21_SEL, B0=>nx16236, B1=>nx7241); ix16322 : and02 port map ( Y=>nx15715, A0=>reg_6_q_c_2, A1=>nx16236); ix16323 : inv01 port map ( Y=>nx15716, A=>C_MUX2_17_SEL); ix16324 : inv01 port map ( Y=>nx15717, A=>C_MUX2_11_SEL); ix16325 : inv01 port map ( Y=>nx15718, A=>C_MUX2_18_SEL); ix16326 : nor03 port map ( Y=>nx15719, A0=>nx16237, A1=>C_MUX2_17_SEL, A2 =>C_MUX2_11_SEL); ix16328 : aoi332 port map ( Y=>nx15720, A0=>nx15715, A1=>nx15716, A2=> nx15717, B0=>reg_2_q_c_2, B1=>C_MUX2_11_SEL, B2=>nx15716, C0=>nx15742, C1=>nx15719); nx2394_EXMPLR : inv01 port map ( Y=>nx2394, A=>nx15720); ix16329 : inv01 port map ( Y=>nx15721, A=>reg_6_q_c_2); ix16330 : inv01 port map ( Y=>nx15722, A=>reg_2_q_c_2); ix16331 : nand02 port map ( Y=>nx15723, A0=>C_MUX2_21_SEL, A1=>nx15717); ix16332 : oai43 port map ( Y=>nx15724, A0=>nx16237, A1=>C_MUX2_11_SEL, A2 =>nx15718, A3=>nx16239, B0=>nx2204, B1=>C_MUX2_18_SEL, B2=>nx15723); nx2390_EXMPLR : aoi321 port map ( Y=>nx2390, A0=>nx15721, A1=>nx16237, A2 =>nx15717, B0=>C_MUX2_11_SEL, B1=>nx15722, C0=>nx15724); nx7809_EXMPLR : inv01 port map ( Y=>nx7809, A=>nx2390); ix16333 : and02 port map ( Y=>nx15725, A0=>nx16239, A1=>C_MUX2_18_SEL); ix16334 : nor02 port map ( Y=>nx15726, A0=>nx16237, A1=>C_MUX2_18_SEL); nx6477_EXMPLR : ao221 port map ( Y=>nx6477, A0=>C_MUX2_21_SEL, A1=> nx15725, B0=>nx2204, B1=>nx15726, C0=>nx15715); ix16335 : inv01 port map ( Y=>nx15727, A=>C_MUX2_18_SEL); ix16336 : aoi22 port map ( Y=>nx15728, A0=>nx14885, A1=>nx15727, B0=> nx6821, B1=>C_MUX2_18_SEL); ix16338 : inv01 port map ( Y=>nx15729, A=>C_MUX2_21_SEL); ix16339 : inv01 port map ( Y=>nx15731, A=>C_MUX2_11_SEL); nx6901_EXMPLR : oai332 port map ( Y=>nx6901, A0=>nx15728, A1=>nx15729, A2 =>C_MUX2_11_SEL, B0=>reg_6_q_c_0, B1=>C_MUX2_11_SEL, B2=>C_MUX2_21_SEL, C0=>nx15731, C1=>reg_2_q_c_0); ix16340 : nor02 port map ( Y=>nx15732, A0=>C_MUX2_11_SEL, A1=> C_MUX2_21_SEL); ix16341 : oai22 port map ( Y=>nx15733, A0=>C_MUX2_18_SEL, A1=>nx14885, B0 =>nx15727, B1=>nx6821); ix16342 : nor02 port map ( Y=>nx15734, A0=>nx15729, A1=>C_MUX2_11_SEL); ix16343 : aoi222 port map ( Y=>nx15735, A0=>C_MUX2_11_SEL, A1=> reg_2_q_c_0, B0=>reg_6_q_c_0, B1=>nx15732, C0=>nx15733, C1=>nx15734); nx546_EXMPLR : inv02 port map ( Y=>nx546, A=>nx15735); ix16344 : inv01 port map ( Y=>nx15736, A=>nx15735); ix16345 : oai22 port map ( Y=>nx15737, A0=>reg_6_q_c_0, A1=>C_MUX2_21_SEL, B0=>nx15729, B1=>nx15728); ix16346 : inv01 port map ( Y=>nx15738, A=>nx15737); nx6817_EXMPLR : inv01 port map ( Y=>nx6817, A=>nx15738); ix16347 : inv02 port map ( Y=>nx15739, A=>nx15738); ix16348 : and03 port map ( Y=>nx15741, A0=>nx15718, A1=>PRI_IN_1(2), A2=> C_MUX2_2_SEL); ix16349 : ao221 port map ( Y=>nx15742, A0=>nx16239, A1=>C_MUX2_18_SEL, B0 =>nx15718, B1=>nx2200, C0=>nx15741); ix16350 : and02 port map ( Y=>nx15743, A0=>PRI_IN_1(2), A1=>C_MUX2_2_SEL ); nx2204_EXMPLR : or02 port map ( Y=>nx2204, A0=>nx15743, A1=>nx2200); ix16351 : and02 port map ( Y=>nx15744, A0=>PRI_OUT_0_13_EXMPLR, A1=> reg_44_q_c_13); ix16352 : and02 port map ( Y=>nx15745, A0=>PRI_OUT_0_12_EXMPLR, A1=> reg_44_q_c_12); ix16353 : inv02 port map ( Y=>nx15746, A=>reg_44_q_c_15); ix16354 : inv02 port map ( Y=>nx15747, A=>PRI_OUT_0_15_EXMPLR); ix16355 : aoi222 port map ( Y=>nx15748, A0=>reg_44_q_c_15, A1=> PRI_OUT_0_15_EXMPLR, B0=>nx15746, B1=>nx15747, C0=>PRI_OUT_0_14_EXMPLR, C1=>reg_44_q_c_14); ix16356 : aoi22 port map ( Y=>nx15749, A0=>reg_44_q_c_15, A1=> PRI_OUT_0_15_EXMPLR, B0=>nx15746, B1=>nx15747); ix16357 : nor02 port map ( Y=>nx15751, A0=>nx15749, A1=>nx13423); nx13925_EXMPLR : oai22 port map ( Y=>nx13925, A0=>nx15746, A1=>nx15747, B0=>reg_44_q_c_15, B1=>PRI_OUT_0_15_EXMPLR); ix16358 : and02 port map ( Y=>nx15752, A0=>PRI_OUT_0_14_EXMPLR, A1=> reg_44_q_c_14); ix16360 : ao22 port map ( Y=>nx15753, A0=>nx13423, A1=>nx15748, B0=> nx13925, B1=>nx15752); nx17052_EXMPLR : ao221 port map ( Y=>nx17052, A0=>nx13419, A1=>nx15748, B0=>nx15787, B1=>nx15751, C0=>nx15753); nx13760_EXMPLR : or02 port map ( Y=>nx13760, A0=>nx15745, A1=>nx13758); nx7151_EXMPLR : oai22 port map ( Y=>nx7151, A0=>C_MUX2_14_SEL, A1=> reg_3_q_c_1, B0=>nx16234, B1=>reg_8_q_c_1); ix16362 : inv01 port map ( Y=>nx15754, A=>nx7241); ix16363 : inv01 port map ( Y=>nx15755, A=>C_MUX2_17_SEL); ix16364 : nor02 port map ( Y=>nx15756, A0=>nx16237, A1=>C_MUX2_11_SEL); ix16365 : or02 port map ( Y=>nx15757, A0=>nx15707, A1=>nx15708); ix16366 : inv01 port map ( Y=>nx15759, A=>C_MUX2_4_SEL); ix16367 : aoi22 port map ( Y=>nx15761, A0=>reg_29_q_c_1, A1=>nx15759, B0 =>reg_30_q_c_1, B1=>C_MUX2_4_SEL); ix16368 : oai22 port map ( Y=>nx15762, A0=>C_MUX2_4_SEL, A1=>reg_29_q_c_1, B0=>nx15759, B1=>reg_30_q_c_1); ix16369 : inv01 port map ( Y=>nx15763, A=>nx15762); nx7329_EXMPLR : inv01 port map ( Y=>nx7329, A=>nx15763); ix16370 : nand02 port map ( Y=>nx15764, A0=>nx7329, A1=>nx16062); nx1422_EXMPLR : oai21 port map ( Y=>nx1422, A0=>nx16062, A1=>nx15761, B0 =>nx15764); ix16371 : inv02 port map ( Y=>nx15765, A=>nx15761); ix16372 : nand02 port map ( Y=>nx15766, A0=>nx15765, A1=>nx16062); nx7025_EXMPLR : oai21 port map ( Y=>nx7025, A0=>nx15771, A1=>nx15763, B0 =>nx15766); PRI_OUT_3_dup0_1 : inv02 port map ( Y=>PRI_OUT_3(1), A=>nx15771); ix16373 : inv01 port map ( Y=>nx15767, A=>nx15771); ix16374 : inv01 port map ( Y=>nx15768, A=>nx15771); ix16376 : inv01 port map ( Y=>nx15769, A=>nx15763); ix16377 : buf04 port map ( Y=>nx15771, A=>nx16062); ix16378 : inv02 port map ( Y=>nx15772, A=>C_MUX2_17_SEL); ix16380 : inv01 port map ( Y=>nx15773, A=>reg_4_q_c_2); PRI_OUT_3_2_EXMPLR_EXMPLR : oai21 port map ( Y=>PRI_OUT_3_2_EXMPLR, A0=> nx15772, A1=>nx15773, B0=>nx15720); ix16382 : and02 port map ( Y=>nx15774, A0=>PRI_OUT_0_11_EXMPLR, A1=> reg_44_q_c_11); ix16384 : or02 port map ( Y=>nx15775, A0=>nx15744, A1=>nx15745); ix16385 : nor02 port map ( Y=>nx15776, A0=>nx15774, A1=>nx12642); ix16386 : nor02 port map ( Y=>nx15777, A0=>nx15776, A1=>nx12417); ix16387 : oai332 port map ( Y=>nx15778, A0=>nx11556, A1=>nx15774, A2=> nx15775, B0=>nx15777, B1=>nx15744, B2=>nx15745, C0=>nx15744, C1=> nx14846); ix16388 : inv02 port map ( Y=>nx15779, A=>nx12417); ix16389 : and03 port map ( Y=>nx15780, A0=>nx15779, A1=>nx14846, A2=> nx12642); ix16390 : inv02 port map ( Y=>nx15781, A=>nx14846); ix16391 : aoi21 port map ( Y=>nx15783, A0=>nx15774, A1=>nx15779, B0=> nx15745); ix16392 : inv02 port map ( Y=>nx15785, A=>nx15744); ix16394 : oai21 port map ( Y=>nx15786, A0=>nx15781, A1=>nx15783, B0=> nx15785); nx13419_EXMPLR : aoi21 port map ( Y=>nx13419, A0=>nx11556, A1=>nx15780, B0=>nx15786); ix16395 : inv01 port map ( Y=>nx15787, A=>nx13419); nx13758_EXMPLR : ao32 port map ( Y=>nx13758, A0=>nx11556, A1=>nx12642, A2 =>nx15779, B0=>nx15774, B1=>nx15779); nx12413_EXMPLR : oai22 port map ( Y=>nx12413, A0=>nx15774, A1=>nx11556, B0=>nx15774, B1=>nx12642); ix16396 : inv02 port map ( Y=>nx15788, A=>C_MUX2_4_SEL); ix16397 : aoi22 port map ( Y=>nx15789, A0=>reg_29_q_c_11, A1=>nx15807, B0 =>reg_30_q_c_11, B1=>C_MUX2_4_SEL); ix16398 : nand02 port map ( Y=>nx15790, A0=>PRI_OUT_3_11_EXMPLR, A1=> nx15789); nx10865_EXMPLR : oai22 port map ( Y=>nx10865, A0=>C_MUX2_4_SEL, A1=> reg_29_q_c_8, B0=>nx15807, B1=>reg_30_q_c_8); ix16399 : inv01 port map ( Y=>nx15791, A=>nx10218); ix16400 : inv01 port map ( Y=>nx15792, A=>nx15662); ix16401 : inv02 port map ( Y=>nx15793, A=>reg_29_q_c_9); ix16402 : inv02 port map ( Y=>nx15795, A=>reg_30_q_c_9); ix16403 : aoi22 port map ( Y=>nx15796, A0=>nx15807, A1=>nx15793, B0=> C_MUX2_4_SEL, B1=>nx15795); ix16404 : inv01 port map ( Y=>nx15797, A=>nx15650); nx11853_EXMPLR : oai22 port map ( Y=>nx11853, A0=>C_MUX2_4_SEL, A1=> reg_29_q_c_10, B0=>nx15808, B1=>reg_30_q_c_10); ix16405 : inv02 port map ( Y=>nx15798, A=>nx11853); ix16406 : and02 port map ( Y=>nx15799, A0=>PRI_OUT_3_11_EXMPLR, A1=> nx15789); ix16407 : nor02 port map ( Y=>nx15800, A0=>nx15799, A1=>nx12422); nx12337_EXMPLR : oai22 port map ( Y=>nx12337, A0=>C_MUX2_4_SEL, A1=> reg_29_q_c_11, B0=>nx15808, B1=>reg_30_q_c_11); ix16408 : inv01 port map ( Y=>nx15801, A=>NOT_nx11750); ix16410 : aoi22 port map ( Y=>nx15803, A0=>reg_29_q_c_9, A1=>nx15808, B0 =>C_MUX2_4_SEL, B1=>reg_30_q_c_9); ix16412 : and02 port map ( Y=>nx15805, A0=>nx15662, A1=>nx15803); ix16414 : and02 port map ( Y=>nx15806, A0=>PRI_OUT_3_8_EXMPLR, A1=> nx10865); nx11477_EXMPLR : oai32 port map ( Y=>nx11477, A0=>nx15805, A1=>nx15806, A2=>nx9544, B0=>nx15805, B1=>nx10218); nx11345_EXMPLR : oai22 port map ( Y=>nx11345, A0=>C_MUX2_4_SEL, A1=> reg_29_q_c_9, B0=>nx15808, B1=>reg_30_q_c_9); nx9546_EXMPLR : inv01 port map ( Y=>nx9546, A=>nx15835); ix16415 : buf04 port map ( Y=>nx15807, A=>nx15788); ix16416 : buf04 port map ( Y=>nx15808, A=>nx15788); ix16417 : nor02 port map ( Y=>nx15809, A0=>nx15681, A1=>nx15684); ix16418 : inv01 port map ( Y=>nx15810, A=>nx12857); ix16419 : oai32 port map ( Y=>nx15811, A0=>nx15810, A1=>nx15681, A2=> nx15684, B0=>nx15681, B1=>nx14608); nx14845_EXMPLR : inv01 port map ( Y=>nx14845, A=>C_MUX2_21_SEL); ix16420 : and02 port map ( Y=>nx15812, A0=>reg_6_q_c_3, A1=>nx16237); ix16422 : inv01 port map ( Y=>nx15813, A=>C_MUX2_11_SEL); ix16424 : oai32 port map ( Y=>nx15814, A0=>nx3316, A1=>nx15812, A2=> C_MUX2_11_SEL, B0=>nx15813, B1=>reg_2_q_c_3); nx8287_EXMPLR : inv01 port map ( Y=>nx8287, A=>nx15816); ix16425 : inv01 port map ( Y=>nx15815, A=>nx15816); nx6491_EXMPLR : or02 port map ( Y=>nx6491, A0=>nx15812, A1=>nx3316); ix16426 : inv01 port map ( Y=>nx15816, A=>nx15814); ix16427 : inv02 port map ( Y=>nx15817, A=>nx15650); ix16428 : inv02 port map ( Y=>nx15819, A=>PRI_OUT_2_10_EXMPLR); nx12342_EXMPLR : oai22 port map ( Y=>nx12342, A0=>nx15817, A1=>nx15819, B0=>nx11859, B1=>nx11863); nx7903_EXMPLR : oai332 port map ( Y=>nx7903, A0=>C_MUX2_7_SEL, A1=> nx16134, A2=>nx16244, B0=>C_MUX2_7_SEL, B1=>C_MUX2_14_SEL, B2=>nx16240, C0=>nx16135, C1=>reg_5_q_c_2); nx2052_EXMPLR : inv01 port map ( Y=>nx2052, A=>nx16146); nx7659_EXMPLR : oai22 port map ( Y=>nx7659, A0=>C_MUX2_14_SEL, A1=> nx16240, B0=>nx16134, B1=>nx16244); ix16429 : inv01 port map ( Y=>nx15820, A=>nx11480); ix16430 : inv01 port map ( Y=>nx15821, A=>PRI_OUT_3_8_EXMPLR); ix16432 : inv02 port map ( Y=>nx15822, A=>nx10865); ix16434 : nor03 port map ( Y=>nx15823, A0=>nx15791, A1=>nx15821, A2=> nx15822); ix16435 : oai321 port map ( Y=>nx15824, A0=>nx11480, A1=>nx15792, A2=> nx15796, B0=>nx15797, B1=>nx15798, C0=>nx15790); ix16436 : ao21 port map ( Y=>nx15825, A0=>nx15820, A1=>nx15823, B0=> nx15824); ix16437 : inv01 port map ( Y=>nx15827, A=>nx15800); ix16438 : or03 port map ( Y=>nx15828, A0=>nx15791, A1=>nx11480, A2=> nx10489); ix16439 : nor03 port map ( Y=>nx15829, A0=>nx15791, A1=>nx11480, A2=> nx10489); ix16440 : oai32 port map ( Y=>nx15830, A0=>nx15791, A1=>nx15821, A2=> nx15822, B0=>nx15792, B1=>nx15796); ix16441 : nor02 port map ( Y=>nx15831, A0=>nx15797, A1=>nx15798); NOT_nx11750_EXMPLR : aoi221 port map ( Y=>NOT_nx11750, A0=>nx16246, A1=> nx15829, B0=>nx15830, B1=>nx15820, C0=>nx15831); ix16442 : and02 port map ( Y=>nx15832, A0=>PRI_OUT_3_8_EXMPLR, A1=> nx10865); ix16443 : inv02 port map ( Y=>nx15833, A=>nx10489); ix16444 : oai22 port map ( Y=>nx15835, A0=>nx16246, A1=>nx15832, B0=> nx15833, B1=>nx15832); nx9544_EXMPLR : nor02 port map ( Y=>nx9544, A0=>nx15967, A1=>nx10489); ix16445 : aoi22 port map ( Y=>nx15837, A0=>reg_3_q_c_0, A1=>nx16234, B0=> reg_8_q_c_0, B1=>C_MUX2_14_SEL); ix16446 : inv01 port map ( Y=>nx15838, A=>reg_5_q_c_0); nx916_EXMPLR : oai33 port map ( Y=>nx916, A0=>nx15837, A1=>C_MUX2_5_SEL, A2=>C_MUX2_7_SEL, B0=>nx15838, B1=>nx16230, B2=>C_MUX2_5_SEL); nx196_EXMPLR : inv02 port map ( Y=>nx196, A=>nx16218); nx6707_EXMPLR : oai22 port map ( Y=>nx6707, A0=>C_MUX2_14_SEL, A1=> reg_3_q_c_0, B0=>nx16234, B1=>reg_8_q_c_0); ix16448 : inv02 port map ( Y=>nx15839, A=>PRI_IN_7(13)); ix16449 : aoi21 port map ( Y=>nx15840, A0=>C_MUX2_20_SEL, A1=> reg_26_q_c_13, B0=>nx14716); ix16450 : nor02 port map ( Y=>nx15841, A0=>nx15839, A1=>nx15840); ix16452 : inv02 port map ( Y=>nx15842, A=>PRI_IN_7(12)); ix16453 : aoi21 port map ( Y=>nx15843, A0=>C_MUX2_20_SEL, A1=> reg_26_q_c_12, B0=>nx13614); ix16454 : nor02 port map ( Y=>nx15844, A0=>nx15842, A1=>nx15843); ix16455 : inv02 port map ( Y=>nx15845, A=>nx13025); ix16456 : inv02 port map ( Y=>nx15846, A=>PRI_IN_7(15)); ix16457 : inv02 port map ( Y=>nx15847, A=>nx6611); ix16458 : inv02 port map ( Y=>nx15848, A=>PRI_IN_7(14)); ix16459 : aoi21 port map ( Y=>nx15849, A0=>C_MUX2_20_SEL, A1=> reg_26_q_c_14, B0=>nx15818); ix16460 : nor02 port map ( Y=>nx15850, A0=>nx15848, A1=>nx15849); ix16462 : aoi221 port map ( Y=>nx15851, A0=>nx15846, A1=>nx15847, B0=> PRI_IN_7(15), B1=>nx6611, C0=>nx15850); ix16463 : aoi22 port map ( Y=>nx15852, A0=>PRI_IN_7(15), A1=>nx6611, B0=> nx15846, B1=>nx15847); ix16464 : and02 port map ( Y=>nx15853, A0=>C_MUX2_20_SEL, A1=> reg_26_q_c_14); ix16465 : nor03 port map ( Y=>nx15855, A0=>nx15853, A1=>PRI_IN_7(14), A2 =>nx15818); ix16466 : nor03 port map ( Y=>nx15856, A0=>nx15852, A1=>nx15855, A2=> nx15850); ix16467 : nor02 port map ( Y=>nx15857, A0=>nx15855, A1=>nx15850); nx14037_EXMPLR : oai22 port map ( Y=>nx14037, A0=>nx15846, A1=>nx15847, B0=>PRI_IN_7(15), B1=>nx6611); ix16468 : oai21 port map ( Y=>nx15858, A0=>nx15853, A1=>nx15818, B0=> PRI_IN_7(14)); ix16469 : oai32 port map ( Y=>nx15859, A0=>nx15857, A1=>nx15850, A2=> nx14037, B0=>nx15852, B1=>nx15858); nx16928_EXMPLR : ao221 port map ( Y=>nx16928, A0=>nx16041, A1=>nx15851, B0=>nx13525, B1=>nx15856, C0=>nx15859); nx6597_EXMPLR : ao21 port map ( Y=>nx6597, A0=>C_MUX2_20_SEL, A1=> reg_26_q_c_14, B0=>nx15818); nx6589_EXMPLR : ao21 port map ( Y=>nx6589, A0=>C_MUX2_20_SEL, A1=> reg_26_q_c_13, B0=>nx14716); nx6581_EXMPLR : ao21 port map ( Y=>nx6581, A0=>C_MUX2_20_SEL, A1=> reg_26_q_c_12, B0=>nx13614); ix16470 : inv02 port map ( Y=>nx15860, A=>PRI_IN_4(13)); ix16471 : aoi21 port map ( Y=>nx15861, A0=>C_MUX2_12_SEL, A1=> reg_21_q_c_13, B0=>nx14752); ix16472 : nor02 port map ( Y=>nx15862, A0=>nx15860, A1=>nx15861); ix16473 : inv02 port map ( Y=>nx15863, A=>PRI_IN_4(12)); ix16474 : aoi21 port map ( Y=>nx15864, A0=>C_MUX2_12_SEL, A1=> reg_21_q_c_12, B0=>nx13650); ix16475 : nor02 port map ( Y=>nx15865, A0=>nx15863, A1=>nx15864); ix16476 : inv02 port map ( Y=>nx15867, A=>nx13051); ix16477 : inv02 port map ( Y=>nx15869, A=>PRI_IN_4(15)); ix16478 : inv02 port map ( Y=>nx15870, A=>nx6601); ix16479 : aoi22 port map ( Y=>nx15871, A0=>PRI_IN_4(15), A1=>nx6601, B0=> nx15869, B1=>nx15870); ix16480 : and02 port map ( Y=>nx15872, A0=>C_MUX2_12_SEL, A1=> reg_21_q_c_14); ix16482 : inv02 port map ( Y=>nx15873, A=>PRI_IN_4(14)); ix16483 : aoi21 port map ( Y=>nx15874, A0=>C_MUX2_12_SEL, A1=> reg_21_q_c_14, B0=>nx15854); ix16484 : oai32 port map ( Y=>nx15875, A0=>nx15872, A1=>PRI_IN_4(14), A2 =>nx15854, B0=>nx15873, B1=>nx15874); ix16486 : inv02 port map ( Y=>nx15876, A=>nx15875); nx13567_EXMPLR : inv02 port map ( Y=>nx13567, A=>nx15876); ix16487 : nor02 port map ( Y=>nx15877, A0=>nx15871, A1=>nx13567); ix16488 : aoi22 port map ( Y=>nx15878, A0=>nx15871, A1=>nx15873, B0=> nx15871, B1=>nx15874); ix16489 : oai322 port map ( Y=>nx15879, A0=>nx15871, A1=>nx15873, A2=> nx15874, B0=>nx15876, B1=>nx15878, C0=>nx16184, C1=>nx15878); nx16050_EXMPLR : ao21 port map ( Y=>nx16050, A0=>nx16184, A1=>nx15877, B0 =>nx15879); nx6592_EXMPLR : ao21 port map ( Y=>nx6592, A0=>C_MUX2_12_SEL, A1=> reg_21_q_c_14, B0=>nx15854); ix16490 : inv01 port map ( Y=>nx15881, A=>nx16184); ix16491 : inv02 port map ( Y=>nx15883, A=>nx15876); nx6583_EXMPLR : ao21 port map ( Y=>nx6583, A0=>C_MUX2_12_SEL, A1=> reg_21_q_c_13, B0=>nx14752); nx13842_EXMPLR : or02 port map ( Y=>nx13842, A0=>nx15865, A1=>nx13840); nx6572_EXMPLR : ao21 port map ( Y=>nx6572, A0=>C_MUX2_12_SEL, A1=> reg_21_q_c_12, B0=>nx13650); ix16492 : inv01 port map ( Y=>nx15884, A=>nx10413); ix16493 : and02 port map ( Y=>nx15885, A0=>PRI_OUT_0_7_EXMPLR, A1=> reg_44_q_c_7); ix16494 : aoi332 port map ( Y=>nx15886, A0=>nx10438, A1=> PRI_OUT_0_8_EXMPLR, A2=>reg_44_q_c_8, B0=>nx10438, B1=>nx15884, B2=> nx15885, C0=>PRI_OUT_0_9_EXMPLR, C1=>reg_44_q_c_9); ix16495 : nand04 port map ( Y=>nx15887, A0=>nx7148, A1=>nx15884, A2=> nx10438, A3=>nx8234); nx11554_EXMPLR : oai22 port map ( Y=>nx11554, A0=>nx15886, A1=>nx11409, B0=>nx11409, B1=>nx15887); ix16496 : nand02 port map ( Y=>nx15888, A0=>PRI_OUT_0_9_EXMPLR, A1=> reg_44_q_c_9); ix16497 : aoi332 port map ( Y=>nx15889, A0=>nx15884, A1=> PRI_OUT_0_7_EXMPLR, A2=>reg_44_q_c_7, B0=>nx7148, B1=>nx8234, B2=> nx15884, C0=>PRI_OUT_0_8_EXMPLR, C1=>reg_44_q_c_8); ix16498 : and02 port map ( Y=>nx15891, A0=>PRI_OUT_0_9_EXMPLR, A1=> reg_44_q_c_9); ix16500 : nor02 port map ( Y=>nx15893, A0=>nx15891, A1=>nx10438); nx11405_EXMPLR : ao21 port map ( Y=>nx11405, A0=>nx15888, A1=>nx15889, B0 =>nx15893); nx9352_EXMPLR : inv02 port map ( Y=>nx9352, A=>nx15889); nx10410_EXMPLR : oai22 port map ( Y=>nx10410, A0=>nx15885, A1=>nx7148, B0 =>nx15885, B1=>nx8234); ix16501 : inv01 port map ( Y=>nx15894, A=>PRI_OUT_3_2_EXMPLR); ix16502 : inv01 port map ( Y=>nx15895, A=>PRI_OUT_2_2_EXMPLR); nx3526_EXMPLR : oai22 port map ( Y=>nx3526, A0=>nx15894, A1=>nx15895, B0 =>nx7853, B1=>nx7857); ix16503 : inv01 port map ( Y=>nx15896, A=>PRI_OUT_3_4_EXMPLR); ix16504 : inv02 port map ( Y=>nx15897, A=>PRI_OUT_2_4_EXMPLR); ix16505 : inv02 port map ( Y=>nx15898, A=>PRI_IN_7(12)); ix16506 : inv02 port map ( Y=>nx15899, A=>nx6581); ix16508 : aoi22 port map ( Y=>nx15901, A0=>nx6581, A1=>nx15898, B0=> PRI_IN_7(12), B1=>nx15899); ix16510 : inv02 port map ( Y=>nx15903, A=>nx15844); ix16511 : inv02 port map ( Y=>nx15904, A=>nx15841); ix16512 : inv02 port map ( Y=>nx15905, A=>nx15818); ix16513 : inv02 port map ( Y=>nx15906, A=>nx15853); ix16514 : inv02 port map ( Y=>nx15907, A=>PRI_IN_7(14)); ix16515 : inv02 port map ( Y=>nx15908, A=>nx15848); ix16516 : inv02 port map ( Y=>nx15909, A=>nx15849); ix16517 : aoi32 port map ( Y=>nx15910, A0=>nx15905, A1=>nx15906, A2=> nx15907, B0=>nx15908, B1=>nx15909); ix16518 : nand03 port map ( Y=>nx15911, A0=>nx15903, A1=>nx15904, A2=> nx15910); ix16519 : inv02 port map ( Y=>nx15913, A=>nx15845); ix16520 : nor02 port map ( Y=>nx15914, A0=>nx15913, A1=>nx15910); ix16521 : aoi21 port map ( Y=>nx15915, A0=>nx15844, A1=>nx15845, B0=> nx15841); ix16522 : nor02 port map ( Y=>nx15916, A0=>nx15910, A1=>nx15915); ix16523 : aoi321 port map ( Y=>nx15917, A0=>nx15913, A1=>nx15904, A2=> nx15910, B0=>nx13812, B1=>nx15914, C0=>nx15916); nx15826_EXMPLR : oai21 port map ( Y=>nx15826, A0=>nx13812, A1=>nx15911, B0=>nx15917); nx12519_EXMPLR : oai22 port map ( Y=>nx12519, A0=>nx15898, A1=>nx15899, B0=>PRI_IN_7(12), B1=>nx6581); ix16524 : inv01 port map ( Y=>nx15918, A=>C_MUX2_16_SEL); ix16525 : aoi22 port map ( Y=>nx15919, A0=>reg_23_q_c_1, A1=>nx15918, B0 =>PRI_IN_9(1), B1=>C_MUX2_16_SEL); ix16526 : inv01 port map ( Y=>nx15920, A=>reg_23_q_c_1); ix16528 : inv01 port map ( Y=>nx15921, A=>PRI_IN_9(1)); ix16529 : aoi22 port map ( Y=>nx15923, A0=>nx15918, A1=>nx15920, B0=> C_MUX2_16_SEL, B1=>nx15921); ix16530 : inv01 port map ( Y=>nx15924, A=>PRI_IN_4(1)); ix16532 : inv01 port map ( Y=>nx15925, A=>C_MUX2_12_SEL); ix16533 : inv01 port map ( Y=>nx15926, A=>reg_21_q_c_1); ix16534 : aoi22 port map ( Y=>nx15927, A0=>reg_21_q_c_1, A1=>nx15924, B0 =>PRI_IN_4(1), B1=>nx15926); nx954_EXMPLR : oai332 port map ( Y=>nx954, A0=>nx15919, A1=>C_MUX2_12_SEL, A2=>PRI_IN_4(1), B0=>nx15923, B1=>nx15924, B2=>C_MUX2_12_SEL, C0=> nx15925, C1=>nx15927); ix16535 : aoi22 port map ( Y=>nx15928, A0=>PRI_IN_4(1), A1=>reg_23_q_c_1, B0=>nx15924, B1=>nx15920); ix16536 : aoi22 port map ( Y=>nx15929, A0=>PRI_IN_4(1), A1=>PRI_IN_9(1), B0=>nx15924, B1=>nx15921); ix16537 : aoi22 port map ( Y=>nx15930, A0=>PRI_IN_4(1), A1=>reg_21_q_c_1, B0=>nx15924, B1=>nx15926); nx7072_EXMPLR : oai332 port map ( Y=>nx7072, A0=>nx15928, A1=> C_MUX2_12_SEL, A2=>C_MUX2_16_SEL, B0=>nx15929, B1=>nx15918, B2=> C_MUX2_12_SEL, C0=>nx15925, C1=>nx15930); nx6466_XX0_XREP43_EXMPLR : oai22 port map ( Y=>nx6466_XX0_XREP43, A0=> nx15925, A1=>nx15926, B0=>nx15919, B1=>C_MUX2_12_SEL); nx1548_EXMPLR : oai33 port map ( Y=>nx1548, A0=>C_MUX2_12_SEL, A1=> nx15921, A2=>nx15918, B0=>C_MUX2_12_SEL, B1=>nx15920, B2=> C_MUX2_16_SEL); ix16538 : inv01 port map ( Y=>nx15931, A=>PRI_OUT_3_8_EXMPLR); ix16539 : inv02 port map ( Y=>nx15932, A=>PRI_OUT_2_8_EXMPLR); nx10138_EXMPLR : oai22 port map ( Y=>nx10138, A0=>nx15931, A1=>nx15932, B0=>nx10871, B1=>nx10875); ix16540 : inv01 port map ( Y=>nx15933, A=>nx6474_XX0_XREP61); ix16542 : inv02 port map ( Y=>nx15935, A=>PRI_IN_4(2)); nx2822_EXMPLR : oai22 port map ( Y=>nx2822, A0=>nx15933, A1=>nx15935, B0 =>nx7549, B1=>nx7559); ix16543 : and02 port map ( Y=>nx15937, A0=>C_MUX2_20_SEL, A1=> reg_26_q_c_10); ix16544 : nor03 port map ( Y=>nx15938, A0=>PRI_IN_7(10), A1=>nx15937, A2 =>nx11410); ix16545 : inv02 port map ( Y=>nx15939, A=>PRI_IN_7(10)); ix16546 : aoi21 port map ( Y=>nx15940, A0=>C_MUX2_20_SEL, A1=> reg_26_q_c_10, B0=>nx11410); ix16547 : nor02 port map ( Y=>nx15941, A0=>nx15901, A1=>nx12011); ix16548 : aoi32 port map ( Y=>nx15942, A0=>PRI_IN_7(11), A1=> C_MUX2_20_SEL, A2=>reg_26_q_c_11, B0=>PRI_IN_7(11), B1=>nx12512); ix16549 : oai21 port map ( Y=>nx15943, A0=>nx15942, A1=>nx15901, B0=> nx15903); ix16550 : inv02 port map ( Y=>nx15944, A=>nx15904); ix16552 : inv02 port map ( Y=>nx15945, A=>PRI_IN_7(11)); ix16553 : aoi21 port map ( Y=>nx15946, A0=>C_MUX2_20_SEL, A1=> reg_26_q_c_11, B0=>nx12512); ix16554 : nor02 port map ( Y=>nx15947, A0=>nx15945, A1=>nx15946); ix16555 : nor02 port map ( Y=>nx15948, A0=>nx15939, A1=>nx15940); ix16556 : aoi21 port map ( Y=>nx15949, A0=>nx15939, A1=>nx15940, B0=> nx11503); ix16557 : inv02 port map ( Y=>nx15951, A=>nx12011); nx12509_EXMPLR : oai32 port map ( Y=>nx12509, A0=>nx15947, A1=>nx15948, A2=>nx15949, B0=>nx15951, B1=>nx15947); nx6570_EXMPLR : ao21 port map ( Y=>nx6570, A0=>C_MUX2_20_SEL, A1=> reg_26_q_c_11, B0=>nx12512); nx6559_EXMPLR : ao21 port map ( Y=>nx6559, A0=>C_MUX2_20_SEL, A1=> reg_26_q_c_10, B0=>nx11410); nx11511_EXMPLR : oai32 port map ( Y=>nx11511, A0=>nx15937, A1=> PRI_IN_7(10), A2=>nx11410, B0=>nx15939, B1=>nx15940); ix16558 : inv02 port map ( Y=>nx15952, A=>C_MUX2_4_SEL); ix16559 : aoi22 port map ( Y=>nx15953, A0=>reg_29_q_c_7, A1=>nx15973, B0 =>reg_30_q_c_7, B1=>C_MUX2_4_SEL); ix16560 : nand02 port map ( Y=>nx15954, A0=>PRI_OUT_3_7_EXMPLR, A1=> nx15953); nx8857_EXMPLR : oai22 port map ( Y=>nx8857, A0=>C_MUX2_4_SEL, A1=> reg_29_q_c_4, B0=>nx15973, B1=>reg_30_q_c_4); ix16562 : aoi21 port map ( Y=>nx15955, A0=>PRI_OUT_3_4_EXMPLR, A1=>nx8857, B0=>nx5136); ix16563 : inv01 port map ( Y=>nx15956, A=>nx5810); ix16564 : inv01 port map ( Y=>nx15957, A=>PRI_OUT_3_5_EXMPLR); ix16565 : inv02 port map ( Y=>nx15958, A=>reg_29_q_c_5); ix16566 : inv02 port map ( Y=>nx15959, A=>reg_30_q_c_5); ix16567 : aoi22 port map ( Y=>nx15960, A0=>nx15973, A1=>nx15958, B0=> C_MUX2_4_SEL, B1=>nx15959); ix16568 : inv01 port map ( Y=>nx15961, A=>PRI_OUT_3_6_EXMPLR); nx9851_EXMPLR : oai22 port map ( Y=>nx9851, A0=>C_MUX2_4_SEL, A1=> reg_29_q_c_6, B0=>nx15974, B1=>reg_30_q_c_6); ix16569 : inv02 port map ( Y=>nx15962, A=>nx9851); nx7342_EXMPLR : oai332 port map ( Y=>nx7342, A0=>nx15955, A1=>nx15956, A2 =>nx9483, B0=>nx9483, B1=>nx15957, B2=>nx15960, C0=>nx15961, C1=> nx15962); ix16570 : inv01 port map ( Y=>nx15963, A=>nx7342); ix16572 : and02 port map ( Y=>nx15964, A0=>PRI_OUT_3_7_EXMPLR, A1=> nx15953); ix16573 : nor02 port map ( Y=>nx15965, A0=>nx15964, A1=>nx8014); ix16574 : aoi21 port map ( Y=>nx15966, A0=>nx15954, A1=>nx15963, B0=> nx15965); nx10485_EXMPLR : inv01 port map ( Y=>nx10485, A=>nx16246); ix16575 : inv01 port map ( Y=>nx15967, A=>nx16247); nx10345_EXMPLR : oai22 port map ( Y=>nx10345, A0=>C_MUX2_4_SEL, A1=> reg_29_q_c_7, B0=>nx15974, B1=>reg_30_q_c_7); ix16576 : inv01 port map ( Y=>nx15968, A=>nx15963); ix16577 : aoi22 port map ( Y=>nx15969, A0=>reg_29_q_c_5, A1=>nx15974, B0 =>C_MUX2_4_SEL, B1=>reg_30_q_c_5); ix16578 : and02 port map ( Y=>nx15970, A0=>PRI_OUT_3_5_EXMPLR, A1=> nx15969); ix16579 : and02 port map ( Y=>nx15971, A0=>PRI_OUT_3_4_EXMPLR, A1=>nx8857 ); nx9479_EXMPLR : oai32 port map ( Y=>nx9479, A0=>nx15970, A1=>nx15971, A2 =>nx5136, B0=>nx15970, B1=>nx5810); nx9330_EXMPLR : oai22 port map ( Y=>nx9330, A0=>C_MUX2_4_SEL, A1=> reg_29_q_c_5, B0=>nx15974, B1=>reg_30_q_c_5); nx5138_EXMPLR : inv02 port map ( Y=>nx5138, A=>nx15955); ix16580 : buf04 port map ( Y=>nx15973, A=>nx15952); ix16582 : buf04 port map ( Y=>nx15974, A=>nx15952); ix16583 : and02 port map ( Y=>nx15975, A0=>PRI_OUT_3_5_EXMPLR, A1=> PRI_OUT_2_5_EXMPLR); nx5730_EXMPLR : oai22 port map ( Y=>nx5730, A0=>nx15896, A1=>nx15897, B0 =>nx8863, B1=>nx8867); nx9855_EXMPLR : oai22 port map ( Y=>nx9855, A0=>nx15975, A1=>nx5730, B0=> nx15975, B1=>nx5792); ix16584 : nor02 port map ( Y=>nx15976, A0=>nx15862, A1=>nx15865); ix16585 : inv02 port map ( Y=>nx15977, A=>nx12553); ix16586 : oai32 port map ( Y=>nx15978, A0=>nx15977, A1=>nx15862, A2=> nx15865, B0=>nx15862, B1=>nx15867); nx13840_EXMPLR : nor02 port map ( Y=>nx13840, A0=>nx12553, A1=>nx12543); ix16587 : inv01 port map ( Y=>nx15979, A=>PRI_OUT_3_6_EXMPLR); ix16588 : inv02 port map ( Y=>nx15980, A=>PRI_OUT_2_6_EXMPLR); nx7934_EXMPLR : oai22 port map ( Y=>nx7934, A0=>nx15979, A1=>nx15980, B0 =>nx9855, B1=>nx9859); ix16590 : inv01 port map ( Y=>nx15981, A=>nx8575); ix16591 : and02 port map ( Y=>nx15983, A0=>nx2824, A1=>nx15981); nx5024_EXMPLR : ao32 port map ( Y=>nx5024, A0=>nx15981, A1=> nx6487_XX0_XREP77, A2=>PRI_IN_4(3), B0=>nx2822, B1=>nx15983); ix16592 : and02 port map ( Y=>nx15984, A0=>nx6487_XX0_XREP77, A1=> PRI_IN_4(3)); nx8565_EXMPLR : oai22 port map ( Y=>nx8565, A0=>nx15984, A1=>nx2822, B0=> nx15984, B1=>nx2824); ix16594 : inv02 port map ( Y=>nx15985, A=>nx6553); ix16595 : inv02 port map ( Y=>nx15986, A=>PRI_IN_4(10)); nx11638_EXMPLR : oai22 port map ( Y=>nx11638, A0=>nx15985, A1=>nx15986, B0=>nx11534, B1=>nx11543); ix16596 : inv01 port map ( Y=>nx15987, A=>PRI_OUT_3_11_EXMPLR); ix16597 : aoi21 port map ( Y=>nx15988, A0=>nx16250, A1=>reg_11_q_c_11, B0 =>nx12352); ix16598 : nor02 port map ( Y=>nx15989, A0=>nx15987, A1=>nx15988); nx12853_EXMPLR : oai22 port map ( Y=>nx12853, A0=>nx15989, A1=>nx12342, B0=>nx15989, B1=>nx12404); ix16599 : inv02 port map ( Y=>nx15990, A=>nx13863); ix16600 : nand02 port map ( Y=>nx15991, A0=>nx15809, A1=>nx15990); ix16601 : inv01 port map ( Y=>nx15993, A=>nx15809); ix16602 : nor02 port map ( Y=>nx15994, A0=>nx15990, A1=>nx15811); ix16604 : aoi222 port map ( Y=>nx15995, A0=>nx15993, A1=>nx15994, B0=> nx15811, B1=>nx15990, C0=>nx16061, C1=>nx15994); nx15712_EXMPLR : oai21 port map ( Y=>nx15712, A0=>nx16061, A1=>nx15991, B0=>nx15995); ix16605 : inv01 port map ( Y=>nx15996, A=>nx15811); ix16606 : oai21 port map ( Y=>nx15997, A0=>nx15987, A1=>nx15988, B0=> nx15809); ix16607 : aoi32 port map ( Y=>nx15998, A0=>nx12342, A1=>nx12404, A2=> nx15996, B0=>nx15997, B1=>nx15996); ix16608 : inv01 port map ( Y=>nx15999, A=>nx15998); PRI_OUT_2_11_EXMPLR_EXMPLR : ao21 port map ( Y=>PRI_OUT_2_11_EXMPLR, A0=> nx16250, A1=>reg_11_q_c_11, B0=>nx12352); ix16609 : and02 port map ( Y=>nx16000, A0=>reg_32_q_c_13, A1=> PRI_OUT_1_13_EXMPLR); ix16610 : and02 port map ( Y=>nx16001, A0=>reg_32_q_c_12, A1=> PRI_OUT_1_12_EXMPLR); ix16611 : inv02 port map ( Y=>nx16002, A=>nx14771); nx14777_EXMPLR : oai32 port map ( Y=>nx14777, A0=>nx17870, A1=>nx16000, A2=>nx16001, B0=>nx16002, B1=>nx16000); ix16612 : inv02 port map ( Y=>nx16003, A=>PRI_OUT_1_15_EXMPLR); ix16614 : inv02 port map ( Y=>nx16004, A=>reg_32_q_c_15); ix16615 : aoi22 port map ( Y=>nx16005, A0=>PRI_OUT_1_15_EXMPLR, A1=> reg_32_q_c_15, B0=>nx16003, B1=>nx16004); ix16616 : nor02 port map ( Y=>nx16006, A0=>reg_32_q_c_14, A1=> PRI_OUT_1_14_EXMPLR); ix16617 : nand02 port map ( Y=>nx16007, A0=>reg_32_q_c_13, A1=> PRI_OUT_1_13_EXMPLR); ix16618 : aoi221 port map ( Y=>nx16008, A0=>reg_32_q_c_13, A1=> PRI_OUT_1_13_EXMPLR, B0=>reg_32_q_c_12, B1=>PRI_OUT_1_12_EXMPLR, C0=> nx17870); ix16619 : aoi21 port map ( Y=>nx16009, A0=>nx14771, A1=>nx16007, B0=> nx16008); ix16620 : inv02 port map ( Y=>nx16011, A=>reg_32_q_c_14); ix16621 : inv02 port map ( Y=>nx16012, A=>PRI_OUT_1_14_EXMPLR); ix16622 : oai222 port map ( Y=>nx16013, A0=>nx16003, A1=>nx16004, B0=> PRI_OUT_1_15_EXMPLR, B1=>reg_32_q_c_15, C0=>nx16011, C1=>nx16012); nx14789_EXMPLR : oai22 port map ( Y=>nx14789, A0=>nx16003, A1=>nx16004, B0=>PRI_OUT_1_15_EXMPLR, B1=>reg_32_q_c_15); ix16624 : and02 port map ( Y=>nx16014, A0=>reg_32_q_c_14, A1=> PRI_OUT_1_14_EXMPLR); ix16625 : aoi22 port map ( Y=>nx16015, A0=>nx16005, A1=>nx16006, B0=> nx14789, B1=>nx16014); nx17912_EXMPLR : oai321 port map ( Y=>nx17912, A0=>nx14777, A1=>nx16005, A2=>nx16006, B0=>nx16009, B1=>nx16013, C0=>nx16015); nx14781_EXMPLR : oai22 port map ( Y=>nx14781, A0=>nx16011, A1=>nx16012, B0=>reg_32_q_c_14, B1=>PRI_OUT_1_14_EXMPLR); nx17872_EXMPLR : or02 port map ( Y=>nx17872, A0=>nx16001, A1=>nx17870); ix16626 : inv01 port map ( Y=>nx16016, A=>C_MUX2_18_SEL); ix16627 : inv01 port map ( Y=>nx16017, A=>C_MUX2_24_SEL); ix16628 : or03 port map ( Y=>nx16018, A0=>reg_9_q_c_4, A1=>nx16017, A2=> C_MUX2_2_SEL); ix16629 : inv01 port map ( Y=>nx16019, A=>C_MUX2_2_SEL); ix16630 : inv01 port map ( Y=>nx16020, A=>PRI_IN_6(4)); ix16631 : inv01 port map ( Y=>nx16021, A=>PRI_IN_1(4)); ix16632 : aoi32 port map ( Y=>nx16022, A0=>nx16019, A1=>nx16020, A2=> nx16017, B0=>C_MUX2_2_SEL, B1=>nx16021); nx8759_EXMPLR : oai222 port map ( Y=>nx8759, A0=>nx16016, A1=>reg_7_q_c_4, B0=>C_MUX2_18_SEL, B1=>nx16018, C0=>nx16022, C1=>C_MUX2_18_SEL); ix16634 : inv01 port map ( Y=>nx16023, A=>C_MUX2_1_SEL); ix16635 : aoi22 port map ( Y=>nx16024, A0=>reg_24_q_c_1, A1=>nx16023, B0 =>reg_25_q_c_1, B1=>C_MUX2_1_SEL); nx7056_EXMPLR : oai22 port map ( Y=>nx7056, A0=>C_MUX2_1_SEL, A1=> reg_24_q_c_1, B0=>nx16023, B1=>reg_25_q_c_1); nx6473_EXMPLR : inv01 port map ( Y=>nx6473, A=>nx7056); ix16636 : inv01 port map ( Y=>nx16025, A=>PRI_IN_7(1)); ix16637 : inv01 port map ( Y=>nx16026, A=>C_MUX2_20_SEL); ix16638 : inv01 port map ( Y=>nx16027, A=>reg_26_q_c_1); ix16639 : aoi22 port map ( Y=>nx16029, A0=>reg_26_q_c_1, A1=>nx16025, B0 =>PRI_IN_7(1), B1=>nx16027); nx1518_EXMPLR : oai332 port map ( Y=>nx1518, A0=>nx16024, A1=>PRI_IN_7(1), A2=>C_MUX2_20_SEL, B0=>nx6473, B1=>nx16025, B2=>C_MUX2_20_SEL, C0=> nx16026, C1=>nx16029); ix16640 : aoi22 port map ( Y=>nx16030, A0=>PRI_IN_7(1), A1=>reg_26_q_c_1, B0=>nx16025, B1=>nx16027); nx7051_EXMPLR : oai332 port map ( Y=>nx7051, A0=>nx6473, A1=>PRI_IN_7(1), A2=>C_MUX2_20_SEL, B0=>nx16024, B1=>nx16025, B2=>C_MUX2_20_SEL, C0=> nx16026, C1=>nx16030); ix16641 : and02 port map ( Y=>nx16031, A0=>reg_25_q_c_1, A1=>C_MUX2_1_SEL ); nx1512_EXMPLR : ao32 port map ( Y=>nx1512, A0=>nx16026, A1=>reg_24_q_c_1, A2=>nx16023, B0=>nx16031, B1=>nx16026); ix16642 : inv01 port map ( Y=>nx16032, A=>nx6473); ix16643 : inv01 port map ( Y=>nx16033, A=>nx6473); ix16644 : inv02 port map ( Y=>nx16034, A=>nx15944); ix16646 : inv02 port map ( Y=>nx16035, A=>nx15938); ix16647 : nor02 port map ( Y=>nx16036, A0=>nx15939, A1=>nx15940); ix16648 : oai21 port map ( Y=>nx16037, A0=>nx16035, A1=>nx16036, B0=> nx15941); ix16649 : nor02 port map ( Y=>nx16039, A0=>nx15943, A1=>nx15944); ix16650 : nor03 port map ( Y=>nx16040, A0=>nx16036, A1=>nx15943, A2=> nx15944); nx13525_EXMPLR : aoi222 port map ( Y=>nx13525, A0=>nx16034, A1=>nx15913, B0=>nx16037, B1=>nx16039, C0=>nx11503, C1=>nx16040); ix16651 : inv01 port map ( Y=>nx16041, A=>nx13525); nx11610_EXMPLR : oai22 port map ( Y=>nx11610, A0=>nx11503, A1=>nx15938, B0=>nx15939, B1=>nx15940); ix16652 : inv01 port map ( Y=>nx16042, A=>nx8411); ix16653 : and02 port map ( Y=>nx16043, A0=>PRI_OUT_0_3_EXMPLR, A1=> reg_44_q_c_3); ix16654 : aoi332 port map ( Y=>nx16044, A0=>nx6030, A1=> PRI_OUT_0_4_EXMPLR, A2=>reg_44_q_c_4, B0=>nx16042, B1=>nx6030, B2=> nx16043, C0=>PRI_OUT_0_5_EXMPLR, C1=>reg_44_q_c_5); ix16655 : nand04 port map ( Y=>nx16045, A0=>nx2740, A1=>nx16042, A2=> nx6030, A3=>nx3826); nx7146_EXMPLR : oai22 port map ( Y=>nx7146, A0=>nx16044, A1=>nx9409, B0=> nx9409, B1=>nx16045); ix16656 : nand02 port map ( Y=>nx16046, A0=>PRI_OUT_0_5_EXMPLR, A1=> reg_44_q_c_5); ix16658 : aoi322 port map ( Y=>nx16047, A0=>nx2740, A1=>nx3826, A2=> nx16042, B0=>nx16042, B1=>nx16043, C0=>PRI_OUT_0_4_EXMPLR, C1=> reg_44_q_c_4); ix16659 : and02 port map ( Y=>nx16048, A0=>PRI_OUT_0_5_EXMPLR, A1=> reg_44_q_c_5); ix16660 : nor02 port map ( Y=>nx16049, A0=>nx16048, A1=>nx6030); nx9405_EXMPLR : ao21 port map ( Y=>nx9405, A0=>nx16046, A1=>nx16047, B0=> nx16049); nx4944_EXMPLR : inv02 port map ( Y=>nx4944, A=>nx16047); nx8407_EXMPLR : oai22 port map ( Y=>nx8407, A0=>nx16043, A1=>nx2740, B0=> nx16043, B1=>nx3826); ix16661 : inv01 port map ( Y=>nx16051, A=>nx9561); ix16662 : and02 port map ( Y=>nx16052, A0=>nx5028, A1=>nx16051); ix16663 : and02 port map ( Y=>nx16053, A0=>nx6507, A1=>PRI_IN_4(5)); nx9551_EXMPLR : oai22 port map ( Y=>nx9551, A0=>nx16053, A1=>nx5026, B0=> nx16053, B1=>nx5028); ix16664 : inv02 port map ( Y=>nx16054, A=>nx12857); ix16665 : nand02 port map ( Y=>nx16055, A0=>nx13355, A1=>nx16054); ix16666 : inv02 port map ( Y=>nx16056, A=>nx13355); ix16667 : inv02 port map ( Y=>nx16057, A=>nx15684); ix16668 : nor02 port map ( Y=>nx16058, A0=>nx13355, A1=>nx15684); ix16669 : aoi322 port map ( Y=>nx16059, A0=>nx12857, A1=>nx16056, A2=> nx16057, B0=>nx13355, B1=>nx15684, C0=>nx12853, C1=>nx16058); nx14610_EXMPLR : oai21 port map ( Y=>nx14610, A0=>nx12853, A1=>nx16055, B0=>nx16059); ix16670 : inv01 port map ( Y=>nx16061, A=>nx12853); ix16671 : aoi321 port map ( Y=>nx16062, A0=>nx15754, A1=>nx15755, A2=> nx15756, B0=>C_MUX2_17_SEL, B1=>reg_4_q_c_1, C0=>nx15757); ix16672 : nand02 port map ( Y=>nx16063, A0=>nx14383, A1=>nx15685); nx16814_EXMPLR : oai321 port map ( Y=>nx16814, A0=>nx15999, A1=>nx14383, A2=>nx15690, B0=>nx15998, B1=>nx16063, C0=>nx15692); ix16673 : inv02 port map ( Y=>nx16064, A=>nx12485); ix16674 : oai21 port map ( Y=>nx16065, A0=>nx16064, A1=>nx15669, B0=> nx14626); ix16675 : inv01 port map ( Y=>nx16066, A=>nx15668); ix16676 : nor02 port map ( Y=>nx16067, A0=>nx15668, A1=>nx15669); nx13952_EXMPLR : nor02 port map ( Y=>nx13952, A0=>nx12482, A1=>nx12485); ix16678 : inv02 port map ( Y=>nx16068, A=>nx6535); ix16680 : inv02 port map ( Y=>nx16069, A=>PRI_IN_4(8)); nx9434_EXMPLR : oai22 port map ( Y=>nx9434, A0=>nx16068, A1=>nx16069, B0 =>nx10554, B1=>nx10563); ix16681 : and02 port map ( Y=>nx16071, A0=>nx16097, A1=>nx16100); ix16682 : nand04 port map ( Y=>nx16072, A0=>nx16071, A1=>nx16102, A2=> nx15782, A3=>nx16104); ix16683 : inv02 port map ( Y=>nx16073, A=>nx16097); ix16684 : inv02 port map ( Y=>nx16074, A=>nx12294); ix16685 : oai32 port map ( Y=>nx16075, A0=>nx11206, A1=>nx16073, A2=> nx16100, B0=>nx16074, B1=>nx16097); ix16686 : and03 port map ( Y=>nx16076, A0=>nx16102, A1=>nx15782, A2=> nx16104); ix16687 : and02 port map ( Y=>nx16077, A0=>nx15782, A1=>nx16104); ix16688 : nor02 port map ( Y=>nx16078, A0=>nx16102, A1=>nx13410); ix16689 : inv02 port map ( Y=>nx16079, A=>nx15782); ix16690 : inv02 port map ( Y=>nx16080, A=>nx13307); ix16691 : oai32 port map ( Y=>nx16081, A0=>nx16079, A1=>nx16080, A2=> nx16104, B0=>nx15782, B1=>nx15614); ix16692 : aoi221 port map ( Y=>nx16082, A0=>nx16075, A1=>nx16076, B0=> nx16077, B1=>nx16078, C0=>nx16081); nx16108_EXMPLR : oai21 port map ( Y=>nx16108, A0=>nx11569, A1=>nx16072, B0=>nx16082); ix16693 : nand04 port map ( Y=>nx16083, A0=>nx11569, A1=>nx16071, A2=> nx16105, A3=>nx16102); ix16694 : inv02 port map ( Y=>nx16084, A=>nx16103); ix16696 : inv02 port map ( Y=>nx16085, A=>nx16105); ix16698 : inv02 port map ( Y=>nx16086, A=>nx11206); ix16699 : oai32 port map ( Y=>nx16087, A0=>nx16086, A1=>nx16073, A2=> nx16100, B0=>nx16099, B1=>nx12294); ix16700 : and02 port map ( Y=>nx16088, A0=>nx16105, A1=>nx16103); ix16701 : aoi322 port map ( Y=>nx16089, A0=>nx13410, A1=>nx16105, A2=> nx16084, B0=>nx16085, B1=>nx16080, C0=>nx16087, C1=>nx16088); nx13591_EXMPLR : nand02 port map ( Y=>nx13591, A0=>nx16083, A1=>nx16089); ix16702 : nand03 port map ( Y=>nx16091, A0=>nx16100, A1=>nx16103, A2=> nx16099); ix16703 : and02 port map ( Y=>nx16092, A0=>nx16103, A1=>nx16099); ix16704 : nor02 port map ( Y=>nx16093, A0=>nx16101, A1=>nx11206); ix16705 : aoi321 port map ( Y=>nx16094, A0=>nx16103, A1=>nx12294, A2=> nx16073, B0=>nx16092, B1=>nx16093, C0=>nx16078); nx13904_EXMPLR : oai21 port map ( Y=>nx13904, A0=>nx11569, A1=>nx16091, B0=>nx16094); ix16706 : inv01 port map ( Y=>nx16095, A=>nx11569); ix16708 : inv02 port map ( Y=>nx16096, A=>nx16101); nx12577_EXMPLR : oai332 port map ( Y=>nx12577, A0=>nx16095, A1=>nx16073, A2=>nx16096, B0=>nx16073, B1=>nx16086, B2=>nx16101, C0=>nx16099, C1=> nx12294); nx11700_EXMPLR : oai22 port map ( Y=>nx11700, A0=>nx16101, A1=>nx11206, B0=>nx16096, B1=>nx11569); ix16709 : buf04 port map ( Y=>nx16097, A=>nx12476); ix16710 : buf04 port map ( Y=>nx16099, A=>nx12476); ix16711 : buf04 port map ( Y=>nx16100, A=>nx11374); ix16712 : buf04 port map ( Y=>nx16101, A=>nx11374); ix16713 : buf04 port map ( Y=>nx16102, A=>nx13578); ix16714 : buf04 port map ( Y=>nx16103, A=>nx13578); ix16715 : buf04 port map ( Y=>nx16104, A=>nx14680); ix16716 : buf04 port map ( Y=>nx16105, A=>nx14680); ix16717 : inv01 port map ( Y=>nx16106, A=>nx15828); ix16718 : inv02 port map ( Y=>nx16107, A=>nx15844); nx13814_EXMPLR : oai21 port map ( Y=>nx13814, A0=>nx15901, A1=>nx12509, B0=>nx16107); nx13812_EXMPLR : nor02 port map ( Y=>nx13812, A0=>nx15901, A1=>nx12509); ix16719 : inv01 port map ( Y=>nx16109, A=>nx8535); ix16720 : and02 port map ( Y=>nx16110, A0=>nx3702, A1=>nx16109); nx4996_EXMPLR : ao32 port map ( Y=>nx4996, A0=>nx16109, A1=> nx6496_XX0_XREP85, A2=>PRI_IN_7(3), B0=>nx2794, B1=>nx16110); ix16722 : and02 port map ( Y=>nx16111, A0=>nx6496_XX0_XREP85, A1=> PRI_IN_7(3)); nx8525_EXMPLR : oai22 port map ( Y=>nx8525, A0=>nx16111, A1=>nx2794, B0=> nx16111, B1=>nx3702); ix16723 : or02 port map ( Y=>nx16112, A0=>reg_32_q_c_2, A1=> PRI_OUT_1_2_EXMPLR); ix16724 : nand02 port map ( Y=>nx16113, A0=>nx16233, A1=>nx16127); ix16725 : inv01 port map ( Y=>nx16114, A=>reg_32_q_c_1); ix16726 : inv01 port map ( Y=>nx16115, A=>nx16127); ix16727 : inv01 port map ( Y=>nx16116, A=>nx16233); ix16728 : nand02 port map ( Y=>nx16117, A0=>reg_32_q_c_1, A1=>nx16127); ix16730 : inv01 port map ( Y=>nx16118, A=>reg_32_q_c_0); ix16731 : aoi222 port map ( Y=>nx16119, A0=>nx16113, A1=>nx16114, B0=> nx16115, B1=>nx16116, C0=>nx16117, C1=>nx16118); ix16732 : aoi22 port map ( Y=>nx16121, A0=>reg_32_q_c_2, A1=> PRI_OUT_1_2_EXMPLR, B0=>nx16112, B1=>nx16119); nx17692_EXMPLR : inv02 port map ( Y=>nx17692, A=>nx16121); ix16734 : inv01 port map ( Y=>nx16122, A=>nx16121); ix16735 : and02 port map ( Y=>nx16123, A0=>nx16233, A1=> PRI_OUT_1_1_EXMPLR); ix16736 : and02 port map ( Y=>nx16124, A0=>reg_32_q_c_1, A1=> PRI_OUT_1_1_EXMPLR); nx14674_EXMPLR : oai222 port map ( Y=>nx14674, A0=>nx16123, A1=> reg_32_q_c_1, B0=>PRI_OUT_1_1_EXMPLR, B1=>nx16233, C0=>nx16124, C1=> reg_32_q_c_0); ix16737 : inv02 port map ( Y=>nx16125, A=>reg_32_q_c_2); ix16738 : inv02 port map ( Y=>nx16126, A=>PRI_OUT_1_2_EXMPLR); nx14677_EXMPLR : oai22 port map ( Y=>nx14677, A0=>nx16125, A1=>nx16126, B0=>reg_32_q_c_2, B1=>PRI_OUT_1_2_EXMPLR); nx14669_EXMPLR : oai22 port map ( Y=>nx14669, A0=>nx16114, A1=>nx16115, B0=>reg_32_q_c_1, B1=>PRI_OUT_1_1_EXMPLR); ix16739 : buf04 port map ( Y=>nx16127, A=>PRI_OUT_1_dup0_1); ix16740 : buf04 port map ( Y=>PRI_OUT_1_1_EXMPLR, A=>PRI_OUT_1_dup0_1); ix16741 : inv01 port map ( Y=>nx16128, A=>nx16147); ix16742 : inv01 port map ( Y=>nx16129, A=>nx16241); ix16744 : aoi22 port map ( Y=>nx16131, A0=>nx16147, A1=>nx16241, B0=> nx16128, B1=>nx16129); ix16745 : inv01 port map ( Y=>nx16132, A=>nx16245); ix16746 : aoi22 port map ( Y=>nx16133, A0=>nx16147, A1=>nx16245, B0=> nx16128, B1=>nx16132); ix16747 : inv02 port map ( Y=>nx16134, A=>C_MUX2_14_SEL); ix16748 : inv02 port map ( Y=>nx16135, A=>C_MUX2_7_SEL); ix16749 : inv01 port map ( Y=>nx16136, A=>reg_5_q_c_2); ix16750 : aoi22 port map ( Y=>nx16137, A0=>nx16243, A1=>reg_5_q_c_2, B0=> nx16128, B1=>nx16136); nx2054_EXMPLR : oai332 port map ( Y=>nx2054, A0=>nx16131, A1=> C_MUX2_7_SEL, A2=>C_MUX2_14_SEL, B0=>nx16133, B1=>nx16134, B2=> C_MUX2_7_SEL, C0=>nx16135, C1=>nx16137); ix16751 : aoi22 port map ( Y=>nx16138, A0=>nx16241, A1=>nx16128, B0=> nx16243, B1=>nx16129); ix16752 : aoi22 port map ( Y=>nx16139, A0=>nx16245, A1=>nx16128, B0=> nx16243, B1=>nx16132); ix16753 : aoi22 port map ( Y=>nx16141, A0=>reg_5_q_c_2, A1=>nx16128, B0=> nx16243, B1=>nx16136); nx7622_EXMPLR : oai332 port map ( Y=>nx7622, A0=>nx16138, A1=> C_MUX2_7_SEL, A2=>C_MUX2_14_SEL, B0=>nx16139, B1=>nx16134, B2=> C_MUX2_7_SEL, C0=>nx16135, C1=>nx16141); ix16754 : and02 port map ( Y=>nx16142, A0=>C_MUX2_7_SEL, A1=>reg_5_q_c_2 ); ix16755 : aoi22 port map ( Y=>nx16143, A0=>nx16135, A1=>nx16132, B0=> nx16135, B1=>nx16134); ix16756 : aoi21 port map ( Y=>nx16144, A0=>nx16245, A1=>C_MUX2_14_SEL, B0 =>nx16241); ix16757 : oai21 port map ( Y=>nx16145, A0=>C_MUX2_7_SEL, A1=>nx16144, B0 =>nx16136); ix16758 : oai321 port map ( Y=>nx16146, A0=>nx16142, A1=>nx16134, A2=> nx16245, B0=>nx16143, B1=>nx16241, C0=>nx16145); ix16759 : buf04 port map ( Y=>nx16147, A=>nx7637); ix16760 : buf04 port map ( Y=>nx16149, A=>nx7637); ix16762 : nand02 port map ( Y=>nx16150, A0=>nx16247, A1=>nx16106); ix16763 : inv01 port map ( Y=>nx16151, A=>nx15825); ix16764 : nand03 port map ( Y=>nx16152, A0=>nx16150, A1=>nx16067, A2=> nx16151); ix16765 : inv01 port map ( Y=>nx16153, A=>nx15827); ix16766 : aoi22 port map ( Y=>nx16154, A0=>nx16065, A1=>nx16066, B0=> nx16067, B1=>nx16153); nx13495_EXMPLR : nand02 port map ( Y=>nx13495, A0=>nx16152, A1=>nx16154); ix16767 : nand03 port map ( Y=>nx16155, A0=>nx16106, A1=>nx15827, A2=> nx16247); ix16768 : inv01 port map ( Y=>nx16156, A=>nx16067); ix16770 : aoi21 port map ( Y=>nx16157, A0=>nx15825, A1=>nx15827, B0=> nx16156); ix16771 : aoi22 port map ( Y=>nx16158, A0=>nx16065, A1=>nx16066, B0=> nx16155, B1=>nx16157); nx12482_EXMPLR : oai221 port map ( Y=>nx12482, A0=>nx16106, A1=>nx15825, B0=>nx16247, B1=>nx15825, C0=>nx15827); ix16772 : inv01 port map ( Y=>nx16159, A=>reg_24_q_c_2); ix16773 : inv01 port map ( Y=>nx16160, A=>nx14915); ix16774 : oai22 port map ( Y=>nx16161, A0=>nx16159, A1=>nx14915, B0=> nx16160, B1=>reg_24_q_c_2); ix16775 : inv01 port map ( Y=>nx16162, A=>C_MUX2_20_SEL); ix16776 : inv01 port map ( Y=>nx16163, A=>C_MUX2_1_SEL); ix16778 : inv01 port map ( Y=>nx16164, A=>reg_25_q_c_2); ix16779 : oai22 port map ( Y=>nx16165, A0=>nx16164, A1=>nx14915, B0=> nx16160, B1=>reg_25_q_c_2); ix16780 : nor02 port map ( Y=>nx16166, A0=>nx16163, A1=>C_MUX2_20_SEL); ix16782 : inv01 port map ( Y=>nx16167, A=>reg_26_q_c_2); ix16783 : oai33 port map ( Y=>nx16168, A0=>nx16160, A1=>nx16162, A2=> reg_26_q_c_2, B0=>nx16167, B1=>nx16162, B2=>nx14915); nx2094_EXMPLR : aoi321 port map ( Y=>nx2094, A0=>nx16161, A1=>nx16162, A2 =>nx16163, B0=>nx16165, B1=>nx16166, C0=>nx16168); nx7602_EXMPLR : inv02 port map ( Y=>nx7602, A=>nx2094); ix16784 : aoi332 port map ( Y=>nx16169, A0=>nx16162, A1=>reg_24_q_c_2, A2 =>nx16163, B0=>nx16162, B1=>reg_25_q_c_2, B2=>C_MUX2_1_SEL, C0=> C_MUX2_20_SEL, C1=>reg_26_q_c_2); nx6483_EXMPLR : inv01 port map ( Y=>nx6483, A=>nx16169); ix16785 : inv01 port map ( Y=>nx16170, A=>nx16169); nx2594_EXMPLR : oai33 port map ( Y=>nx2594, A0=>C_MUX2_20_SEL, A1=> nx16159, A2=>C_MUX2_1_SEL, B0=>C_MUX2_20_SEL, B1=>nx16164, B2=>nx16163 ); nx7528_EXMPLR : oai22 port map ( Y=>nx7528, A0=>C_MUX2_1_SEL, A1=> reg_24_q_c_2, B0=>nx16163, B1=>reg_25_q_c_2); ix16786 : inv01 port map ( Y=>nx16171, A=>C_MUX2_18_SEL); ix16787 : inv01 port map ( Y=>nx16172, A=>C_MUX2_24_SEL); ix16788 : or03 port map ( Y=>nx16173, A0=>reg_9_q_c_3, A1=>nx16172, A2=> C_MUX2_2_SEL); ix16789 : inv01 port map ( Y=>nx16174, A=>C_MUX2_2_SEL); ix16790 : inv01 port map ( Y=>nx16175, A=>PRI_IN_6(3)); ix16792 : inv01 port map ( Y=>nx16176, A=>PRI_IN_1(3)); ix16793 : aoi32 port map ( Y=>nx16177, A0=>nx16174, A1=>nx16175, A2=> nx16172, B0=>C_MUX2_2_SEL, B1=>nx16176); nx8231_EXMPLR : oai222 port map ( Y=>nx8231, A0=>nx16171, A1=>reg_7_q_c_3, B0=>C_MUX2_18_SEL, B1=>nx16173, C0=>nx16177, C1=>C_MUX2_18_SEL); ix16794 : inv02 port map ( Y=>nx16179, A=>nx12857); ix16795 : inv01 port map ( Y=>nx16180, A=>nx16061); nx13508_EXMPLR : oai22 port map ( Y=>nx13508, A0=>nx16179, A1=>nx16180, B0=>nx12857, B1=>nx16061); ix16796 : inv02 port map ( Y=>nx16181, A=>nx6541); ix16797 : inv02 port map ( Y=>nx16182, A=>PRI_IN_7(8)); nx9406_EXMPLR : oai22 port map ( Y=>nx9406, A0=>nx16181, A1=>nx16182, B0 =>nx10517, B1=>nx10527); ix16798 : and02 port map ( Y=>nx16183, A0=>nx15276, A1=>reg_45_q_c_14); nx15138_EXMPLR : oai22 port map ( Y=>nx15138, A0=>nx13123, A1=>nx13127, B0=>nx13409, B1=>nx13143); nx14143_EXMPLR : oai22 port map ( Y=>nx14143, A0=>nx16183, A1=>nx15138, B0=>nx16183, B1=>nx15278); ix16799 : oai22 port map ( Y=>nx16184, A0=>nx15978, A1=>nx12543, B0=> nx15976, B1=>nx15978); ix16800 : and02 port map ( Y=>nx16185, A0=>C_MUX2_12_SEL, A1=> reg_21_q_c_1); ix16801 : inv01 port map ( Y=>nx16186, A=>nx7077); ix16802 : nor02 port map ( Y=>nx16187, A0=>nx16185, A1=>nx1548); nx974_EXMPLR : oai32 port map ( Y=>nx974, A0=>nx16185, A1=>nx16186, A2=> nx1548, B0=>nx16187, B1=>nx7077); nx6466_EXMPLR : ao21 port map ( Y=>nx6466, A0=>C_MUX2_12_SEL, A1=> reg_21_q_c_1, B0=>nx1548); ix16804 : aoi332 port map ( Y=>nx16188, A0=>nx16051, A1=>nx6507, A2=> PRI_IN_4(5), B0=>nx16052, B1=>nx6498, B2=>PRI_IN_4(4), C0=>nx16052, C1 =>nx5024); nx7228_EXMPLR : inv01 port map ( Y=>nx7228, A=>nx16188); nx5026_EXMPLR : ao21 port map ( Y=>nx5026, A0=>nx6498, A1=>PRI_IN_4(4), B0=>nx5024); ix16805 : inv01 port map ( Y=>nx16189, A=>C_MUX2_18_SEL); ix16806 : ao21 port map ( Y=>nx16190, A0=>PRI_IN_1(12), A1=>C_MUX2_2_SEL, B0=>C_MUX2_18_SEL); nx12751_EXMPLR : oai22 port map ( Y=>nx12751, A0=>nx16189, A1=> reg_7_q_c_12, B0=>nx13220, B1=>nx16190); ix16807 : inv01 port map ( Y=>nx16191, A=>reg_8_q_c_1); ix16808 : inv01 port map ( Y=>nx16192, A=>nx16219); ix16809 : oai22 port map ( Y=>nx16193, A0=>nx16191, A1=>nx16219, B0=> nx16192, B1=>reg_8_q_c_1); ix16810 : inv01 port map ( Y=>nx16195, A=>C_MUX2_14_SEL); ix16811 : nor02 port map ( Y=>nx16196, A0=>nx16234, A1=>C_MUX2_7_SEL); ix16812 : inv01 port map ( Y=>nx16197, A=>reg_3_q_c_1); ix16813 : oai22 port map ( Y=>nx16198, A0=>nx16197, A1=>nx16219, B0=> nx16192, B1=>reg_3_q_c_1); ix16814 : nor02 port map ( Y=>nx16199, A0=>C_MUX2_14_SEL, A1=> C_MUX2_7_SEL); ix16815 : inv01 port map ( Y=>nx16200, A=>reg_5_q_c_1); ix16816 : oai22 port map ( Y=>nx16201, A0=>nx16200, A1=>nx16220, B0=> nx16192, B1=>reg_5_q_c_1); ix16817 : aoi222 port map ( Y=>nx16202, A0=>nx16193, A1=>nx16196, B0=> nx16198, B1=>nx16199, C0=>C_MUX2_7_SEL, C1=>nx16201); ix16818 : inv01 port map ( Y=>nx16203, A=>reg_3_q_c_0); ix16819 : inv01 port map ( Y=>nx16204, A=>reg_8_q_c_0); ix16820 : inv01 port map ( Y=>nx16205, A=>C_MUX2_7_SEL); ix16821 : inv01 port map ( Y=>nx16206, A=>reg_5_q_c_0); ix16822 : oai332 port map ( Y=>nx16207, A0=>nx16203, A1=>C_MUX2_14_SEL, A2=>C_MUX2_7_SEL, B0=>nx16204, B1=>nx16235, B2=>C_MUX2_7_SEL, C0=> nx16230, C1=>nx16206); ix16823 : nor02 port map ( Y=>nx16208, A0=>nx16200, A1=>nx16220); ix16824 : oai44 port map ( Y=>nx16209, A0=>nx16191, A1=>nx16220, A2=> nx16235, A3=>C_MUX2_7_SEL, B0=>C_MUX2_14_SEL, B1=>C_MUX2_7_SEL, B2=> nx16197, B3=>nx16220); ix16825 : aoi321 port map ( Y=>nx16210, A0=>nx16202, A1=>nx14797, A2=> nx16207, B0=>C_MUX2_7_SEL, B1=>nx16208, C0=>nx16209); nx1914_EXMPLR : inv02 port map ( Y=>nx1914, A=>nx16210); ix16826 : inv01 port map ( Y=>nx16211, A=>nx16210); ix16827 : aoi22 port map ( Y=>nx16212, A0=>C_MUX2_14_SEL, A1=>nx16191, B0 =>nx16235, B1=>nx16197); nx7383_EXMPLR : oai22 port map ( Y=>nx7383, A0=>nx16230, A1=>reg_5_q_c_1, B0=>C_MUX2_7_SEL, B1=>nx16212); ix16828 : nor02 port map ( Y=>nx16213, A0=>C_MUX2_14_SEL, A1=>reg_3_q_c_0 ); ix16829 : oai321 port map ( Y=>nx16214, A0=>C_MUX2_7_SEL, A1=>nx16235, A2 =>reg_8_q_c_0, B0=>nx16231, B1=>reg_5_q_c_0, C0=>nx14797); nx7121_EXMPLR : ao21 port map ( Y=>nx7121, A0=>nx16231, A1=>nx16213, B0=> nx16214); nx7123_EXMPLR : inv02 port map ( Y=>nx7123, A=>nx16202); ix16830 : and02 port map ( Y=>nx16215, A0=>C_MUX2_14_SEL, A1=>reg_8_q_c_0 ); ix16831 : and02 port map ( Y=>nx16216, A0=>C_MUX2_7_SEL, A1=>reg_5_q_c_0 ); ix16832 : aoi22 port map ( Y=>nx16217, A0=>C_MUX2_14_SEL, A1=>nx16231, B0 =>C_MUX2_14_SEL, B1=>nx16206); ix16833 : oai322 port map ( Y=>nx16218, A0=>nx16215, A1=>nx16216, A2=> reg_3_q_c_0, B0=>nx16231, B1=>reg_5_q_c_0, C0=>nx16217, C1=> reg_8_q_c_0); ix16834 : buf04 port map ( Y=>nx16219, A=>nx7131); ix16835 : buf04 port map ( Y=>nx16220, A=>nx7131); ix16836 : inv02 port map ( Y=>nx16221, A=>nx13841); ix16837 : inv02 port map ( Y=>nx16222, A=>nx13891); ix16838 : aoi22 port map ( Y=>nx16223, A0=>nx13841, A1=>nx13891, B0=> nx16221, B1=>nx16222); ix16839 : inv02 port map ( Y=>nx16224, A=>reg_12_q_c_14); nx16966_EXMPLR : oai22 port map ( Y=>nx16966, A0=>nx16223, A1=>nx13461, B0=>nx16221, B1=>nx16224); nx15866_EXMPLR : oai22 port map ( Y=>nx15866, A0=>nx16221, A1=>nx16222, B0=>nx13841, B1=>nx13891); ix16840 : inv02 port map ( Y=>nx16225, A=>nx13772); ix16841 : inv02 port map ( Y=>nx16226, A=>nx13847); ix16842 : aoi22 port map ( Y=>nx16227, A0=>nx13772, A1=>nx13847, B0=> nx16225, B1=>nx16226); ix16844 : nand02 port map ( Y=>nx16228, A0=>nx13772, A1=>reg_36_q_c_14); nx16090_EXMPLR : oai21 port map ( Y=>nx16090, A0=>nx16227, A1=>nx13575, B0=>nx16228); nx15802_EXMPLR : oai22 port map ( Y=>nx15802, A0=>nx16225, A1=>nx16226, B0=>nx13772, B1=>nx13847); ix16845 : inv01 port map ( Y=>nx16229, A=>reg_6_q_c_4); nx14847_EXMPLR : inv01 port map ( Y=>nx14847, A=>C_MUX2_21_SEL); nx6500_EXMPLR : oai22 port map ( Y=>nx6500, A0=>nx16229, A1=> C_MUX2_21_SEL, B0=>nx14847, B1=>nx8759); ix16846 : buf16 port map ( Y=>nx16230, A=>nx16205); ix16847 : buf16 port map ( Y=>nx16231, A=>nx16205); ix16848 : buf16 port map ( Y=>PRI_OUT_1_0_EXMPLR, A=>PRI_OUT_1_dup0_0); ix16849 : buf16 port map ( Y=>nx16233, A=>PRI_OUT_1_dup0_0); ix16850 : buf16 port map ( Y=>nx16234, A=>nx16195); ix16851 : buf16 port map ( Y=>nx16235, A=>nx16195); ix16852 : buf16 port map ( Y=>nx16236, A=>nx14845); ix16854 : buf16 port map ( Y=>nx16237, A=>nx14845); ix16855 : buf16 port map ( Y=>nx16238, A=>reg_7_q_c_2); ix16856 : buf16 port map ( Y=>nx16239, A=>reg_7_q_c_2); ix16857 : buf16 port map ( Y=>nx16240, A=>reg_3_q_c_2); ix16858 : buf16 port map ( Y=>nx16241, A=>reg_3_q_c_2); ix16859 : buf16 port map ( Y=>nx16242, A=>nx16149); ix16860 : buf16 port map ( Y=>nx16243, A=>nx16149); ix16861 : buf16 port map ( Y=>nx16244, A=>reg_8_q_c_2); ix16862 : buf16 port map ( Y=>nx16245, A=>reg_8_q_c_2); ix16864 : buf16 port map ( Y=>nx16246, A=>nx15966); ix16865 : buf16 port map ( Y=>nx16247, A=>nx15966); ix16866 : buf16 port map ( Y=>nx16249, A=>nx14863); ix16867 : buf16 port map ( Y=>nx16250, A=>nx14863); ix16868 : buf16 port map ( Y=>nx16251, A=>nx14865); ix16869 : buf16 port map ( Y=>nx16252, A=>nx14865); end CIRCUIT_arch ;