-- -- Definition of CIRCUIT -- -- 12/14/05 22:46:39 -- -- LeonardoSpectrum Level 3, 2004a.63 -- library IEEE; use IEEE.STD_LOGIC_1164.all; entity CIRCUIT is port ( PRI_IN_0 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_1 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_2 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_3 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_4 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_5 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_6 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_7 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_8 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_9 : IN std_logic_vector (15 DOWNTO 0) ; PRI_OUT_0 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_1 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_2 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_3 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_4 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_5 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_6 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_7 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_8 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_9 : OUT std_logic_vector (15 DOWNTO 0) ; C_MUX2_1_SEL : IN std_logic ; C_MUX2_2_SEL : IN std_logic ; C_MUX2_3_SEL : IN std_logic ; C_MUX2_4_SEL : IN std_logic ; C_MUX2_5_SEL : IN std_logic ; C_MUX2_6_SEL : IN std_logic ; C_MUX2_7_SEL : IN std_logic ; C_MUX2_8_SEL : IN std_logic ; C_MUX2_9_SEL : IN std_logic ; C_MUX2_10_SEL : IN std_logic ; C_MUX2_11_SEL : IN std_logic ; C_MUX2_12_SEL : IN std_logic ; C_MUX2_13_SEL : IN std_logic ; C_MUX2_14_SEL : IN std_logic ; C_MUX2_15_SEL : IN std_logic ; C_MUX2_16_SEL : IN std_logic ; C_MUX2_17_SEL : IN std_logic ; C_MUX2_18_SEL : IN std_logic ; C_MUX2_19_SEL : IN std_logic ; C_MUX2_20_SEL : IN std_logic ; C_MUX2_21_SEL : IN std_logic ; C_MUX2_22_SEL : IN std_logic ; C_MUX2_23_SEL : IN std_logic ; C_MUX2_24_SEL : IN std_logic ; C_MUX2_25_SEL : IN std_logic ; CLK : IN std_logic) ; end CIRCUIT ; architecture CIRCUIT_arch of CIRCUIT is signal PRI_OUT_0_15_EXMPLR, PRI_OUT_0_14_EXMPLR, PRI_OUT_0_13_EXMPLR, PRI_OUT_0_12_EXMPLR, PRI_OUT_0_11_EXMPLR, PRI_OUT_0_10_EXMPLR, PRI_OUT_0_9_EXMPLR, PRI_OUT_0_7_EXMPLR, PRI_OUT_0_6_EXMPLR, PRI_OUT_0_5_EXMPLR, PRI_OUT_0_4_EXMPLR, PRI_OUT_0_3_EXMPLR, PRI_OUT_0_2_EXMPLR, PRI_OUT_0_1_EXMPLR, PRI_OUT_0_0_EXMPLR, PRI_OUT_1_15_EXMPLR, PRI_OUT_1_14_EXMPLR, PRI_OUT_1_13_EXMPLR, PRI_OUT_1_12_EXMPLR, PRI_OUT_1_11_EXMPLR, PRI_OUT_1_10_EXMPLR, PRI_OUT_1_9_EXMPLR, PRI_OUT_1_8_EXMPLR, PRI_OUT_1_7_EXMPLR, PRI_OUT_1_6_EXMPLR, PRI_OUT_1_5_EXMPLR, PRI_OUT_1_4_EXMPLR, PRI_OUT_1_3_EXMPLR, PRI_OUT_1_2_EXMPLR, PRI_OUT_1_1_EXMPLR, PRI_OUT_1_0_EXMPLR, PRI_OUT_3_15_EXMPLR, PRI_OUT_3_14_EXMPLR, PRI_OUT_3_13_EXMPLR, PRI_OUT_3_12_EXMPLR, PRI_OUT_3_11_EXMPLR, PRI_OUT_3_10_EXMPLR, PRI_OUT_3_9_EXMPLR, PRI_OUT_3_8_EXMPLR, PRI_OUT_3_7_EXMPLR, PRI_OUT_3_6_EXMPLR, PRI_OUT_3_4_EXMPLR, PRI_OUT_3_3_EXMPLR, PRI_OUT_3_2_EXMPLR, PRI_OUT_3_1_EXMPLR, PRI_OUT_4_15_EXMPLR, PRI_OUT_4_8_EXMPLR, PRI_OUT_4_4_EXMPLR, PRI_OUT_4_0_EXMPLR, PRI_OUT_6_15_EXMPLR, PRI_OUT_6_14_EXMPLR, PRI_OUT_6_13_EXMPLR, PRI_OUT_6_12_EXMPLR, PRI_OUT_6_11_EXMPLR, PRI_OUT_6_10_EXMPLR, PRI_OUT_6_9_EXMPLR, PRI_OUT_6_8_EXMPLR, PRI_OUT_6_7_EXMPLR, PRI_OUT_6_6_EXMPLR, PRI_OUT_6_5_EXMPLR, PRI_OUT_6_4_EXMPLR, PRI_OUT_6_3_EXMPLR, PRI_OUT_6_2_EXMPLR, PRI_OUT_6_1_EXMPLR, PRI_OUT_6_0_EXMPLR, PRI_OUT_7_15_EXMPLR, PRI_OUT_7_14_EXMPLR, PRI_OUT_7_13_EXMPLR, PRI_OUT_7_12_EXMPLR, PRI_OUT_7_11_EXMPLR, PRI_OUT_7_10_EXMPLR, PRI_OUT_7_9_EXMPLR, PRI_OUT_7_8_EXMPLR, PRI_OUT_7_7_EXMPLR, PRI_OUT_7_6_EXMPLR, PRI_OUT_7_5_EXMPLR, PRI_OUT_7_4_EXMPLR, PRI_OUT_7_3_EXMPLR, PRI_OUT_7_2_EXMPLR, PRI_OUT_7_1_EXMPLR, PRI_OUT_7_0_EXMPLR, PRI_OUT_8_15_EXMPLR, PRI_OUT_8_14_EXMPLR, PRI_OUT_8_13_EXMPLR, PRI_OUT_8_12_EXMPLR, PRI_OUT_8_11_EXMPLR, PRI_OUT_8_10_EXMPLR, PRI_OUT_8_9_EXMPLR, PRI_OUT_8_8_EXMPLR, PRI_OUT_8_7_EXMPLR, PRI_OUT_8_6_EXMPLR, PRI_OUT_8_5_EXMPLR, PRI_OUT_8_4_EXMPLR, PRI_OUT_8_3_EXMPLR, PRI_OUT_8_2_EXMPLR, PRI_OUT_8_1_EXMPLR, PRI_OUT_8_0_EXMPLR, PRI_OUT_9_15_EXMPLR, PRI_OUT_9_14_EXMPLR, PRI_OUT_9_8_EXMPLR, PRI_OUT_9_7_EXMPLR, PRI_OUT_9_6_EXMPLR, PRI_OUT_9_5_EXMPLR, PRI_OUT_9_4_EXMPLR, PRI_OUT_9_3_EXMPLR, PRI_OUT_9_2_EXMPLR, PRI_OUT_9_1_EXMPLR, PRI_OUT_9_0_EXMPLR, reg_44_q_c_0, reg_1_q_c_0, reg_25_q_c_0, reg_15_q_c_0, reg_22_q_c_0, reg_33_q_c_0, reg_13_q_c_0, reg_43_q_c_0, reg_7_q_c_0, reg_41_q_c_0, reg_5_q_c_0, reg_26_q_c_0, reg_29_q_c_0, reg_36_q_c_0, nx8, nx14, reg_37_q_c_0, nx22, reg_38_q_c_0, reg_16_q_c_0, reg_42_q_c_0, reg_6_q_c_0, reg_24_q_c_0, reg_48_q_c_0, reg_50_q_c_0, nx44, nx52, nx6421, nx60, nx66, nx80, nx6423, nx110, nx116, nx122, nx134, reg_28_q_c_0, nx148, nx164, nx170, nx188, nx198, nx204, nx210, nx224, nx234, nx242, nx276, nx286, nx294, nx300, nx310, nx318, nx328, nx336, nx346, nx354, reg_34_q_c_0, reg_3_q_c_0, nx362, nx368, nx390, reg_8_q_c_0, reg_49_q_c_0, reg_46_q_c_0, reg_23_q_c_0, reg_45_q_c_0, nx394, nx402, nx416, reg_9_q_c_0, reg_31_q_c_0, nx426, nx434, nx444, nx452, nx468, nx474, nx488, nx502, nx520, nx534, nx538, nx542, nx550, nx564, nx586, nx592, nx606, nx620, nx634, nx648, reg_27_q_c_0, reg_32_q_c_0, nx666, nx676, nx684, nx702, nx706, nx718, nx732, nx746, nx764, nx786, reg_4_q_c_0, nx806, nx812, nx834, nx844, nx852, nx862, nx870, reg_47_q_c_0, nx884, nx898, reg_44_q_c_1, reg_1_q_c_1, reg_25_q_c_1, reg_15_q_c_1, reg_22_q_c_1, reg_33_q_c_1, reg_13_q_c_1, reg_43_q_c_1, reg_7_q_c_1, reg_41_q_c_1, reg_5_q_c_1, reg_26_q_c_1, reg_30_q_c_1, reg_36_q_c_1, reg_37_q_c_1, nx912, nx914, reg_38_q_c_1, reg_16_q_c_1, reg_42_q_c_1, reg_39_q_c_1, reg_6_q_c_1, reg_24_q_c_1, reg_48_q_c_1, reg_50_q_c_1, nx934, nx936, nx6429, nx944, nx946, nx954, nx956, nx966, nx978, nx6430, nx986, nx1002, nx1014, reg_28_q_c_1, nx1026, nx1028, nx1036, nx1038, nx6432, nx1046, nx1048, nx1058, nx1062, nx1064, nx1066, nx1074, nx1076, nx1084, nx1086, nx1108, nx1112, nx1120, nx1122, nx1128, nx1132, nx1134, nx1142, nx1144, nx1152, nx1154, nx1162, nx1164, reg_34_q_c_1, reg_3_q_c_1, nx6433, nx1172, nx1174, nx1196, reg_8_q_c_1, reg_49_q_c_1, reg_46_q_c_1, reg_23_q_c_1, reg_45_q_c_1, nx1200, nx1208, nx1210, reg_9_q_c_1, reg_31_q_c_1, nx1218, nx1220, nx1228, nx1230, nx1244, nx1246, nx1248, nx1256, nx1258, nx1266, nx1268, nx1280, nx1282, nx1296, nx1300, nx1302, nx1304, nx1312, nx1314, nx1336, nx1338, nx1346, nx1348, nx1356, nx1358, nx1366, nx1368, nx1378, reg_27_q_c_1, nx1388, nx1398, nx1416, nx1420, nx1422, nx1424, nx1432, nx1434, nx1442, nx1444, nx1454, nx1468, reg_4_q_c_1, nx1488, nx1490, nx1504, reg_20_q_c_1, nx1512, nx1514, nx1522, nx1524, reg_47_q_c_1, nx1532, nx1534, nx1542, nx1544, reg_1_q_c_2, nx1578, nx1588, reg_25_q_c_2, nx1602, reg_15_q_c_2, reg_22_q_c_2, nx1628, reg_43_q_c_2, reg_7_q_c_2, reg_5_q_c_2, reg_26_q_c_2, reg_29_q_c_2, reg_30_q_c_2, reg_37_q_c_2, nx1730, reg_38_q_c_2, nx1746, reg_16_q_c_2, reg_42_q_c_2, reg_6_q_c_2, nx1788, reg_24_q_c_2, reg_48_q_c_2, reg_50_q_c_2, nx1820, nx1822, nx6435, nx1832, nx1842, nx6437, nx1872, nx1888, nx1900, reg_28_q_c_2, nx1940, nx1942, nx1952, nx6441, nx1962, nx1972, nx1978, nx1990, nx1998, nx2000, nx2024, nx2026, nx2034, nx2036, nx2054, nx2056, nx2064, nx2066, nx2074, nx2076, nx2084, nx2086, reg_34_q_c_2, reg_3_q_c_2, nx2112, nx2114, nx2136, nx2140, nx2144, reg_8_q_c_2, reg_46_q_c_2, reg_23_q_c_2, nx2190, nx2200, reg_9_q_c_2, reg_31_q_c_2, nx2228, nx2230, nx2238, nx2240, nx2256, nx2258, nx2268, nx2278, nx2292, nx2306, nx2310, nx2312, nx2314, nx2324, nx2348, nx2358, nx2368, nx2378, nx2388, reg_27_q_c_2, reg_32_q_c_2, nx2414, nx2418, nx2428, nx2446, nx2450, nx2452, nx2454, nx2464, nx2474, nx2482, nx2484, nx2498, nx2516, reg_4_q_c_2, nx2526, nx2528, nx2542, nx2560, nx2562, nx2570, nx2590, nx2600, nx2614, reg_44_q_c_3, nx2624, reg_1_q_c_3, reg_25_q_c_3, reg_15_q_c_3, nx2666, nx2674, reg_33_q_c_3, reg_13_q_c_3, reg_43_q_c_3, nx2700, nx2708, reg_7_q_c_3, nx2716, reg_41_q_c_3, nx2724, reg_5_q_c_3, nx2734, reg_26_q_c_3, nx2744, nx2754, reg_30_q_c_3, nx2764, reg_36_q_c_3, nx2774, reg_37_q_c_3, nx2782, nx2784, nx2786, reg_38_q_c_3, reg_16_q_c_3, nx2820, reg_42_q_c_3, nx2828, nx2836, reg_6_q_c_3, nx2844, reg_24_q_c_3, nx2854, nx2856, reg_48_q_c_3, nx2862, nx2864, reg_50_q_c_3, nx2874, nx2876, nx2878, nx6444, nx2886, nx2888, nx2896, nx2898, nx2908, nx6445, nx2928, nx2944, nx2956, nx2976, reg_28_q_c_3, nx2984, nx2994, nx2996, nx2998, nx3006, nx3008, nx6449, nx3016, nx3018, nx3028, nx3032, nx3034, nx3044, nx3046, nx3054, nx3056, nx3078, nx3082, nx3090, nx3096, nx3104, nx3106, nx3108, nx3112, nx3120, nx3122, nx3130, nx3132, nx3140, nx3142, reg_34_q_c_3, nx3158, reg_3_q_c_3, nx3166, nx6451, nx3168, nx3170, nx3186, nx3188, nx3192, nx3196, nx3200, reg_8_q_c_3, nx3208, reg_49_q_c_3, reg_46_q_c_3, nx3224, reg_23_q_c_3, nx3232, reg_45_q_c_3, nx3242, nx3246, nx3254, nx3256, reg_9_q_c_3, nx3272, reg_31_q_c_3, nx3282, nx3284, nx3286, nx3294, nx3296, nx3310, nx3312, nx3314, nx3322, nx3324, nx3332, nx3334, nx3346, nx3348, nx3362, nx3366, nx3368, nx3370, nx3378, nx3380, nx3402, nx3404, nx3412, nx3414, nx3422, nx3424, nx3432, nx3434, nx3444, reg_27_q_c_3, nx3460, nx3474, nx3484, nx3502, nx3506, nx3508, nx3510, nx3518, nx3528, nx3530, nx3540, nx3554, nx3572, reg_4_q_c_3, nx3580, nx3582, nx3584, nx3598, reg_20_q_c_3, nx3614, nx3616, nx3618, nx3626, nx3628, reg_47_q_c_3, nx3642, nx3644, nx3646, nx3654, nx3656, reg_1_q_c_4, nx3700, reg_25_q_c_4, nx3714, reg_15_q_c_4, reg_22_q_c_4, nx3740, reg_43_q_c_4, reg_7_q_c_4, reg_5_q_c_4, reg_26_q_c_4, reg_29_q_c_4, reg_30_q_c_4, reg_37_q_c_4, nx3842, reg_38_q_c_4, nx3858, reg_16_q_c_4, reg_42_q_c_4, reg_39_q_c_4, reg_6_q_c_4, nx3900, reg_24_q_c_4, reg_48_q_c_4, reg_50_q_c_4, nx3932, nx3934, nx6455, nx3944, nx3954, nx6456, nx3984, nx4000, nx4012, reg_12_q_c_4, reg_28_q_c_4, nx4052, nx4054, nx4064, nx6458, nx4074, nx4084, nx4090, nx4092, nx4102, nx4110, nx4112, nx4136, nx4138, nx4146, nx4148, nx4166, nx4168, nx4176, nx4178, nx4186, nx4188, nx4196, nx4198, reg_34_q_c_4, reg_3_q_c_4, nx4224, nx4226, reg_2_q_c_4, nx4248, nx4252, nx4256, reg_8_q_c_4, reg_46_q_c_4, reg_23_q_c_4, nx4302, nx4312, reg_9_q_c_4, reg_31_q_c_4, nx4340, nx4342, nx4350, nx4352, nx4368, nx4370, nx4380, nx4390, nx4404, nx4418, nx4422, nx4424, nx4426, nx4436, nx4460, nx4470, nx4480, nx4490, nx4500, reg_27_q_c_4, reg_32_q_c_4, nx4526, nx4530, nx4540, nx4558, nx4562, nx4564, nx4566, nx4576, nx4586, nx4594, nx4596, nx4610, nx4628, reg_4_q_c_4, nx4638, nx4640, nx4654, nx4672, nx4674, nx4682, nx4684, nx4702, nx4712, nx4726, reg_44_q_c_5, nx4736, reg_1_q_c_5, reg_25_q_c_5, reg_15_q_c_5, nx4778, nx4786, reg_33_q_c_5, reg_13_q_c_5, reg_43_q_c_5, nx4812, nx4820, reg_7_q_c_5, nx4828, reg_41_q_c_5, nx4836, reg_5_q_c_5, nx4846, reg_26_q_c_5, nx4856, nx4866, reg_30_q_c_5, nx4876, reg_36_q_c_5, nx4886, reg_37_q_c_5, nx4894, nx4896, nx4898, reg_38_q_c_5, reg_16_q_c_5, nx4932, reg_42_q_c_5, nx4940, nx4948, reg_6_q_c_5, nx4956, reg_24_q_c_5, nx4966, nx4968, reg_48_q_c_5, nx4974, nx4976, reg_50_q_c_5, nx4986, nx4988, nx4990, nx6461, nx4998, nx5000, nx5008, nx5010, nx5020, nx6463, nx5040, nx5056, nx5068, reg_12_q_c_5, nx5088, reg_28_q_c_5, nx5096, nx5106, nx5108, nx5118, nx5120, nx6466, nx5128, nx5130, nx5140, nx5144, nx5146, nx5156, nx5158, nx5166, nx5168, nx5190, nx5194, nx5202, nx5208, nx5216, nx5218, nx5220, nx5224, nx5232, nx5234, nx5242, nx5244, nx5252, nx5254, reg_34_q_c_5, nx5270, reg_3_q_c_5, nx5278, nx6467, nx5280, nx5282, nx5298, nx5300, nx5304, nx5308, nx5312, reg_8_q_c_5, nx5320, reg_49_q_c_5, nx5326, nx5328, reg_46_q_c_5, nx5336, reg_23_q_c_5, nx5344, reg_45_q_c_5, nx5354, nx5358, nx5366, nx5368, reg_9_q_c_5, nx5384, reg_31_q_c_5, nx5394, nx5396, nx5398, nx5406, nx5408, nx5422, nx5424, nx5426, nx5436, nx5444, nx5446, nx5458, nx5460, nx5474, nx5478, nx5480, nx5482, nx5490, nx5492, nx5514, nx5516, nx5524, nx5526, nx5534, nx5536, nx5544, nx5546, nx5554, nx5556, reg_27_q_c_5, nx5572, nx5586, nx5596, nx5614, nx5618, nx5620, nx5622, nx5630, nx5640, nx5642, nx5652, nx5666, nx5684, reg_4_q_c_5, nx5692, nx5694, nx5696, nx5710, reg_20_q_c_5, nx5726, nx5728, nx5730, nx5738, nx5740, reg_47_q_c_5, nx5754, nx5756, nx5758, nx5766, nx5768, reg_1_q_c_6, reg_10_q_c_6, nx5812, reg_25_q_c_6, nx5826, reg_15_q_c_6, reg_22_q_c_6, nx5852, reg_43_q_c_6, reg_7_q_c_6, reg_5_q_c_6, reg_26_q_c_6, reg_29_q_c_6, reg_30_q_c_6, reg_37_q_c_6, nx5954, reg_38_q_c_6, nx5970, reg_16_q_c_6, reg_42_q_c_6, reg_39_q_c_6, reg_6_q_c_6, nx6012, reg_24_q_c_6, reg_48_q_c_6, reg_50_q_c_6, nx6044, nx6046, nx6469, nx6056, nx6066, nx6470, nx6096, nx6112, nx6124, reg_12_q_c_6, reg_28_q_c_6, nx6164, nx6166, nx6471, nx6176, nx6473, nx6186, nx6196, nx6202, nx6204, nx6214, nx6222, nx6224, nx6248, nx6250, nx6258, nx6260, nx6278, nx6280, nx6288, nx6290, nx6298, nx6300, nx6308, nx6310, reg_34_q_c_6, reg_3_q_c_6, nx6336, nx6338, reg_2_q_c_6, nx6360, nx6364, nx6368, reg_8_q_c_6, reg_46_q_c_6, reg_23_q_c_6, nx6414, nx6424, reg_9_q_c_6, reg_31_q_c_6, nx6452, nx6454, nx6462, nx6464, nx6480, nx6482, nx6492, nx6502, nx6516, nx6530, nx6534, nx6536, nx6538, nx6548, nx6572, nx6582, nx6592, nx6602, nx6612, reg_27_q_c_6, reg_32_q_c_6, nx6638, nx6642, nx6652, nx6670, nx6674, nx6676, nx6678, nx6688, nx6698, nx6706, nx6708, nx6722, nx6740, reg_4_q_c_6, nx6750, nx6752, nx6766, nx6784, nx6786, nx6794, nx6796, nx6814, nx6824, nx6838, reg_44_q_c_7, nx6848, reg_25_q_c_7, reg_15_q_c_7, nx6890, reg_22_q_c_7, nx6898, reg_33_q_c_7, reg_13_q_c_7, reg_43_q_c_7, nx6924, nx6932, reg_7_q_c_7, nx6940, reg_41_q_c_7, nx6948, reg_5_q_c_7, nx6958, reg_26_q_c_7, nx6968, nx6978, reg_30_q_c_7, nx6988, reg_36_q_c_7, nx6998, reg_37_q_c_7, nx7006, nx7008, nx7010, reg_38_q_c_7, reg_16_q_c_7, nx7044, reg_42_q_c_7, nx7052, reg_39_q_c_7, nx7060, reg_6_q_c_7, nx7068, reg_24_q_c_7, nx7078, nx7080, reg_48_q_c_7, nx7086, nx7088, reg_50_q_c_7, nx7098, nx7100, nx7102, nx6479, nx7110, nx7112, nx7120, nx7122, nx7132, nx6481, nx7152, nx7168, nx7180, reg_12_q_c_7, nx7200, reg_28_q_c_7, nx7208, nx7218, nx7220, nx7222, nx7230, nx7232, nx6484, nx7240, nx7242, nx7252, nx7256, nx7258, nx7260, nx7268, nx7270, nx7278, nx7280, nx7302, nx7306, nx7314, nx7320, nx7328, nx7330, nx7332, nx7336, nx7344, nx7346, nx7354, nx7356, nx7364, nx7366, reg_34_q_c_7, nx7382, reg_3_q_c_7, nx7390, nx6485, nx7392, nx7394, nx7424, reg_8_q_c_7, nx7432, reg_49_q_c_7, reg_46_q_c_7, nx7448, reg_23_q_c_7, nx7456, reg_45_q_c_7, nx7466, nx7470, nx7478, nx7480, reg_9_q_c_7, nx7496, reg_31_q_c_7, nx7506, nx7508, nx7510, nx7518, nx7520, nx7534, nx7536, nx7538, nx7548, nx7556, nx7558, nx7570, nx7572, nx7586, nx7590, nx7592, nx7594, nx7602, nx7604, nx7626, nx7628, nx7636, nx7638, nx7646, nx7648, nx7656, nx7658, nx7666, nx7668, reg_27_q_c_7, nx7684, nx7698, nx7708, nx7726, nx7730, nx7732, nx7734, nx7742, nx7744, nx7752, nx7754, nx7764, nx7778, nx7796, reg_4_q_c_7, nx7804, nx7806, nx7808, nx7822, reg_20_q_c_7, nx7838, nx7840, nx7842, nx7850, nx7852, reg_47_q_c_7, nx7866, nx7868, nx7870, nx7878, nx7880, reg_1_q_c_8, nx7924, reg_25_q_c_8, nx7938, reg_15_q_c_8, reg_22_q_c_8, nx7964, reg_43_q_c_8, reg_7_q_c_8, reg_5_q_c_8, reg_26_q_c_8, reg_29_q_c_8, reg_30_q_c_8, reg_37_q_c_8, nx8066, reg_38_q_c_8, nx8082, reg_16_q_c_8, reg_42_q_c_8, reg_39_q_c_8, reg_6_q_c_8, nx8124, reg_24_q_c_8, reg_48_q_c_8, reg_50_q_c_8, nx8156, nx8158, nx6487, nx8168, nx8178, nx6488, nx8208, nx8224, nx8236, reg_28_q_c_8, nx8276, nx8278, nx8288, nx6491, nx8298, nx8308, nx8314, nx8316, nx8326, nx8334, nx8336, nx8360, nx8362, nx8370, nx8372, nx8390, nx8392, nx8400, nx8402, nx8410, nx8412, nx8420, nx8422, reg_34_q_c_8, reg_3_q_c_8, nx8448, nx8450, nx8472, nx8476, reg_46_q_c_8, reg_23_q_c_8, nx8526, nx8536, reg_9_q_c_8, reg_31_q_c_8, nx8564, nx8566, nx8574, nx8576, nx8592, nx8594, nx8604, nx8614, nx8628, nx8642, nx8646, nx8648, nx8650, nx8660, nx8684, nx8694, nx8704, nx8714, nx8724, reg_27_q_c_8, reg_32_q_c_8, nx8750, nx8754, nx8764, nx8782, nx8786, nx8788, nx8790, nx8800, nx8810, nx8818, nx8820, nx8834, nx8852, reg_4_q_c_8, nx8862, nx8864, nx8878, nx8896, nx8898, nx8906, nx8908, nx8926, nx8936, nx8950, reg_44_q_c_9, nx8960, reg_1_q_c_9, reg_10_q_c_9, reg_25_q_c_9, reg_15_q_c_9, nx9002, reg_22_q_c_9, nx9010, reg_33_q_c_9, reg_13_q_c_9, reg_43_q_c_9, nx9036, nx9044, reg_7_q_c_9, nx9052, reg_41_q_c_9, nx9060, reg_5_q_c_9, nx9070, reg_26_q_c_9, nx9080, nx9090, reg_30_q_c_9, nx9100, reg_36_q_c_9, nx9110, reg_37_q_c_9, nx9118, nx9120, nx9122, reg_38_q_c_9, reg_16_q_c_9, nx9156, reg_42_q_c_9, nx9164, reg_39_q_c_9, nx9172, reg_6_q_c_9, nx9180, reg_24_q_c_9, nx9190, nx9192, reg_48_q_c_9, nx9198, nx9200, reg_50_q_c_9, nx9210, nx9212, nx9214, nx9224, nx9232, nx9234, nx9244, nx6496, nx9264, nx9280, nx9292, reg_12_q_c_9, nx9312, reg_28_q_c_9, nx9320, nx9330, nx9332, nx9334, nx6497, nx9342, nx9344, nx6498, nx9352, nx9354, nx9364, nx9368, nx9370, nx9372, nx9380, nx9382, nx9390, nx9392, nx9414, nx9418, nx9426, nx9432, nx9440, nx9442, nx9444, nx9448, nx9456, nx9458, nx9466, nx9468, nx9476, nx9478, reg_34_q_c_9, nx9494, reg_3_q_c_9, nx9502, nx6499, nx9504, nx9506, reg_2_q_c_9, nx9524, nx9528, nx9532, nx9536, reg_8_q_c_9, nx9544, reg_49_q_c_9, nx9552, reg_46_q_c_9, nx9560, reg_23_q_c_9, nx9568, reg_45_q_c_9, nx9578, nx9582, nx9590, nx9592, reg_9_q_c_9, nx9608, reg_31_q_c_9, nx9618, nx9620, nx9622, nx9630, nx9632, nx9646, nx9648, nx9650, nx9660, nx9668, nx9670, nx9682, nx9684, nx9698, nx9702, nx9704, nx9706, nx9714, nx9716, nx9738, nx9740, nx9748, nx9750, nx9758, nx9760, nx9768, nx9770, nx9778, nx9780, reg_27_q_c_9, nx9796, nx9810, nx9820, nx9838, nx9842, nx9844, nx9846, nx9854, nx9856, nx9864, nx9866, nx9876, nx9890, nx9908, reg_4_q_c_9, nx9916, nx9918, nx9920, nx9934, reg_20_q_c_9, nx9950, nx9952, nx9954, nx9962, nx9964, reg_47_q_c_9, nx9978, nx9980, nx9982, nx9990, nx9992, reg_1_q_c_10, reg_10_q_c_10, nx10036, reg_25_q_c_10, nx10050, reg_15_q_c_10, reg_22_q_c_10, nx10076, reg_43_q_c_10, reg_7_q_c_10, reg_5_q_c_10, reg_26_q_c_10, reg_29_q_c_10, reg_30_q_c_10, reg_37_q_c_10, nx10178, reg_38_q_c_10, nx10194, reg_16_q_c_10, reg_42_q_c_10, reg_39_q_c_10, reg_6_q_c_10, reg_24_q_c_10, reg_48_q_c_10, reg_50_q_c_10, nx10268, nx10270, nx10280, nx10290, nx6504, nx10320, nx10336, nx10348, reg_12_q_c_10, reg_28_q_c_10, nx10388, nx10390, nx6505, nx10400, nx6506, nx10410, nx10420, nx10426, nx10428, nx10438, nx10446, nx10448, nx10472, nx10474, nx10482, nx10484, nx10502, nx10504, nx10512, nx10514, nx10522, nx10524, nx10532, nx10534, reg_34_q_c_10, reg_3_q_c_10, nx10560, nx10562, reg_2_q_c_10, nx10584, nx10588, nx10592, reg_8_q_c_10, reg_46_q_c_10, reg_23_q_c_10, nx10638, nx10648, reg_9_q_c_10, reg_31_q_c_10, nx10676, nx10678, nx10686, nx10688, nx10704, nx10706, nx10716, nx10726, nx10740, nx10754, nx10758, nx10760, nx10762, nx10772, nx10796, nx10806, nx10816, nx10826, nx10836, reg_27_q_c_10, reg_32_q_c_10, nx10862, nx10866, nx10876, nx10894, nx10898, nx10900, nx10902, nx10912, nx10922, nx10930, nx10932, nx10946, nx10964, reg_4_q_c_10, nx10974, nx10976, nx10990, nx11008, nx11010, nx11018, nx11020, nx11038, nx11048, nx11062, reg_44_q_c_11, nx11072, reg_1_q_c_11, reg_10_q_c_11, reg_25_q_c_11, reg_15_q_c_11, nx11114, reg_22_q_c_11, nx11122, reg_33_q_c_11, reg_13_q_c_11, reg_43_q_c_11, nx11148, nx11156, reg_7_q_c_11, nx11164, reg_41_q_c_11, nx11172, reg_5_q_c_11, nx11182, reg_26_q_c_11, nx11192, nx11202, reg_30_q_c_11, nx11212, reg_36_q_c_11, nx11222, reg_37_q_c_11, nx11230, nx11232, nx11234, reg_38_q_c_11, reg_16_q_c_11, nx11268, reg_42_q_c_11, nx11276, reg_39_q_c_11, nx11284, reg_6_q_c_11, reg_24_q_c_11, nx11302, nx11304, reg_48_q_c_11, reg_50_q_c_11, nx11322, nx11324, nx11326, nx11336, nx11344, nx11346, nx11356, nx6511, nx11376, nx11392, nx11404, reg_12_q_c_11, nx11424, reg_28_q_c_11, nx11432, nx11442, nx11444, nx11446, nx6512, nx11454, nx11456, nx6513, nx11464, nx11466, nx11476, nx11480, nx11482, nx11484, nx11492, nx11494, nx11502, nx11504, nx11526, nx11530, nx11538, nx11544, nx11552, nx11554, nx11556, nx11560, nx11568, nx11570, nx11578, nx11580, nx11588, nx11590, reg_34_q_c_11, nx11606, reg_3_q_c_11, nx11614, nx6515, nx11616, nx11618, reg_2_q_c_11, nx11640, nx11644, nx11648, reg_8_q_c_11, nx11656, reg_49_q_c_11, reg_46_q_c_11, nx11672, reg_23_q_c_11, nx11680, reg_45_q_c_11, nx11690, nx11694, nx11702, nx11704, reg_9_q_c_11, nx11720, reg_31_q_c_11, nx11730, nx11732, nx11734, nx11742, nx11744, nx11758, nx11760, nx11762, nx11772, nx11780, nx11782, nx11794, nx11796, nx11810, nx11814, nx11816, nx11818, nx11826, nx11828, nx11850, nx11852, nx11860, nx11862, nx11870, nx11872, nx11880, nx11882, nx11890, nx11892, reg_27_q_c_11, nx11908, nx11922, nx11932, nx11950, nx11954, nx11956, nx11958, nx11966, nx11968, nx11976, nx11978, nx11988, nx12002, nx12020, reg_4_q_c_11, nx12028, nx12030, nx12032, nx12046, reg_20_q_c_11, nx12062, nx12064, nx12066, nx12074, nx12076, reg_47_q_c_11, nx12090, nx12092, nx12094, nx12102, nx12104, reg_1_q_c_12, reg_10_q_c_12, nx12148, reg_25_q_c_12, nx12162, reg_15_q_c_12, reg_22_q_c_12, nx12188, reg_43_q_c_12, reg_7_q_c_12, reg_5_q_c_12, reg_26_q_c_12, reg_29_q_c_12, reg_30_q_c_12, reg_37_q_c_12, nx12290, reg_38_q_c_12, nx12306, reg_16_q_c_12, reg_42_q_c_12, reg_39_q_c_12, reg_6_q_c_12, reg_24_q_c_12, reg_48_q_c_12, reg_50_q_c_12, nx12380, nx12382, nx12392, nx12402, nx6519, nx12432, nx12448, nx12460, reg_12_q_c_12, reg_28_q_c_12, nx12500, nx12502, nx6520, nx12512, nx6521, nx12522, nx12532, nx12538, nx12540, nx12550, nx12558, nx12560, nx12584, nx12586, nx12594, nx12596, nx12614, nx12616, nx12624, nx12626, nx12634, nx12636, nx12644, nx12646, reg_34_q_c_12, reg_3_q_c_12, nx12672, nx12674, reg_2_q_c_12, nx12696, nx12700, nx12704, reg_8_q_c_12, reg_46_q_c_12, reg_23_q_c_12, nx12750, nx12760, reg_9_q_c_12, reg_31_q_c_12, nx12788, nx12790, nx12798, nx12800, nx12816, nx12818, nx12828, nx12838, nx12852, nx12866, nx12870, nx12872, nx12874, nx12884, nx12908, nx12918, nx12928, nx12938, nx12948, reg_27_q_c_12, reg_32_q_c_12, nx12974, nx12978, nx12988, nx13006, nx13010, nx13012, nx13014, nx13024, nx13034, nx13042, nx13044, nx13058, nx13076, reg_4_q_c_12, nx13086, nx13088, nx13102, nx13120, nx13122, nx13130, nx13132, nx13150, nx13160, nx13174, reg_44_q_c_13, nx13184, reg_1_q_c_13, reg_10_q_c_13, reg_25_q_c_13, reg_15_q_c_13, nx13226, reg_22_q_c_13, nx13234, reg_33_q_c_13, reg_13_q_c_13, reg_43_q_c_13, nx13260, nx13268, reg_7_q_c_13, nx13276, reg_41_q_c_13, nx13284, reg_5_q_c_13, nx13294, reg_26_q_c_13, nx13304, nx13314, reg_30_q_c_13, nx13324, reg_36_q_c_13, nx13334, reg_37_q_c_13, nx13342, nx13344, nx13346, reg_38_q_c_13, reg_16_q_c_13, nx13380, reg_42_q_c_13, nx13388, reg_39_q_c_13, nx13396, reg_6_q_c_13, reg_24_q_c_13, nx13414, reg_48_q_c_13, reg_50_q_c_13, nx13434, nx13436, nx13438, nx13448, nx13458, nx13468, nx6527, nx13488, nx13504, nx13516, reg_12_q_c_13, nx13536, reg_28_q_c_13, nx13544, nx13554, nx13556, nx13558, nx6529, nx13566, nx13568, nx6531, nx13576, nx13578, nx13588, nx13592, nx13594, nx13596, nx13604, nx13606, nx13614, nx13616, nx13638, nx13642, nx13650, nx13656, nx13664, nx13666, nx13668, nx13672, nx13680, nx13682, nx13690, nx13692, nx13700, nx13702, reg_34_q_c_13, nx13718, reg_3_q_c_13, nx13726, nx6533, nx13728, nx13730, reg_2_q_c_13, nx13752, nx13756, nx13760, reg_8_q_c_13, nx13768, reg_49_q_c_13, reg_46_q_c_13, nx13784, reg_23_q_c_13, nx13792, reg_45_q_c_13, nx13802, nx13806, nx13814, nx13816, reg_9_q_c_13, nx13832, reg_31_q_c_13, nx13842, nx13844, nx13846, nx13854, nx13856, nx13870, nx13872, nx13874, nx13884, nx13892, nx13894, nx13906, nx13908, nx13922, nx13926, nx13928, nx13930, nx13938, nx13940, nx13962, nx13964, nx13972, nx13974, nx13982, nx13984, nx13992, nx13994, nx14002, nx14004, reg_27_q_c_13, nx14020, nx14034, nx14044, nx14062, nx14066, nx14068, nx14070, nx14078, nx14080, nx14088, nx14090, nx14100, nx14114, nx14132, reg_4_q_c_13, nx14140, nx14142, nx14144, reg_20_q_c_13, nx14174, nx14176, nx14178, nx14186, nx14188, reg_47_q_c_13, nx14202, nx14204, nx14206, nx14214, nx14216, reg_1_q_c_14, reg_10_q_c_14, nx14260, reg_25_q_c_14, nx14274, reg_15_q_c_14, reg_22_q_c_14, nx14300, reg_43_q_c_14, reg_7_q_c_14, reg_5_q_c_14, reg_26_q_c_14, reg_29_q_c_14, reg_30_q_c_14, reg_37_q_c_14, nx14402, reg_38_q_c_14, nx14418, reg_16_q_c_14, reg_42_q_c_14, reg_39_q_c_14, reg_6_q_c_14, reg_24_q_c_14, reg_48_q_c_14, reg_50_q_c_14, nx14492, nx14494, nx14514, nx6539, nx14544, nx14560, nx14572, reg_12_q_c_14, reg_28_q_c_14, nx14612, nx14614, nx6540, nx14624, nx6541, nx14634, nx14644, nx14650, nx14652, nx14662, nx14670, nx14672, nx14696, nx14698, nx14706, nx14708, nx14726, nx14728, nx14736, nx14738, nx14746, nx14748, nx14756, nx14758, reg_34_q_c_14, reg_3_q_c_14, nx14784, nx14786, reg_2_q_c_14, nx14808, nx14812, nx14816, reg_8_q_c_14, reg_46_q_c_14, reg_23_q_c_14, nx14862, nx14872, reg_9_q_c_14, reg_31_q_c_14, nx14900, nx14902, nx14910, nx14912, nx14928, nx14930, nx14940, nx14950, nx14964, nx14978, nx14982, nx14984, nx14986, nx14996, nx15020, nx15030, nx15040, nx15050, reg_27_q_c_14, reg_32_q_c_14, nx15086, nx15090, nx15100, nx15118, nx15122, nx15124, nx15126, nx15136, nx15146, nx15154, nx15156, nx15170, nx15188, reg_4_q_c_14, nx15198, nx15200, nx15214, nx15232, nx15234, nx15242, nx15244, nx15262, nx15272, nx15286, reg_44_q_c_15, nx15296, reg_1_q_c_15, reg_10_q_c_15, reg_25_q_c_15, reg_15_q_c_15, nx15338, reg_22_q_c_15, nx15346, reg_33_q_c_15, reg_43_q_c_15, nx15372, nx15380, reg_7_q_c_15, nx15388, reg_41_q_c_15, nx15396, reg_5_q_c_15, nx15406, reg_26_q_c_15, nx15416, reg_29_q_c_15, nx15426, reg_30_q_c_15, nx15436, reg_36_q_c_15, nx15446, reg_37_q_c_15, nx15454, nx15458, reg_38_q_c_15, reg_16_q_c_15, nx15492, reg_42_q_c_15, nx15500, reg_39_q_c_15, nx15508, reg_6_q_c_15, reg_24_q_c_15, reg_48_q_c_15, reg_50_q_c_15, nx15546, nx15550, nx6544, nx6545, nx15600, nx15614, nx15616, nx15628, reg_12_q_c_15, nx15648, reg_28_q_c_15, nx15656, nx15666, nx15670, nx6547, nx15680, nx15690, nx15702, nx15704, nx15708, nx15718, nx15728, nx15752, nx15754, nx15762, nx15768, nx15776, nx15780, nx15784, nx15794, nx15804, nx15814, reg_34_q_c_15, nx15830, reg_3_q_c_15, nx15838, nx15842, reg_2_q_c_15, nx15864, nx15868, reg_8_q_c_15, nx15880, reg_49_q_c_15, reg_46_q_c_15, nx15896, reg_23_q_c_15, nx15904, reg_45_q_c_15, nx15914, nx15918, nx15928, reg_9_q_c_15, nx15944, reg_31_q_c_15, nx15954, nx15958, nx15968, nx15986, nx16006, nx16014, nx16034, nx16042, nx16052, nx16068, nx16076, nx16086, nx16096, nx16106, nx6551, reg_27_q_c_15, nx16132, reg_32_q_c_15, nx16144, nx16146, nx16156, nx16174, nx16178, nx16180, nx16182, nx16192, nx16202, nx16210, nx16212, nx16224, nx16226, nx16244, reg_4_q_c_15, nx16252, nx16256, nx16268, nx16270, nx16286, nx16290, nx16300, reg_47_q_c_15, nx16314, nx16318, nx16328, reg_40_q_c_0, nx16338, nx16346, nx16364, reg_40_q_c_1, nx16372, nx16374, nx16382, nx16384, nx16400, reg_40_q_c_2, nx16414, nx16422, nx16424, reg_40_q_c_3, nx16448, nx16450, nx16452, nx16454, nx16462, nx16464, nx16480, reg_40_q_c_4, nx16494, nx16502, nx16504, reg_40_q_c_5, nx16528, nx16530, nx16532, nx16534, nx16542, nx16544, nx16560, reg_40_q_c_6, nx16574, nx16582, nx16584, reg_40_q_c_7, nx16608, nx16610, nx16612, nx16614, nx16622, nx16624, nx16640, reg_40_q_c_8, nx16654, nx16662, nx16664, reg_40_q_c_9, nx16688, nx16690, nx16694, nx16702, nx16704, nx16720, reg_40_q_c_10, nx16734, nx16742, nx16744, reg_40_q_c_11, nx16774, nx16782, nx16784, nx16800, reg_40_q_c_12, nx16814, nx16822, nx16824, reg_40_q_c_13, nx16854, nx16862, nx16864, nx16880, reg_40_q_c_14, nx16894, nx16902, nx16904, reg_40_q_c_15, nx16942, nx16944, nx16954, reg_19_q_c_0, nx16958, nx16966, nx16980, reg_19_q_c_1, nx16982, nx16984, nx16998, reg_19_q_c_2, nx17010, nx17012, nx17026, reg_19_q_c_3, nx17036, nx17038, nx17040, nx17054, reg_19_q_c_4, nx17066, nx17068, nx17082, reg_19_q_c_5, nx17092, nx17094, nx17096, nx17110, reg_19_q_c_6, nx17122, nx17124, nx17138, reg_19_q_c_7, nx17148, nx17150, nx17152, nx17166, reg_19_q_c_8, nx17178, nx17180, nx17194, reg_19_q_c_9, nx17204, nx17206, nx17208, nx17222, reg_19_q_c_10, nx17234, nx17236, nx17250, reg_19_q_c_11, nx17260, nx17262, nx17264, nx17278, reg_19_q_c_12, nx17290, nx17292, nx17306, reg_19_q_c_13, nx17316, nx17318, nx17320, nx17334, reg_19_q_c_14, nx17346, nx17348, nx17362, reg_19_q_c_15, nx17372, nx17376, nx17390, nx17394, nx17402, nx17416, nx17418, nx17420, nx17434, nx17448, nx17462, nx17470, nx17472, nx17474, nx17476, nx17490, nx17504, nx17518, nx17526, nx17528, nx17530, nx17532, nx17546, nx17560, nx17574, nx17582, nx17584, nx17586, nx17588, nx17602, nx17616, nx17630, nx17638, nx17644, nx17658, nx17672, nx17686, nx17700, nx17714, nx17728, nx17742, nx17750, nx17756, nx17770, nx17784, nx17798, nx6559, nx6567, nx6579, nx6587, nx6593, nx6597, nx6601, nx6635, nx6639, nx6643, nx6655, nx6658, nx6663, nx6675, nx6683, nx6685, nx6687, nx6694, nx6699, nx6700, nx6710, nx6713, nx6715, nx6739, nx6749, nx6758, nx6765, nx6768, nx6775, nx6788, nx6791, nx6799, nx6802, nx6811, nx6815, nx6820, nx6825, nx6859, nx6863, nx6869, nx6871, nx6891, nx6893, nx6899, nx6901, nx6907, nx6909, nx6915, nx6917, nx6927, nx6929, nx6953, nx6959, nx6961, nx6967, nx6969, nx6981, nx6997, nx7005, nx7011, nx7013, nx7020, nx7023, nx7027, nx7029, nx7039, nx7041, nx7051, nx7059, nx7061, nx7067, nx7075, nx7077, nx7085, nx7087, nx7091, nx7097, nx7103, nx7105, nx7106, nx7114, nx7125, nx7127, nx7135, nx7137, nx7143, nx7145, nx7151, nx7153, nx7163, nx7181, nx7191, nx7202, nx7207, nx7209, nx7221, nx7223, nx7227, nx7229, nx7231, nx7233, nx7236, nx7237, nx7238, nx7239, nx7248, nx7251, nx7257, nx7259, nx7275, nx7279, nx7283, nx7303, nx7307, nx7311, nx7315, nx7321, nx7325, nx7331, nx7340, nx7349, nx7352, nx7357, nx7362, nx7365, nx7371, nx7383, nx7389, nx7393, nx7398, nx7401, nx7405, nx7417, nx7425, nx7435, nx7437, nx7445, nx7451, nx7457, nx7461, nx7467, nx7477, nx7479, nx7483, nx7485, nx7489, nx7499, nx7503, nx7509, nx7513, nx7517, nx7524, nx7527, nx7531, nx7545, nx7547, nx7553, nx7557, nx7561, nx7565, nx7567, nx7577, nx7591, nx7599, nx7603, nx7608, nx7617, nx7621, nx7629, nx7632, nx7641, nx7645, nx7653, nx7657, nx7664, nx7671, nx7673, nx7687, nx7693, nx7699, nx7703, nx7704, nx7707, nx7710, nx7714, nx7717, nx7723, nx7731, nx7735, nx7739, nx7741, nx7745, nx7747, nx7748, nx7751, nx7753, nx7756, nx7758, nx7759, nx7763, nx7767, nx7771, nx7777, nx7782, nx7784, nx7791, nx7794, nx7795, nx7797, nx7805, nx7811, nx7826, nx7831, nx7839, nx7841, nx7847, nx7851, nx7860, nx7875, nx7888, nx7897, nx7905, nx7913, nx7927, nx7939, nx7951, nx7961, nx7969, nx7983, nx7987, nx7989, nx7993, nx7995, nx8011, nx8019, nx8023, nx8031, nx8037, nx8043, nx8051, nx8053, nx8055, nx8065, nx8067, nx8071, nx8076, nx8083, nx8085, nx8087, nx8088, nx8094, nx8105, nx8107, nx8123, nx8131, nx8141, nx8151, nx8169, nx8174, nx8183, nx8193, nx8197, nx8205, nx8213, nx8217, nx8228, nx8231, nx8235, nx8237, nx8238, nx8239, nx8251, nx8261, nx8282, nx8287, nx8291, nx8309, nx8313, nx8319, nx8322, nx8327, nx8330, nx8335, nx8342, nx8345, nx8351, nx8355, nx8361, nx8367, nx8369, nx8377, nx8391, nx8396, nx8399, nx8405, nx8408, nx8413, nx8427, nx8435, nx8437, nx8439, nx8445, nx8449, nx8454, nx8457, nx8461, nx8471, nx8473, nx8477, nx8479, nx8485, nx8493, nx8497, nx8503, nx8511, nx8517, nx8523, nx8529, nx8541, nx8543, nx8551, nx8555, nx8559, nx8565, nx8567, nx8575, nx8581, nx8584, nx8593, nx8603, nx8609, nx8613, nx8619, nx8623, nx8632, nx8637, nx8643, nx8649, nx8655, nx8661, nx8663, nx8671, nx8677, nx8683, nx8688, nx8689, nx8691, nx8693, nx8695, nx8696, nx8699, nx8703, nx8709, nx8715, nx8718, nx8723, nx8725, nx8728, nx8730, nx8731, nx8734, nx8735, nx8739, nx8742, nx8743, nx8747, nx8751, nx8757, nx8760, nx8765, nx8767, nx8773, nx8777, nx8779, nx8781, nx8789, nx8794, nx8803, nx8809, nx8815, nx8821, nx8823, nx8829, nx8833, nx8842, nx8855, nx8870, nx8877, nx8883, nx8889, nx8912, nx8921, nx8923, nx8930, nx8935, nx8944, nx8949, nx8951, nx8955, nx8957, nx8973, nx8981, nx8985, nx8993, nx8999, nx9005, nx9013, nx9015, nx9017, nx9027, nx9029, nx9033, nx9041, nx9047, nx9049, nx9051, nx9053, nx9063, nx9075, nx9077, nx9093, nx9103, nx9113, nx9123, nx9139, nx9145, nx9157, nx9167, nx9171, nx9179, nx9187, nx9191, nx9203, nx9207, nx9213, nx9215, nx9216, nx9217, nx9228, nx9235, nx9253, nx9259, nx9263, nx9281, nx9285, nx9288, nx9293, nx9297, nx9303, nx9307, nx9313, nx9321, nx9324, nx9331, nx9335, nx9339, nx9345, nx9347, nx9353, nx9363, nx9369, nx9373, nx9377, nx9381, nx9386, nx9401, nx9411, nx9413, nx9415, nx9421, nx9424, nx9429, nx9433, nx9439, nx9449, nx9451, nx9454, nx9457, nx9462, nx9467, nx9471, nx9475, nx9482, nx9487, nx9493, nx9499, nx9503, nx9511, nx9513, nx9519, nx9523, nx9527, nx9533, nx9535, nx9547, nx9555, nx9561, nx9565, nx9569, nx9579, nx9589, nx9596, nx9599, nx9615, nx9623, nx9627, nx9633, nx9638, nx9640, nx9651, nx9655, nx9661, nx9665, nx9666, nx9669, nx9671, nx9672, nx9673, nx9676, nx9679, nx9687, nx9693, nx9697, nx9701, nx9703, nx9707, nx9709, nx9710, nx9713, nx9715, nx9718, nx9720, nx9721, nx9724, nx9727, nx9733, nx9737, nx9743, nx9745, nx9752, nx9755, nx9756, nx9759, nx9765, nx9769, nx9777, nx9784, nx9789, nx9797, nx9799, nx9807, nx9811, nx9819, nx9829, nx9845, nx9851, nx9857, nx9862, nx9882, nx9893, nx9895, nx9901, nx9909, nx9923, nx9926, nx9929, nx9933, nx9935, nx9945, nx9953, nx9957, nx9963, nx9968, nx9972, nx9981, nx9983, nx9985, nx9991, nx9993, nx9997, nx10003, nx10009, nx10011, nx10013, nx10015, nx10023, nx10037, nx10055, nx10065, nx10075, nx10085, nx10109, nx10117, nx10128, nx10137, nx10140, nx10147, nx10157, nx10161, nx10173, nx10177, nx10181, nx10182, nx10183, nx10184, nx10197, nx10205, nx10227, nx10233, nx10237, nx10253, nx10259, nx10263, nx10269, nx10273, nx10277, nx10281, nx10285, nx10293, nx10301, nx10305, nx10309, nx10317, nx10319, nx10327, nx10340, nx10344, nx10347, nx10353, nx10357, nx10363, nx10383, nx10393, nx10395, nx10403, nx10406, nx10411, nx10414, nx10419, nx10429, nx10431, nx10434, nx10437, nx10442, nx10447, nx10451, nx10455, nx10461, nx10469, nx10475, nx10479, nx10491, nx10493, nx10501, nx10505, nx10509, nx10513, nx10515, nx10523, nx10529, nx10533, nx10540, nx10549, nx10561, nx10567, nx10570, nx10585, nx10593, nx10599, nx10607, nx10615, nx10617, nx10635, nx10640, nx10644, nx10647, nx10650, nx10651, nx10654, nx10657, nx10665, nx10673, nx10677, nx10681, nx10683, nx10687, nx10689, nx10690, nx10693, nx10694, nx10696, nx10699, nx10701, nx10705, nx10709, nx10713, nx10717, nx10721, nx10723, nx10729, nx10732, nx10733, nx10735, nx10743, nx10747, nx10757, nx10765, nx10771, nx10777, nx10779, nx10785, nx10789, nx10793, nx10800, nx10811, nx10825, nx10831, nx10837, nx10842, nx10857, nx10867, nx10875, nx10877, nx10882, nx10889, nx10901, nx10905, nx10907, nx10911, nx10923, nx10928, nx10931, nx10937, nx10947, nx10951, nx10954, nx10957, nx10959, nx10967, nx10969, nx10973, nx10980, nx10985, nx10987, nx10989, nx10991, nx10997, nx11000, nx11009, nx11011, nx11021, nx11027, nx11037, nx11044, nx11061, nx11081, nx11089, nx11091, nx11093, nx11099, nx11109, nx11113, nx11125, nx11129, nx11134, nx11135, nx11137, nx11139, nx11153, nx11163, nx11185, nx11191, nx11195, nx11213, nx11219, nx11223, nx11229, nx11233, nx11238, nx11241, nx11245, nx11253, nx11257, nx11263, nx11267, nx11273, nx11281, nx11283, nx11291, nx11303, nx11309, nx11313, nx11319, nx11323, nx11329, nx11339, nx11345, nx11352, nx11355, nx11357, nx11361, nx11365, nx11371, nx11375, nx11381, nx11391, nx11393, nx11396, nx11403, nx11411, nx11415, nx11421, nx11429, nx11449, nx11453, nx11461, nx11463, nx11470, nx11473, nx11477, nx11483, nx11485, nx11493, nx11499, nx11503, nx11507, nx11510, nx11519, nx11527, nx11531, nx11536, nx11539, nx11555, nx11563, nx11567, nx11574, nx11579, nx11581, nx11594, nx11598, nx11603, nx11605, nx11607, nx11609, nx11611, nx11613, nx11617, nx11621, nx11626, nx11633, nx11637, nx11641, nx11643, nx11647, nx11649, nx11650, nx11655, nx11657, nx11659, nx11663, nx11665, nx11669, nx11673, nx11689, nx11691, nx11697, nx11700, nx11701, nx11703, nx11709, nx11713, nx11725, nx11733, nx11739, nx11745, nx11747, nx11752, nx11755, nx11759, nx11766, nx11777, nx11791, nx11799, nx11805, nx11811, nx11825, nx11833, nx11841, nx11843, nx11851, nx11857, nx11867, nx11871, nx11873, nx11876, nx11889, nx11896, nx11899, nx11919, nx11923, nx11926, nx11928, nx11931, nx11938, nx11941, nx11945, nx11951, nx11957, nx11959, nx11961, nx11962, nx11970, nx11973, nx11981, nx11983, nx11994, nx12003, nx12009, nx12017, nx12037, nx12052, nx12059, nx12061, nx12063, nx12070, nx12077, nx12080, nx12089, nx12093, nx12097, nx12098, nx12099, nx12100, nx12111, nx12121, nx12143, nx12149, nx12153, nx12171, nx12177, nx12181, nx12187, nx12191, nx12197, nx12201, nx12207, nx12215, nx12219, nx12225, nx12229, nx12235, nx12243, nx12245, nx12253, nx12267, nx12273, nx12277, nx12283, nx12287, nx12293, nx12301, nx12309, nx12317, nx12319, nx12321, nx12327, nx12331, nx12337, nx12341, nx12347, nx12355, nx12357, nx12361, nx12369, nx12377, nx12381, nx12386, nx12391, nx12406, nx12411, nx12419, nx12421, nx12429, nx12433, nx12437, nx12443, nx12445, nx12454, nx12461, nx12464, nx12468, nx12472, nx12481, nx12492, nx12501, nx12505, nx12517, nx12523, nx12527, nx12533, nx12541, nx12543, nx12555, nx12561, nx12565, nx12566, nx12568, nx12571, nx12573, nx12575, nx12579, nx12583, nx12590, nx12595, nx12599, nx12603, nx12605, nx12609, nx12611, nx12613, nx12618, nx12619, nx12621, nx12623, nx12625, nx12629, nx12632, nx12645, nx12647, nx12652, nx12655, nx12656, nx12659, nx12667, nx12673, nx12681, nx12687, nx12695, nx12703, nx12705, nx12713, nx12717, nx12721, nx12729, nx12743, nx12759, nx12765, nx12771, nx12779, nx12803, nx12811, nx12813, nx12821, nx12827, nx12837, nx12841, nx12843, nx12847, nx12861, nx12867, nx12871, nx12888, nx12892, nx12895, nx12897, nx12899, nx12909, nx12911, nx12915, nx12922, nx12927, nx12929, nx12931, nx12932, nx12940, nx12943, nx12951, nx12953, nx12967, nx12977, nx12984, nx12992, nx13009, nx13025, nx13033, nx13035, nx13036, nx13041, nx13049, nx13053, nx13063, nx13066, nx13071, nx13072, nx13073, nx13074, nx13087, nx13094, nx13111, nx13117, nx13121, nx13135, nx13139, nx13143, nx13149, nx13153, nx13157, nx13161, nx13165, nx13173, nx13177, nx13183, nx13187, nx13193, nx13201, nx13203, nx13209, nx13223, nx13229, nx13233, nx13239, nx13243, nx13249, nx13263, nx13271, nx13281, nx13283, nx13285, nx13291, nx13295, nx13301, nx13305, nx13311, nx13321, nx13323, nx13327, nx13335, nx13343, nx13347, nx13351, nx13356, nx13371, nx13377, nx13381, nx13391, nx13393, nx13401, nx13405, nx13409, nx13415, nx13417, nx13429, nx13437, nx13441, nx13444, nx13449, nx13457, nx13467, nx13473, nx13477, nx13493, nx13501, nx13507, nx13512, nx13519, nx13521, nx13537, nx13543, nx13548, nx13549, nx13551, nx13553, nx13555, nx13557, nx13561, nx13564, nx13571, nx13577, nx13581, nx13585, nx13587, nx13591, nx13593, nx13595, nx13599, nx13600, nx13602, nx13605, nx13607, nx13627, nx13629, nx13635, nx13641, nx13643, nx13645, nx13651, nx13657, nx13669, nx13676, nx13681, nx13687, nx13689, nx13696, nx13699, nx13711, nx13731, nx13734, nx13739, nx13741, nx13749, nx13755, nx13761, nx13763, nx13767, nx13777, nx13785, nx13793, nx13799, nx13807, nx13811, nx13817, nx13823, nx13825, nx13829, nx13841, nx13847, nx13850, nx13855, nx13865, nx13869, nx13877, nx13883, nx13885, nx13893, nx13901, nx13907, nx13911, nx13917, nx13919, nx13927, nx13936, nx13951, nx13953, nx13959, nx13967, nx13975, nx13983, nx13991, nx14005, nx14007, nx14012, nx14021, nx14029, nx14031, nx14033, nx14037, nx14043, nx14053, nx14059, nx14085, nx14099, nx14104, nx14109, nx14115, nx14122, nx14129, nx14133, nx14143, nx14148, nx14151, nx14161, nx14166, nx14171, nx14181, nx14199, nx14209, nx14217, nx14243, nx14263, nx14289, nx14313, nx14337, nx14361, nx14385, nx14407, nx14419, nx14429, nx14433, nx14445, nx14463, nx14469, nx14479, nx14491, nx14505, nx14508, nx14517, nx14525, nx14543, nx14547, nx14557, nx14566, nx14581, nx14585, nx14595, nx14605, nx14631, nx14640, nx14669, nx14678, nx14707, nx14770, nx14772, nx14774, nx14776, nx14778, nx14780, nx14782, nx14785, nx14787, nx14793, nx14795, nx14797, nx14799, nx14801, nx14803, nx14805, nx14807, nx14809, nx14811, nx10397, reg_12_q_c_8, nx10397_XX0_XREP1, nx7420, reg_1_q_c_7, nx10039, nx7416, nx7420_XX0_XREP3, nx7953, reg_12_q_c_3, nx7953_XX0_XREP5, nx7439, reg_12_q_c_2, nx7439_XX0_XREP7, nx6999, reg_12_q_c_1, nx6620, reg_12_q_c_0, nx6707, nx6620_XX0_XREP11, PRI_OUT_3_dup0_5, nx5110, PRI_OUT_3_dup0_0, nx156, reg_22_q_c_5, nx5632, reg_22_q_c_3, nx3520, nx6425, reg_2_q_c_0, nx6726, reg_10_q_c_0, nx6671, reg_39_q_c_0, nx6649, reg_39_q_c_0_XX0_XREP37, nx6649_XX0_XREP37, nx6921, reg_2_q_c_1, nx7201, reg_10_q_c_1, nx7071, nx6431, nx7343, reg_2_q_c_2, nx7709, nx7711, nx2572, nx7596, reg_10_q_c_2, nx7535, nx6439, nx7596_XX0_XREP53, reg_39_q_c_2, nx7585, nx1980, nx7855, reg_2_q_c_3, nx8195, nx8099, reg_10_q_c_3, nx8047, nx6447, reg_39_q_c_3, nx3036, nx8589, reg_10_q_c_4, nx8533, nx6457, nx8837, reg_2_q_c_5, nx9169, nx9067, reg_10_q_c_5, nx9009, nx6465, reg_39_q_c_5, nx5148, nx9814, reg_2_q_c_7, nx10139, nx10028, reg_10_q_c_7, nx9977, nx6483, nx10537, reg_10_q_c_8, nx10483, PRI_OUT_0_8_EXMPLR, reg_8_q_c_8, nx10645, nx8480, nx6489, nx10296, reg_2_q_c_8, nx10649, PRI_OUT_3_5_EXMPLR, PRI_OUT_3_0_EXMPLR, nx15477, nx15478, nx15479, nx15480, nx15481, nx15482, nx15483, nx15484, nx15485, nx15486, \[65125__XX0_XREP13\, nx15487, nx15488, nx15489, nx15490, nx15491, nx15493, nx15494, nx15495, nx15496, nx15497, nx15498, nx15499, nx15501, nx15502, nx15503, nx15504, nx15505, nx15506, nx15507, nx15509, nx16020, nx13748, nx15510, nx15511, nx15512, nx15513, nx15514, nx15515, nx15516, nx15517, nx15518, nx15519, nx15520, nx15521, nx15522, nx15523, nx15524, nx16116, nx6543, nx6535, nx13252, nx6523, nx15525, nx15526, nx15527, nx15528, nx15529, nx7199, nx15530, nx15531, nx1192, nx6999_XX0_XREP9, nx15532, nx15533, nx15534, nx15535, nx15536, nx15537, nx15538, nx15539, nx15540, nx6719_XX0_XREP33, nx15541, nx6425_XX0_XREP31, nx15542, nx6723, nx15543, nx15544, nx15545, nx15547, nx15548, nx15549, nx15551, nx15552, nx15553, nx642, nx15554, nx15555, nx15556, nx6883, nx6428, nx15557, nx15558, nx15559, nx15561, nx15562, nx15563, nx15564, nx15565, nx15566, nx15567, nx15568, nx15569, nx15571, nx6885, nx15572, nx6434, nx15573, nx7117, nx15574, nx15575, nx15576, nx15577, nx15578, nx15579, nx15580, nx15581, nx15582, nx15583, nx13746, nx12489, nx11636, nx15584, nx15585, nx15586, nx15587, nx9522, nx15588, nx15589, nx15590, nx15591, nx15592, nx10557, nx7412, nx9586, nx15593, nx15594, nx15595, nx6494, nx15596, nx15597, nx15598, nx15599, nx15601, nx15602, nx15603, nx15604, nx15605, nx11209, nx6501, nx15606, nx15607, nx15608, nx10249, nx6486, nx15609, nx15610, nx15611, nx15612, nx15613, nx15615, nx15617, nx13483, nx15618, nx15619, nx15620, nx15621, nx15622, nx15623, nx15624, nx15625, nx15626, nx13487, nx15627, nx15629, nx15630, nx15996, PRI_OUT_4_14_EXMPLR, nx15631, nx15632, PRI_OUT_4_13_EXMPLR, nx13776, PRI_OUT_4_12_EXMPLR, nx15633, nx15634, nx15635, nx15636, nx15637, nx15638, nx15639, nx15640, nx15641, nx15642, nx9277, nx6468, nx15643, nx15644, nx15645, nx8301, nx15646, nx15647, nx15649, nx15650, nx15651, nx15652, nx15653, nx15654, nx15655, nx6785, nx6783, nx15657, nx15658, nx15060, nx15659, nx15660, nx15661, nx15662, nx15663, nx15664, nx15665, nx15667, nx15668, nx15669, nx15671, nx15672, nx13131, nx13250, nx15673, nx11140, nx12167, nx6517, nx6508, nx15674, nx15675, nx15676, nx15677, nx15678, nx15679, nx15681, nx1376, nx7291, nx15682, nx15683, nx15684, nx15685, nx15686, nx15687, nx15688, nx15689, nx15691, nx15692, nx15693, nx15694, nx15695, nx15696, nx15697, nx15698, nx15699, nx4804, nx15700, nx2692, nx15701, nx3442, nx6460, nx6443, nx7819, nx8304, nx15703, nx15705, nx15706, nx7297, nx6453, nx15707, nx15709, nx15710, nx15711, nx15712, nx15713, nx15714, nx15715, nx15716, nx15717, nx15719, nx15720, nx15721, nx15722, nx15723, nx15724, nx15725, nx15726, nx15727, nx15729, nx15730, nx15731, nx12509, nx12513, PRI_OUT_4_11_EXMPLR, nx11664, PRI_OUT_4_10_EXMPLR, nx15732, nx11549, PRI_OUT_4_9_EXMPLR, nx15733, nx15734, nx15735, nx15736, nx15737, nx15738, nx15739, nx15740, nx15741, nx13774, nx15742, nx15743, nx15744, nx15745, nx15746, nx15747, nx15748, nx15749, nx15750, nx15751, nx15753, nx15755, nx15756, nx15757, nx15758, nx14079, nx6537, nx15759, nx15760, nx15761, nx15763, nx15764, nx15765, nx15766, nx15767, nx15769, nx15770, nx15560, nx6525, nx13424, nx6518, nx15771, nx15772, nx15773, nx15774, nx15775, nx13422, nx15777, nx15778, nx15779, nx13622, nx15781, nx15782, nx15783, nx15785, nx15786, nx14504, nx12640, nx15787, nx15788, nx15789, nx15790, nx15791, nx15792, nx15793, nx15795, nx15796, nx15797, nx15798, nx13719, nx14250, nx13452, nx15799, nx15800, nx15801, nx15802, nx12138, nx12475, nx11823, nx11513, nx15803, nx15805, nx15806, nx15807, nx15808, nx15809, nx12363, nx15810, nx15811, nx15812, nx14695, nx15813, nx15815, nx15816, nx14717, nx15817, nx15818, nx15819, nx15820, nx15821, nx15822, nx15823, nx15824, nx15825, nx15826, nx15827, nx16934, nx13329, nx15828, nx14699, nx12849, nx16850, nx15829, nx15831, nx15832, nx15833, nx15834, nx15835, nx15836, nx15837, nx15839, nx15840, nx15841, nx11679, nx15843, nx15844, nx15845, nx15846, nx15847, nx15848, nx15849, nx15850, nx15851, nx15852, nx15853, nx15854, nx15855, nx12637, nx15856, nx6509, nx11312, nx6503, nx15857, nx11683, nx6495, nx15858, nx15859, nx6777, nx15860, nx15861, nx15862, nx15863, nx15865, nx15866, nx15867, nx15869, nx15870, nx15871, nx15872, nx15873, nx15874, nx14460, nx15875, nx15876, nx13365, nx12883, nx12878, nx15877, nx15878, nx12401, nx15879, nx15881, nx15882, nx15883, nx15884, nx15885, nx12551, nx15886, nx15887, nx15888, nx14222, nx15889, nx15890, nx15891, nx14235, nx13531, nx15892, nx15893, nx15894, nx15895, nx15897, nx15898, nx15899, nx15900, nx15901, nx15902, nx17812, nx15903, nx14225, nx13017, nx17752, nx15905, nx15906, nx15907, nx15908, nx15909, nx15910, nx15911, nx15912, nx9605, nx15913, nx15915, nx15916, nx15917, nx15919, nx15920, nx15921, nx15922, nx15923, nx9550, nx15924, nx15925, nx15926, nx15927, nx15929, nx15930, nx15931, nx10575, nx10579, PRI_OUT_4_7_EXMPLR, nx7440, PRI_OUT_4_6_EXMPLR, nx15932, nx9609, PRI_OUT_4_5_EXMPLR, nx15933, nx15934, nx15935, nx15936, nx15937, nx15938, nx15939, nx11634, nx15940, nx15941, nx15942, nx15943, nx15945, nx15946, nx15947, nx15948, nx15949, nx15950, nx15951, nx15952, nx15953, nx15955, nx15956, nx15957, nx15959, nx15960, nx15961, nx15962, nx15963, nx14657, nx14661, nx11878, nx16770, nx11398, nx15964, nx15965, nx15966, nx14623, nx10913, nx15967, nx15969, nx15970, nx15971, nx15972, nx15973, nx15974, nx15975, nx15976, nx15977, nx16848, nx15978, nx15979, nx15980, nx15981, nx15982, nx15983, nx180, nx6719, nx15984, nx15985, nx15987, nx13610, nx15988, nx15989, nx15990, nx15991, nx15992, nx15993, nx15994, nx15995, nx15997, nx15998, nx14073, nx15999, nx16000, nx15570, nx13613, nx13416, nx16001, nx16002, nx10026, nx16003, nx16004, nx3214, nx16005, nx16007, nx7637, PRI_OUT_4_1_EXMPLR, nx16008, nx16009, nx16010, nx16011, nx16012, nx16013, nx16015, nx16016, nx16017, nx10628, nx16018, nx16019, nx16021, nx14187, nx16022, nx16023, nx16024, nx16025, nx16026, nx16027, nx16028, nx16029, nx14205, nx16030, nx12043, nx17696, nx11589, nx16031, nx16032, nx14191, nx11069, nx17640, nx16033, nx16035, nx16036, nx16037, nx16038, nx16039, nx16040, nx16041, nx16043, nx16044, nx16045, nx16046, nx16047, nx16048, nx16049, nx16050, nx16051, nx16053, nx16054, nx16055, nx16056, nx16057, nx16058, nx16059, nx16060, nx16061, nx16062, nx16063, nx16064, nx6916, nx16065, nx9028, nx16066, nx16067, nx16069, nx16070, nx6477, nx16071, nx16072, nx16073, nx16074, nx16075, nx16077, nx16078, nx16079, nx16080, nx8421, nx16081, nx16082, nx16083, nx16084, nx16085, nx16087, nx16088, nx16089, nx16090, nx16091, nx16092, nx16093, nx9396, nx16094, nx16095, nx9872, nx16097, nx16098, nx16099, nx16100, nx16101, nx16102, nx10377, nx16103, nx16104, nx16105, nx16107, nx16108, nx16109, nx16110, nx16111, nx16112, nx16113, nx16114, nx16115, nx16117, nx16118, nx16119, nx16120, nx16121, nx16122, nx16123, nx16124, nx16125, nx16126, nx16127, nx16128, nx16129, nx16130, nx14158, nx12795, NOT_nx12793, nx16131, nx16133, nx16134, nx16135, nx16136, nx16137, nx16138, nx10855, nx16139, nx16140, nx7914, nx10543, nx16141, nx16142, nx9875, nx16143, nx5802, nx9572, nx16145, nx8902, nx8904, nx16147, nx16148, nx3690, nx8597, nx16149, nx7929, nx16150, nx16151, nx16152, nx16153, nx16154, nx16155, nx16157, nx16158, nx16159, nx16160, nx11436, nx16161, nx16162, nx16163, nx16164, nx16165, nx12397, nx16166, nx16167, nx16168, nx16169, nx16170, nx11913, nx11907, nx16171, nx16172, nx11443, nx16173, nx16175, nx16176, nx16177, nx10941, nx16179, nx16181, nx16183, nx16184, nx16185, nx16186, nx16187, nx16188, nx16189, nx16190, nx8629, nx16191, PRI_OUT_4_3_EXMPLR, nx3216, PRI_OUT_4_2_EXMPLR, nx16193, nx16194, nx16195, nx16196, nx16197, nx16198, nx16199, nx16200, nx16201, nx16203, nx16204, nx16205, nx16206, nx16207, nx16208, nx16209, nx16211, nx16213, nx16214, nx16215, nx16216, nx16217, nx16218, nx16219, nx16220, nx16221, nx16222, nx16223, nx16225, nx16227: std_logic ; begin PRI_OUT_0(15) <= PRI_OUT_0_15_EXMPLR ; PRI_OUT_0(14) <= PRI_OUT_0_14_EXMPLR ; PRI_OUT_0(13) <= PRI_OUT_0_13_EXMPLR ; PRI_OUT_0(12) <= PRI_OUT_0_12_EXMPLR ; PRI_OUT_0(11) <= PRI_OUT_0_11_EXMPLR ; PRI_OUT_0(10) <= PRI_OUT_0_10_EXMPLR ; PRI_OUT_0(9) <= PRI_OUT_0_9_EXMPLR ; PRI_OUT_0(8) <= PRI_OUT_0_8_EXMPLR ; PRI_OUT_0(7) <= PRI_OUT_0_7_EXMPLR ; PRI_OUT_0(6) <= PRI_OUT_0_6_EXMPLR ; PRI_OUT_0(5) <= PRI_OUT_0_5_EXMPLR ; PRI_OUT_0(4) <= PRI_OUT_0_4_EXMPLR ; PRI_OUT_0(3) <= PRI_OUT_0_3_EXMPLR ; PRI_OUT_0(2) <= PRI_OUT_0_2_EXMPLR ; PRI_OUT_0(1) <= PRI_OUT_0_1_EXMPLR ; PRI_OUT_0(0) <= PRI_OUT_0_0_EXMPLR ; PRI_OUT_1(15) <= PRI_OUT_1_15_EXMPLR ; PRI_OUT_1(14) <= PRI_OUT_1_14_EXMPLR ; PRI_OUT_1(13) <= PRI_OUT_1_13_EXMPLR ; PRI_OUT_1(12) <= PRI_OUT_1_12_EXMPLR ; PRI_OUT_1(11) <= PRI_OUT_1_11_EXMPLR ; PRI_OUT_1(10) <= PRI_OUT_1_10_EXMPLR ; PRI_OUT_1(9) <= PRI_OUT_1_9_EXMPLR ; PRI_OUT_1(8) <= PRI_OUT_1_8_EXMPLR ; PRI_OUT_1(7) <= PRI_OUT_1_7_EXMPLR ; PRI_OUT_1(6) <= PRI_OUT_1_6_EXMPLR ; PRI_OUT_1(5) <= PRI_OUT_1_5_EXMPLR ; PRI_OUT_1(4) <= PRI_OUT_1_4_EXMPLR ; PRI_OUT_1(3) <= PRI_OUT_1_3_EXMPLR ; PRI_OUT_1(2) <= PRI_OUT_1_2_EXMPLR ; PRI_OUT_1(1) <= PRI_OUT_1_1_EXMPLR ; PRI_OUT_1(0) <= PRI_OUT_1_0_EXMPLR ; PRI_OUT_3(15) <= PRI_OUT_3_15_EXMPLR ; PRI_OUT_3(14) <= PRI_OUT_3_14_EXMPLR ; PRI_OUT_3(13) <= PRI_OUT_3_13_EXMPLR ; PRI_OUT_3(12) <= PRI_OUT_3_12_EXMPLR ; PRI_OUT_3(11) <= PRI_OUT_3_11_EXMPLR ; PRI_OUT_3(10) <= PRI_OUT_3_10_EXMPLR ; PRI_OUT_3(9) <= PRI_OUT_3_9_EXMPLR ; PRI_OUT_3(8) <= PRI_OUT_3_8_EXMPLR ; PRI_OUT_3(7) <= PRI_OUT_3_7_EXMPLR ; PRI_OUT_3(6) <= PRI_OUT_3_6_EXMPLR ; PRI_OUT_3(5) <= PRI_OUT_3_5_EXMPLR ; PRI_OUT_3(4) <= PRI_OUT_3_4_EXMPLR ; PRI_OUT_3(3) <= PRI_OUT_3_3_EXMPLR ; PRI_OUT_3(2) <= PRI_OUT_3_2_EXMPLR ; PRI_OUT_3(1) <= PRI_OUT_3_1_EXMPLR ; PRI_OUT_3(0) <= PRI_OUT_3_0_EXMPLR ; PRI_OUT_4(15) <= PRI_OUT_4_15_EXMPLR ; PRI_OUT_4(14) <= PRI_OUT_4_14_EXMPLR ; PRI_OUT_4(13) <= PRI_OUT_4_13_EXMPLR ; PRI_OUT_4(12) <= PRI_OUT_4_12_EXMPLR ; PRI_OUT_4(11) <= PRI_OUT_4_11_EXMPLR ; PRI_OUT_4(10) <= PRI_OUT_4_10_EXMPLR ; PRI_OUT_4(9) <= PRI_OUT_4_9_EXMPLR ; PRI_OUT_4(8) <= PRI_OUT_4_8_EXMPLR ; PRI_OUT_4(7) <= PRI_OUT_4_7_EXMPLR ; PRI_OUT_4(6) <= PRI_OUT_4_6_EXMPLR ; PRI_OUT_4(5) <= PRI_OUT_4_5_EXMPLR ; PRI_OUT_4(4) <= PRI_OUT_4_4_EXMPLR ; PRI_OUT_4(3) <= PRI_OUT_4_3_EXMPLR ; PRI_OUT_4(2) <= PRI_OUT_4_2_EXMPLR ; PRI_OUT_4(1) <= PRI_OUT_4_1_EXMPLR ; PRI_OUT_4(0) <= PRI_OUT_4_0_EXMPLR ; PRI_OUT_6(15) <= PRI_OUT_6_15_EXMPLR ; PRI_OUT_6(14) <= PRI_OUT_6_14_EXMPLR ; PRI_OUT_6(13) <= PRI_OUT_6_13_EXMPLR ; PRI_OUT_6(12) <= PRI_OUT_6_12_EXMPLR ; PRI_OUT_6(11) <= PRI_OUT_6_11_EXMPLR ; PRI_OUT_6(10) <= PRI_OUT_6_10_EXMPLR ; PRI_OUT_6(9) <= PRI_OUT_6_9_EXMPLR ; PRI_OUT_6(8) <= PRI_OUT_6_8_EXMPLR ; PRI_OUT_6(7) <= PRI_OUT_6_7_EXMPLR ; PRI_OUT_6(6) <= PRI_OUT_6_6_EXMPLR ; PRI_OUT_6(5) <= PRI_OUT_6_5_EXMPLR ; PRI_OUT_6(4) <= PRI_OUT_6_4_EXMPLR ; PRI_OUT_6(3) <= PRI_OUT_6_3_EXMPLR ; PRI_OUT_6(2) <= PRI_OUT_6_2_EXMPLR ; PRI_OUT_6(1) <= PRI_OUT_6_1_EXMPLR ; PRI_OUT_6(0) <= PRI_OUT_6_0_EXMPLR ; PRI_OUT_7(15) <= PRI_OUT_7_15_EXMPLR ; PRI_OUT_7(14) <= PRI_OUT_7_14_EXMPLR ; PRI_OUT_7(13) <= PRI_OUT_7_13_EXMPLR ; PRI_OUT_7(12) <= PRI_OUT_7_12_EXMPLR ; PRI_OUT_7(11) <= PRI_OUT_7_11_EXMPLR ; PRI_OUT_7(10) <= PRI_OUT_7_10_EXMPLR ; PRI_OUT_7(9) <= PRI_OUT_7_9_EXMPLR ; PRI_OUT_7(8) <= PRI_OUT_7_8_EXMPLR ; PRI_OUT_7(7) <= PRI_OUT_7_7_EXMPLR ; PRI_OUT_7(6) <= PRI_OUT_7_6_EXMPLR ; PRI_OUT_7(5) <= PRI_OUT_7_5_EXMPLR ; PRI_OUT_7(4) <= PRI_OUT_7_4_EXMPLR ; PRI_OUT_7(3) <= PRI_OUT_7_3_EXMPLR ; PRI_OUT_7(2) <= PRI_OUT_7_2_EXMPLR ; PRI_OUT_7(1) <= PRI_OUT_7_1_EXMPLR ; PRI_OUT_7(0) <= PRI_OUT_7_0_EXMPLR ; PRI_OUT_8(15) <= PRI_OUT_8_15_EXMPLR ; PRI_OUT_8(14) <= PRI_OUT_8_14_EXMPLR ; PRI_OUT_8(13) <= PRI_OUT_8_13_EXMPLR ; PRI_OUT_8(12) <= PRI_OUT_8_12_EXMPLR ; PRI_OUT_8(11) <= PRI_OUT_8_11_EXMPLR ; PRI_OUT_8(10) <= PRI_OUT_8_10_EXMPLR ; PRI_OUT_8(9) <= PRI_OUT_8_9_EXMPLR ; PRI_OUT_8(8) <= PRI_OUT_8_8_EXMPLR ; PRI_OUT_8(7) <= PRI_OUT_8_7_EXMPLR ; PRI_OUT_8(6) <= PRI_OUT_8_6_EXMPLR ; PRI_OUT_8(5) <= PRI_OUT_8_5_EXMPLR ; PRI_OUT_8(4) <= PRI_OUT_8_4_EXMPLR ; PRI_OUT_8(3) <= PRI_OUT_8_3_EXMPLR ; PRI_OUT_8(2) <= PRI_OUT_8_2_EXMPLR ; PRI_OUT_8(1) <= PRI_OUT_8_1_EXMPLR ; PRI_OUT_8(0) <= PRI_OUT_8_0_EXMPLR ; PRI_OUT_9(15) <= PRI_OUT_9_15_EXMPLR ; PRI_OUT_9(14) <= PRI_OUT_9_14_EXMPLR ; PRI_OUT_9(8) <= PRI_OUT_9_8_EXMPLR ; PRI_OUT_9(7) <= PRI_OUT_9_7_EXMPLR ; PRI_OUT_9(6) <= PRI_OUT_9_6_EXMPLR ; PRI_OUT_9(5) <= PRI_OUT_9_5_EXMPLR ; PRI_OUT_9(4) <= PRI_OUT_9_4_EXMPLR ; PRI_OUT_9(3) <= PRI_OUT_9_3_EXMPLR ; PRI_OUT_9(2) <= PRI_OUT_9_2_EXMPLR ; PRI_OUT_9(1) <= PRI_OUT_9_1_EXMPLR ; PRI_OUT_9(0) <= PRI_OUT_9_0_EXMPLR ; ix107 : mux21 port map ( Y=>PRI_OUT_9_0_EXMPLR, A0=>nx6559, A1=>nx6783, S0=>C_MUX2_13_SEL); ix6560 : mux21 port map ( Y=>nx6559, A0=>reg_22_q_c_0, A1=>reg_24_q_c_0, S0=>C_MUX2_15_SEL); ix719 : ao21 port map ( Y=>nx718, A0=>nx6567, A1=>nx706, B0=>nx6859); REG_13_reg_q_0 : dff port map ( Q=>reg_13_q_c_0, QB=>nx6567, D=>nx648, CLK=>CLK); REG_43_reg_q_0 : dff port map ( Q=>reg_43_q_c_0, QB=>OPEN, D=>nx634, CLK =>CLK); REG_14_reg_q_0 : dff port map ( Q=>PRI_OUT_1_0_EXMPLR, QB=>OPEN, D=>nx620, CLK=>CLK); REG_7_reg_q_0 : dff port map ( Q=>reg_7_q_c_0, QB=>OPEN, D=>nx606, CLK=> CLK); REG_26_reg_q_0 : dff port map ( Q=>reg_26_q_c_0, QB=>OPEN, D=>nx354, CLK =>CLK); ix355 : oai21 port map ( Y=>nx354, A0=>nx6593, A1=>reg_29_q_c_0, B0=> nx346); ix6594 : inv02 port map ( Y=>nx6593, A=>PRI_IN_5(0)); REG_29_reg_q_0 : dff port map ( Q=>reg_29_q_c_0, QB=>OPEN, D=>nx336, CLK =>CLK); ix337 : oai21 port map ( Y=>nx336, A0=>PRI_IN_4(0), A1=>nx6597, B0=>nx328 ); REG_30_reg_q_0 : dff port map ( Q=>OPEN, QB=>nx6597, D=>nx318, CLK=>CLK); ix765 : ao21 port map ( Y=>nx764, A0=>reg_15_q_c_0, A1=>nx6639, B0=> nx6825); REG_15_reg_q_0 : dff port map ( Q=>reg_15_q_c_0, QB=>OPEN, D=>nx746, CLK =>CLK); REG_21_reg_q_0 : dff port map ( Q=>PRI_OUT_8_0_EXMPLR, QB=>OPEN, D=>nx898, CLK=>CLK); ix899 : xor2 port map ( Y=>nx898, A0=>reg_44_q_c_0, A1=>reg_47_q_c_0); REG_44_reg_q_0 : dff port map ( Q=>reg_44_q_c_0, QB=>OPEN, D=>nx870, CLK =>CLK); ix871 : oai21 port map ( Y=>nx870, A0=>reg_1_q_c_0, A1=>nx6788, B0=>nx862 ); REG_1_reg_q_0 : dff port map ( Q=>reg_1_q_c_0, QB=>OPEN, D=>nx834, CLK=> CLK); ix835 : ao21 port map ( Y=>nx834, A0=>nx6428, A1=>nx6783, B0=>nx6785); REG_4_reg_q_0 : dff port map ( Q=>reg_4_q_c_0, QB=>OPEN, D=>nx812, CLK=> CLK); ix403 : oai21 port map ( Y=>nx402, A0=>nx6620, A1=>nx14811, B0=>nx394); ix189 : ao21 port map ( Y=>nx188, A0=>reg_28_q_c_0, A1=>nx6719, B0=> nx6777); REG_28_reg_q_0 : dff port map ( Q=>reg_28_q_c_0, QB=>OPEN, D=>nx170, CLK =>CLK); REG_38_reg_q_0 : dff port map ( Q=>reg_38_q_c_0, QB=>nx6635, D=>nx276, CLK=>CLK); ix277 : ao21 port map ( Y=>nx276, A0=>PRI_IN_4(0), A1=>nx6639, B0=>nx6713 ); ix6640 : mux21 port map ( Y=>nx6639, A0=>reg_15_q_c_0, A1=>nx6421, S0=> C_MUX2_11_SEL); ix261 : mux21 port map ( Y=>nx6421, A0=>nx6567, A1=>nx6643, S0=> C_MUX2_4_SEL); ix6644 : mux21 port map ( Y=>nx6643, A0=>reg_16_q_c_0, A1=> PRI_OUT_1_0_EXMPLR, S0=>C_MUX2_9_SEL); REG_16_reg_q_0 : dff port map ( Q=>reg_16_q_c_0, QB=>OPEN, D=>nx242, CLK =>CLK); ix243 : ao21 port map ( Y=>nx242, A0=>nx6601, A1=>reg_42_q_c_0, B0=> nx6710); REG_42_reg_q_0 : dff port map ( Q=>reg_42_q_c_0, QB=>OPEN, D=>nx224, CLK =>CLK); ix6656 : mux21 port map ( Y=>nx6655, A0=>PRI_OUT_4_0_EXMPLR, A1=>nx198, S0=>C_MUX2_5_SEL); ix137 : ao21 port map ( Y=>PRI_OUT_4_0_EXMPLR, A0=>nx14770, A1=> reg_6_q_c_0, B0=>nx134); ix6659 : inv02 port map ( Y=>nx6658, A=>C_MUX2_25_SEL); REG_6_reg_q_0 : dff port map ( Q=>reg_6_q_c_0, QB=>OPEN, D=>nx122, CLK=> CLK); ix115 : ao21 port map ( Y=>nx6423, A0=>C_MUX2_18_SEL, A1=> reg_39_q_c_0_XX0_XREP37, B0=>nx110); ix111 : nor02 port map ( Y=>nx110, A0=>C_MUX2_18_SEL, A1=>nx6663); ix787 : ao21 port map ( Y=>nx786, A0=>nx6675, A1=>nx706, B0=>nx6700); ix6676 : mux21 port map ( Y=>nx6675, A0=>PRI_IN_6(0), A1=>reg_25_q_c_0, S0=>C_MUX2_24_SEL); REG_25_reg_q_0 : dff port map ( Q=>reg_25_q_c_0, QB=>nx6601, D=>nx764, CLK=>CLK); ix707 : ao21 port map ( Y=>nx706, A0=>C_MUX2_19_SEL, A1=>reg_23_q_c_0, B0 =>nx702); REG_23_reg_q_0 : dff port map ( Q=>reg_23_q_c_0, QB=>nx6685, D=>nx416, CLK=>CLK); REG_45_reg_q_0 : dff port map ( Q=>reg_45_q_c_0, QB=>nx6683, D=>nx402, CLK=>CLK); ix703 : nor02 port map ( Y=>nx702, A0=>C_MUX2_19_SEL, A1=>nx6687); ix6688 : mux21 port map ( Y=>nx6687, A0=>reg_26_q_c_0, A1=>reg_27_q_c_0, S0=>C_MUX2_12_SEL); REG_27_reg_q_0 : dff port map ( Q=>reg_27_q_c_0, QB=>nx6699, D=>nx684, CLK=>CLK); ix685 : oai21 port map ( Y=>nx684, A0=>reg_32_q_c_0, A1=>nx6620, B0=> nx676); REG_32_reg_q_0 : dff port map ( Q=>reg_32_q_c_0, QB=>OPEN, D=>nx666, CLK =>CLK); ix667 : ao21 port map ( Y=>nx666, A0=>nx6559, A1=>nx14811, B0=>nx6694); ix6695 : nor02 port map ( Y=>nx6694, A0=>nx14811, A1=>nx6559); ix677 : nand02 port map ( Y=>nx676, A0=>nx6620_XX0_XREP11, A1=> reg_32_q_c_0); ix6701 : nor02 port map ( Y=>nx6700, A0=>nx706, A1=>nx6675); ix6711 : nor02 port map ( Y=>nx6710, A0=>reg_42_q_c_0, A1=>nx6601); ix6714 : nor02 port map ( Y=>nx6713, A0=>nx6639, A1=>PRI_IN_4(0)); ix6716 : nor02 port map ( Y=>nx6715, A0=>nx6635, A1=>PRI_IN_0(0)); REG_5_reg_q_0 : dff port map ( Q=>reg_5_q_c_0, QB=>nx6587, D=>nx564, CLK =>CLK); ix521 : xor2 port map ( Y=>nx520, A0=>PRI_IN_2(0), A1=>PRI_OUT_0_0_EXMPLR ); ix513 : ao21 port map ( Y=>PRI_OUT_0_0_EXMPLR, A0=>C_MUX2_14_SEL, A1=> reg_8_q_c_0, B0=>nx390); REG_8_reg_q_0 : dff port map ( Q=>reg_8_q_c_0, QB=>OPEN, D=>nx502, CLK=> CLK); ix503 : xor2 port map ( Y=>nx502, A0=>reg_8_q_c_0, A1=>reg_49_q_c_0); REG_49_reg_q_0 : dff port map ( Q=>reg_49_q_c_0, QB=>OPEN, D=>nx488, CLK =>CLK); ix489 : xor2 port map ( Y=>nx488, A0=>reg_46_q_c_0, A1=> PRI_OUT_4_0_EXMPLR); REG_46_reg_q_0 : dff port map ( Q=>reg_46_q_c_0, QB=>OPEN, D=>nx474, CLK =>CLK); ix6740 : mux21 port map ( Y=>nx6739, A0=>reg_9_q_c_0, A1=>PRI_IN_5(0), S0 =>C_MUX2_17_SEL); REG_9_reg_q_0 : dff port map ( Q=>reg_9_q_c_0, QB=>OPEN, D=>nx452, CLK=> CLK); ix453 : ao21 port map ( Y=>nx452, A0=>nx6597, A1=>reg_31_q_c_0, B0=> nx6768); REG_31_reg_q_0 : dff port map ( Q=>reg_31_q_c_0, QB=>OPEN, D=>nx434, CLK =>CLK); REG_33_reg_q_0 : dff port map ( Q=>reg_33_q_c_0, QB=>nx6749, D=>nx718, CLK=>CLK); REG_34_reg_q_0 : dff port map ( Q=>reg_34_q_c_0, QB=>nx6765, D=>nx550, CLK=>CLK); REG_41_reg_q_0 : dff port map ( Q=>reg_41_q_c_0, QB=>nx6579, D=>nx592, CLK=>CLK); ix539 : ao21 port map ( Y=>nx538, A0=>C_MUX2_22_SEL, A1=>PRI_IN_5(0), B0 =>nx534); ix535 : nor02 port map ( Y=>nx534, A0=>C_MUX2_22_SEL, A1=>nx6758); ix6759 : mux21 port map ( Y=>nx6758, A0=>reg_3_q_c_0, A1=>reg_2_q_c_0, S0 =>C_MUX2_2_SEL); REG_3_reg_q_0 : dff port map ( Q=>reg_3_q_c_0, QB=>OPEN, D=>nx368, CLK=> CLK); ix6769 : nor02 port map ( Y=>nx6768, A0=>reg_31_q_c_0, A1=>nx6597); ix391 : nor02 port map ( Y=>nx390, A0=>C_MUX2_14_SEL, A1=>nx6775); ix6776 : mux21 port map ( Y=>nx6775, A0=>nx198, A1=>reg_1_q_c_0, S0=> C_MUX2_20_SEL); ix395 : nand02 port map ( Y=>nx394, A0=>nx14811, A1=>nx6620); REG_20_reg_q_0 : dff port map ( Q=>OPEN, QB=>nx6788, D=>nx852, CLK=>CLK); ix853 : ao21 port map ( Y=>nx852, A0=>PRI_IN_4(0), A1=>nx6726, B0=>nx6791 ); ix6792 : nor02 port map ( Y=>nx6791, A0=>nx6726, A1=>PRI_IN_4(0)); ix863 : nand02 port map ( Y=>nx862, A0=>nx6788, A1=>reg_1_q_c_0); REG_47_reg_q_0 : dff port map ( Q=>reg_47_q_c_0, QB=>OPEN, D=>nx884, CLK =>CLK); REG_36_reg_q_0 : dff port map ( Q=>reg_36_q_c_0, QB=>nx6799, D=>nx300, CLK=>CLK); ix301 : ao21 port map ( Y=>nx300, A0=>nx14782, A1=>nx294, B0=>nx14); ix6803 : inv02 port map ( Y=>nx6802, A=>C_MUX2_1_SEL); ix295 : ao21 port map ( Y=>nx294, A0=>reg_37_q_c_0, A1=>nx6635, B0=> nx6811); REG_37_reg_q_0 : dff port map ( Q=>reg_37_q_c_0, QB=>OPEN, D=>nx22, CLK=> CLK); ix6812 : nor02 port map ( Y=>nx6811, A0=>nx6635, A1=>reg_37_q_c_0); ix15 : nor03 port map ( Y=>nx14, A0=>nx6815, A1=>nx14782, A2=>nx8); ix6816 : nor02 port map ( Y=>nx6815, A0=>reg_44_q_c_0, A1=>PRI_IN_7(0)); REG_22_reg_q_0 : dff port map ( Q=>reg_22_q_c_0, QB=>nx6820, D=>nx732, CLK=>CLK); ix6826 : nor02 port map ( Y=>nx6825, A0=>nx6639, A1=>reg_15_q_c_0); ix329 : nand02 port map ( Y=>nx328, A0=>nx6597, A1=>PRI_IN_4(0)); ix347 : nand02 port map ( Y=>nx346, A0=>reg_29_q_c_0, A1=>nx6593); REG_24_reg_q_0 : dff port map ( Q=>reg_24_q_c_0, QB=>OPEN, D=>nx80, CLK=> CLK); ix81 : xor2 port map ( Y=>nx80, A0=>PRI_IN_2(0), A1=>reg_48_q_c_0); REG_48_reg_q_0 : dff port map ( Q=>reg_48_q_c_0, QB=>OPEN, D=>nx66, CLK=> CLK); ix67 : xor2 port map ( Y=>nx66, A0=>reg_50_q_c_0, A1=>nx6421); REG_50_reg_q_0 : dff port map ( Q=>reg_50_q_c_0, QB=>OPEN, D=>nx52, CLK=> CLK); ix53 : oai21 port map ( Y=>nx52, A0=>PRI_IN_0(0), A1=>nx6587, B0=>nx44); ix45 : nand02 port map ( Y=>nx44, A0=>nx6587, A1=>PRI_IN_0(0)); ix6860 : nor02 port map ( Y=>nx6859, A0=>nx706, A1=>nx6567); ix983 : mux21 port map ( Y=>PRI_OUT_9_1_EXMPLR, A0=>nx6863, A1=>nx7125, S0=>C_MUX2_13_SEL); ix6864 : mux21 port map ( Y=>nx6863, A0=>reg_22_q_c_1, A1=>reg_24_q_c_1, S0=>C_MUX2_15_SEL); REG_22_reg_q_1 : dff port map ( Q=>reg_22_q_c_1, QB=>OPEN, D=>nx1434, CLK =>CLK); ix1435 : xor2 port map ( Y=>nx1434, A0=>nx6869, A1=>nx6871); REG_33_reg_q_1 : dff port map ( Q=>reg_33_q_c_1, QB=>OPEN, D=>nx1424, CLK =>CLK); ix1425 : xnor2 port map ( Y=>nx1424, A0=>nx6859, A1=>nx1422); ix1379 : xor2 port map ( Y=>nx1378, A0=>nx6883, A1=>nx6885); REG_43_reg_q_1 : dff port map ( Q=>reg_43_q_c_1, QB=>OPEN, D=>nx1368, CLK =>CLK); ix1369 : xor2 port map ( Y=>nx1368, A0=>nx6891, A1=>nx6893); ix6892 : nand02 port map ( Y=>nx6891, A0=>PRI_OUT_1_0_EXMPLR, A1=> reg_25_q_c_0); REG_14_reg_q_1 : dff port map ( Q=>PRI_OUT_1_1_EXMPLR, QB=>OPEN, D=> nx1358, CLK=>CLK); ix1359 : xor2 port map ( Y=>nx1358, A0=>nx6899, A1=>nx6901); ix6900 : nand02 port map ( Y=>nx6899, A0=>nx16193, A1=>reg_24_q_c_0); ix6902 : xnor2 port map ( Y=>nx6901, A0=>reg_7_q_c_1, A1=>reg_24_q_c_1); REG_7_reg_q_1 : dff port map ( Q=>reg_7_q_c_1, QB=>OPEN, D=>nx1348, CLK=> CLK); ix1349 : xor2 port map ( Y=>nx1348, A0=>nx6907, A1=>nx6909); ix6908 : nand02 port map ( Y=>nx6907, A0=>PRI_IN_6(0), A1=>reg_41_q_c_0); ix6910 : xnor2 port map ( Y=>nx6909, A0=>PRI_IN_6(1), A1=>reg_41_q_c_1); REG_41_reg_q_1 : dff port map ( Q=>reg_41_q_c_1, QB=>OPEN, D=>nx1338, CLK =>CLK); ix1339 : xor2 port map ( Y=>nx1338, A0=>nx6915, A1=>nx6917); ix6916 : nand02 port map ( Y=>nx6915, A0=>PRI_IN_1(0), A1=> nx6425_XX0_XREP31); ix6918 : xnor2 port map ( Y=>nx6917, A0=>PRI_IN_1(1), A1=>nx15479); REG_5_reg_q_1 : dff port map ( Q=>reg_5_q_c_1, QB=>OPEN, D=>nx1314, CLK=> CLK); ix1315 : xor2 port map ( Y=>nx1314, A0=>nx6927, A1=>nx6929); ix6928 : nand02 port map ( Y=>nx6927, A0=>reg_26_q_c_0, A1=>reg_34_q_c_0 ); REG_26_reg_q_1 : dff port map ( Q=>reg_26_q_c_1, QB=>OPEN, D=>nx1164, CLK =>CLK); ix1165 : xor2 port map ( Y=>nx1164, A0=>nx346, A1=>nx1162); REG_29_reg_q_1 : dff port map ( Q=>OPEN, QB=>nx7239, D=>nx1154, CLK=>CLK ); ix1155 : xor2 port map ( Y=>nx1154, A0=>nx328, A1=>nx1152); REG_30_reg_q_1 : dff port map ( Q=>reg_30_q_c_1, QB=>nx7238, D=>nx1144, CLK=>CLK); ix1145 : xor2 port map ( Y=>nx1144, A0=>nx310, A1=>nx1142); REG_25_reg_q_1 : dff port map ( Q=>reg_25_q_c_1, QB=>nx7237, D=>nx1454, CLK=>CLK); ix1455 : xor2 port map ( Y=>nx1454, A0=>nx6825, A1=>nx6953); REG_15_reg_q_1 : dff port map ( Q=>reg_15_q_c_1, QB=>nx7236, D=>nx1444, CLK=>CLK); ix1445 : xor2 port map ( Y=>nx1444, A0=>nx6959, A1=>nx6961); ix6960 : nand02 port map ( Y=>nx6959, A0=>PRI_OUT_8_0_EXMPLR, A1=> reg_22_q_c_0); ix6962 : xnor2 port map ( Y=>nx6961, A0=>PRI_OUT_8_1_EXMPLR, A1=> reg_22_q_c_1); REG_21_reg_q_1 : dff port map ( Q=>PRI_OUT_8_1_EXMPLR, QB=>OPEN, D=> nx1544, CLK=>CLK); ix1545 : xor2 port map ( Y=>nx1544, A0=>nx6967, A1=>nx6969); ix6968 : nand02 port map ( Y=>nx6967, A0=>reg_44_q_c_0, A1=>reg_47_q_c_0 ); ix6970 : xnor2 port map ( Y=>nx6969, A0=>reg_44_q_c_1, A1=>reg_47_q_c_1); REG_44_reg_q_1 : dff port map ( Q=>reg_44_q_c_1, QB=>OPEN, D=>nx1524, CLK =>CLK); ix1525 : xor2 port map ( Y=>nx1524, A0=>nx862, A1=>nx1522); REG_1_reg_q_1 : dff port map ( Q=>reg_1_q_c_1, QB=>nx7127, D=>nx1504, CLK =>CLK); ix1505 : xor2 port map ( Y=>nx1504, A0=>nx6785, A1=>nx6981); ix6982 : xnor2 port map ( Y=>nx6981, A0=>nx6434, A1=>nx7125); REG_4_reg_q_1 : dff port map ( Q=>reg_4_q_c_1, QB=>OPEN, D=>nx1490, CLK=> CLK); ix1491 : xor2 port map ( Y=>nx1490, A0=>nx806, A1=>nx1488); ix807 : nor02 port map ( Y=>nx806, A0=>nx6683, A1=>nx6719_XX0_XREP33); ix1489 : xnor2 port map ( Y=>nx1488, A0=>reg_45_q_c_1, A1=>nx15480); REG_45_reg_q_1 : dff port map ( Q=>reg_45_q_c_1, QB=>OPEN, D=>nx1200, CLK =>CLK); ix1201 : xnor2 port map ( Y=>nx1200, A0=>nx394, A1=>nx6997); ix6998 : xnor2 port map ( Y=>nx6997, A0=>nx6999, A1=>nx6430); ix1049 : xor2 port map ( Y=>nx1048, A0=>nx6777, A1=>nx7005); ix7006 : xnor2 port map ( Y=>nx7005, A0=>reg_28_q_c_1, A1=>nx15480); REG_28_reg_q_1 : dff port map ( Q=>reg_28_q_c_1, QB=>OPEN, D=>nx1038, CLK =>CLK); ix1039 : xor2 port map ( Y=>nx1038, A0=>nx7011, A1=>nx7013); ix7012 : nand02 port map ( Y=>nx7011, A0=>PRI_OUT_3_0_EXMPLR, A1=>nx6425 ); ix7014 : xnor2 port map ( Y=>nx7013, A0=>PRI_OUT_3_1_EXMPLR, A1=>nx15479 ); REG_17_reg_q_1 : dff port map ( Q=>PRI_OUT_3_1_EXMPLR, QB=>OPEN, D=> nx1028, CLK=>CLK); ix1029 : xnor2 port map ( Y=>nx1028, A0=>nx6715, A1=>nx1026); REG_38_reg_q_1 : dff port map ( Q=>reg_38_q_c_1, QB=>nx7114, D=>nx1112, CLK=>CLK); ix1113 : xor2 port map ( Y=>nx1112, A0=>nx6713, A1=>nx7020); ix7022 : xnor2 port map ( Y=>nx7020, A0=>PRI_IN_4(1), A1=>nx7023); ix7024 : mux21 port map ( Y=>nx7023, A0=>reg_15_q_c_1, A1=>nx6429, S0=> C_MUX2_11_SEL); ix1105 : mux21 port map ( Y=>nx6429, A0=>nx7027, A1=>nx7029, S0=> C_MUX2_4_SEL); REG_13_reg_q_1 : dff port map ( Q=>reg_13_q_c_1, QB=>nx7027, D=>nx1378, CLK=>CLK); ix7030 : mux21 port map ( Y=>nx7029, A0=>reg_16_q_c_1, A1=> PRI_OUT_1_1_EXMPLR, S0=>C_MUX2_9_SEL); REG_16_reg_q_1 : dff port map ( Q=>reg_16_q_c_1, QB=>OPEN, D=>nx1086, CLK =>CLK); ix1087 : xnor2 port map ( Y=>nx1086, A0=>nx6710, A1=>nx1084); REG_42_reg_q_1 : dff port map ( Q=>reg_42_q_c_1, QB=>OPEN, D=>nx1076, CLK =>CLK); ix1077 : xor2 port map ( Y=>nx1076, A0=>nx7039, A1=>nx7041); ix7042 : xnor2 port map ( Y=>nx7041, A0=>reg_22_q_c_1, A1=>reg_39_q_c_1); REG_39_reg_q_1 : dff port map ( Q=>reg_39_q_c_1, QB=>OPEN, D=>nx1066, CLK =>CLK); ix1067 : xor2 port map ( Y=>nx1066, A0=>nx204, A1=>nx1064); ix205 : nor02 port map ( Y=>nx204, A0=>nx6649_XX0_XREP37, A1=>nx6655); ix1065 : xnor2 port map ( Y=>nx1064, A0=>reg_39_q_c_1, A1=>nx7051); ix7052 : mux21 port map ( Y=>nx7051, A0=>PRI_OUT_4_1_EXMPLR, A1=>nx1058, S0=>C_MUX2_5_SEL); REG_6_reg_q_1 : dff port map ( Q=>reg_6_q_c_1, QB=>OPEN, D=>nx1002, CLK=> CLK); ix1003 : xor2 port map ( Y=>nx1002, A0=>nx7059, A1=>nx7061); ix7060 : nand02 port map ( Y=>nx7059, A0=>PRI_OUT_9_0_EXMPLR, A1=>nx14811 ); ix7062 : xnor2 port map ( Y=>nx7061, A0=>PRI_OUT_9_1_EXMPLR, A1=>nx6430); ix991 : ao21 port map ( Y=>nx6430, A0=>C_MUX2_18_SEL, A1=>reg_39_q_c_1, B0=>nx986); ix987 : nor02 port map ( Y=>nx986, A0=>C_MUX2_18_SEL, A1=>nx7067); ix1469 : xor2 port map ( Y=>nx1468, A0=>nx6700, A1=>nx7075); ix7076 : xnor2 port map ( Y=>nx7075, A0=>nx7077, A1=>nx1420); ix7078 : mux21 port map ( Y=>nx7077, A0=>PRI_IN_6(1), A1=>reg_25_q_c_1, S0=>C_MUX2_24_SEL); ix1421 : ao21 port map ( Y=>nx1420, A0=>C_MUX2_19_SEL, A1=>reg_23_q_c_1, B0=>nx1416); REG_23_reg_q_1 : dff port map ( Q=>reg_23_q_c_1, QB=>OPEN, D=>nx1210, CLK =>CLK); ix1211 : xor2 port map ( Y=>nx1210, A0=>nx7085, A1=>nx7087); ix7086 : nand02 port map ( Y=>nx7085, A0=>PRI_IN_7(0), A1=>reg_45_q_c_0); ix7088 : xnor2 port map ( Y=>nx7087, A0=>PRI_IN_7(1), A1=>reg_45_q_c_1); ix1417 : nor02 port map ( Y=>nx1416, A0=>C_MUX2_19_SEL, A1=>nx7091); ix7092 : mux21 port map ( Y=>nx7091, A0=>reg_26_q_c_1, A1=>reg_27_q_c_1, S0=>C_MUX2_12_SEL); REG_27_reg_q_1 : dff port map ( Q=>reg_27_q_c_1, QB=>nx7106, D=>nx1398, CLK=>CLK); ix1399 : xnor2 port map ( Y=>nx1398, A0=>nx676, A1=>nx7097); REG_32_reg_q_1 : dff port map ( Q=>OPEN, QB=>nx7105, D=>nx1388, CLK=>CLK ); ix1389 : xor2 port map ( Y=>nx1388, A0=>nx6694, A1=>nx7103); ix7104 : xnor2 port map ( Y=>nx7103, A0=>nx6863, A1=>nx6430); ix7126 : mux21 port map ( Y=>nx7125, A0=>reg_26_q_c_1, A1=>PRI_IN_6(1), S0=>C_MUX2_23_SEL); REG_20_reg_q_1 : dff port map ( Q=>reg_20_q_c_1, QB=>nx7202, D=>nx1514, CLK=>CLK); ix1515 : xnor2 port map ( Y=>nx1514, A0=>nx6791, A1=>nx1512); ix1283 : xor2 port map ( Y=>nx1282, A0=>nx7135, A1=>nx7137); ix7136 : nand02 port map ( Y=>nx7135, A0=>PRI_IN_2(0), A1=> PRI_OUT_0_0_EXMPLR); ix7138 : xnor2 port map ( Y=>nx7137, A0=>PRI_IN_2(1), A1=> PRI_OUT_0_1_EXMPLR); ix1279 : ao21 port map ( Y=>PRI_OUT_0_1_EXMPLR, A0=>C_MUX2_14_SEL, A1=> reg_8_q_c_1, B0=>nx1196); REG_8_reg_q_1 : dff port map ( Q=>reg_8_q_c_1, QB=>OPEN, D=>nx1268, CLK=> CLK); ix1269 : xor2 port map ( Y=>nx1268, A0=>nx7143, A1=>nx7145); ix7144 : nand02 port map ( Y=>nx7143, A0=>reg_8_q_c_0, A1=>reg_49_q_c_0); ix7146 : xnor2 port map ( Y=>nx7145, A0=>reg_8_q_c_1, A1=>reg_49_q_c_1); REG_49_reg_q_1 : dff port map ( Q=>reg_49_q_c_1, QB=>OPEN, D=>nx1258, CLK =>CLK); ix1259 : xor2 port map ( Y=>nx1258, A0=>nx7151, A1=>nx7153); ix7152 : nand02 port map ( Y=>nx7151, A0=>reg_46_q_c_0, A1=> PRI_OUT_4_0_EXMPLR); ix7154 : xnor2 port map ( Y=>nx7153, A0=>reg_46_q_c_1, A1=> PRI_OUT_4_1_EXMPLR); REG_46_reg_q_1 : dff port map ( Q=>reg_46_q_c_1, QB=>OPEN, D=>nx1248, CLK =>CLK); ix1249 : xor2 port map ( Y=>nx1248, A0=>nx468, A1=>nx1246); ix469 : nor02 port map ( Y=>nx468, A0=>nx6685, A1=>nx6739); ix1247 : xnor2 port map ( Y=>nx1246, A0=>reg_23_q_c_1, A1=>nx7163); ix7164 : mux21 port map ( Y=>nx7163, A0=>reg_9_q_c_1, A1=>PRI_IN_5(1), S0 =>C_MUX2_17_SEL); REG_9_reg_q_1 : dff port map ( Q=>reg_9_q_c_1, QB=>OPEN, D=>nx1230, CLK=> CLK); ix1231 : xnor2 port map ( Y=>nx1230, A0=>nx6768, A1=>nx1228); REG_31_reg_q_1 : dff port map ( Q=>reg_31_q_c_1, QB=>OPEN, D=>nx1220, CLK =>CLK); ix1221 : xor2 port map ( Y=>nx1220, A0=>nx426, A1=>nx1218); REG_34_reg_q_1 : dff port map ( Q=>reg_34_q_c_1, QB=>nx7191, D=>nx1304, CLK=>CLK); ix1305 : xor2 port map ( Y=>nx1304, A0=>nx542, A1=>nx1302); ix543 : nand02 port map ( Y=>nx542, A0=>nx538, A1=>nx6579); ix1303 : xnor2 port map ( Y=>nx1302, A0=>reg_41_q_c_1, A1=>nx1300); ix1301 : ao21 port map ( Y=>nx1300, A0=>C_MUX2_22_SEL, A1=>PRI_IN_5(1), B0=>nx1296); ix1297 : nor02 port map ( Y=>nx1296, A0=>C_MUX2_22_SEL, A1=>nx7181); ix7182 : mux21 port map ( Y=>nx7181, A0=>reg_3_q_c_1, A1=>reg_2_q_c_1, S0 =>C_MUX2_2_SEL); REG_3_reg_q_1 : dff port map ( Q=>reg_3_q_c_1, QB=>OPEN, D=>nx1174, CLK=> CLK); ix1175 : xor2 port map ( Y=>nx1174, A0=>nx362, A1=>nx1172); ix363 : nor02 port map ( Y=>nx362, A0=>nx6707, A1=>nx6675); ix1173 : xnor2 port map ( Y=>nx1172, A0=>reg_12_q_c_1, A1=>nx7077); ix1197 : nor02 port map ( Y=>nx1196, A0=>C_MUX2_14_SEL, A1=>nx7199); REG_47_reg_q_1 : dff port map ( Q=>reg_47_q_c_1, QB=>OPEN, D=>nx1534, CLK =>CLK); ix1535 : xor2 port map ( Y=>nx1534, A0=>nx7207, A1=>nx7209); ix7208 : nand02 port map ( Y=>nx7207, A0=>PRI_IN_3(0), A1=>reg_36_q_c_0); REG_36_reg_q_1 : dff port map ( Q=>reg_36_q_c_1, QB=>nx7233, D=>nx1134, CLK=>CLK); ix1135 : ao21 port map ( Y=>nx1134, A0=>nx14782, A1=>nx1122, B0=>nx1132); ix1123 : xnor2 port map ( Y=>nx1122, A0=>nx6811, A1=>nx1120); REG_37_reg_q_1 : dff port map ( Q=>reg_37_q_c_1, QB=>OPEN, D=>nx914, CLK =>CLK); ix915 : xor2 port map ( Y=>nx914, A0=>nx7221, A1=>nx7223); ix1133 : nor02 port map ( Y=>nx1132, A0=>nx14782, A1=>nx7227); ix7228 : xnor2 port map ( Y=>nx7227, A0=>nx7229, A1=>nx7231); ix7230 : nand02 port map ( Y=>nx7229, A0=>PRI_IN_7(0), A1=>reg_44_q_c_0); ix7232 : xnor2 port map ( Y=>nx7231, A0=>PRI_IN_7(1), A1=>reg_44_q_c_1); REG_24_reg_q_1 : dff port map ( Q=>reg_24_q_c_1, QB=>OPEN, D=>nx956, CLK =>CLK); ix957 : xor2 port map ( Y=>nx956, A0=>nx7248, A1=>nx7251); ix7249 : nand02 port map ( Y=>nx7248, A0=>PRI_IN_2(0), A1=>reg_48_q_c_0); ix7252 : xnor2 port map ( Y=>nx7251, A0=>PRI_IN_2(1), A1=>reg_48_q_c_1); REG_48_reg_q_1 : dff port map ( Q=>reg_48_q_c_1, QB=>OPEN, D=>nx946, CLK =>CLK); ix947 : xor2 port map ( Y=>nx946, A0=>nx7257, A1=>nx7259); ix7258 : nand02 port map ( Y=>nx7257, A0=>reg_50_q_c_0, A1=>nx6421); ix7260 : xnor2 port map ( Y=>nx7259, A0=>reg_50_q_c_1, A1=>nx6429); REG_50_reg_q_1 : dff port map ( Q=>reg_50_q_c_1, QB=>OPEN, D=>nx936, CLK =>CLK); ix937 : xor2 port map ( Y=>nx936, A0=>nx44, A1=>nx934); ix935 : xnor2 port map ( Y=>nx934, A0=>PRI_IN_0(1), A1=>reg_5_q_c_1); ix1869 : mux21 port map ( Y=>PRI_OUT_9_2_EXMPLR, A0=>nx7275, A1=>nx7603, S0=>C_MUX2_13_SEL); ix7276 : mux21 port map ( Y=>nx7275, A0=>reg_22_q_c_2, A1=>reg_24_q_c_2, S0=>C_MUX2_15_SEL); REG_22_reg_q_2 : dff port map ( Q=>reg_22_q_c_2, QB=>nx7795, D=>nx2464, CLK=>CLK); ix2465 : xor2 port map ( Y=>nx2464, A0=>nx7279, A1=>nx7283); ix7280 : aoi32 port map ( Y=>nx7279, A0=>reg_33_q_c_0, A1=>reg_38_q_c_0, A2=>nx1432, B0=>reg_38_q_c_1, B1=>reg_33_q_c_1); REG_33_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7794, D=>nx2454, CLK=>CLK ); ix2455 : xor2 port map ( Y=>nx2454, A0=>nx1628, A1=>nx2452); ix1629 : mux21 port map ( Y=>nx1628, A0=>reg_13_q_c_1, A1=>nx6859, S0=> nx1422); ix2389 : xor2 port map ( Y=>nx2388, A0=>nx15703, A1=>nx7297); REG_43_reg_q_2 : dff port map ( Q=>reg_43_q_c_2, QB=>OPEN, D=>nx2378, CLK =>CLK); ix2379 : xor2 port map ( Y=>nx2378, A0=>nx7303, A1=>nx7307); ix7304 : aoi32 port map ( Y=>nx7303, A0=>PRI_OUT_1_0_EXMPLR, A1=> reg_25_q_c_0, A2=>nx1366, B0=>reg_25_q_c_1, B1=>PRI_OUT_1_1_EXMPLR); REG_14_reg_q_2 : dff port map ( Q=>PRI_OUT_1_2_EXMPLR, QB=>OPEN, D=> nx2368, CLK=>CLK); ix2369 : xor2 port map ( Y=>nx2368, A0=>nx7311, A1=>nx7315); ix7312 : aoi32 port map ( Y=>nx7311, A0=>nx16193, A1=>reg_24_q_c_0, A2=> nx1356, B0=>reg_24_q_c_1, B1=>reg_7_q_c_1); REG_7_reg_q_2 : dff port map ( Q=>reg_7_q_c_2, QB=>nx7759, D=>nx2358, CLK =>CLK); ix2359 : xor2 port map ( Y=>nx2358, A0=>nx7321, A1=>nx7325); ix7322 : aoi32 port map ( Y=>nx7321, A0=>PRI_IN_6(0), A1=>reg_41_q_c_0, A2=>nx1346, B0=>reg_41_q_c_1, B1=>PRI_IN_6(1)); REG_41_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7758, D=>nx2348, CLK=>CLK ); ix2349 : xor2 port map ( Y=>nx2348, A0=>nx7331, A1=>nx7340); ix7332 : aoi22 port map ( Y=>nx7331, A0=>nx15479, A1=>PRI_IN_1(1), B0=> nx586, B1=>nx1336); ix7341 : xnor2 port map ( Y=>nx7340, A0=>PRI_IN_1(2), A1=>nx15481); REG_5_reg_q_2 : dff port map ( Q=>reg_5_q_c_2, QB=>OPEN, D=>nx2324, CLK=> CLK); ix2325 : xor2 port map ( Y=>nx2324, A0=>nx7349, A1=>nx7352); ix7350 : aoi32 port map ( Y=>nx7349, A0=>reg_26_q_c_0, A1=>reg_34_q_c_0, A2=>nx1312, B0=>reg_34_q_c_1, B1=>reg_26_q_c_1); REG_26_reg_q_2 : dff port map ( Q=>reg_26_q_c_2, QB=>nx7756, D=>nx2086, CLK=>CLK); ix2087 : xnor2 port map ( Y=>nx2086, A0=>nx7357, A1=>nx2084); ix7358 : aoi22 port map ( Y=>nx7357, A0=>nx7239, A1=>PRI_IN_5(1), B0=> nx346, B1=>nx1162); ix2085 : xnor2 port map ( Y=>nx2084, A0=>PRI_IN_5(2), A1=>reg_29_q_c_2); REG_29_reg_q_2 : dff port map ( Q=>reg_29_q_c_2, QB=>OPEN, D=>nx2076, CLK =>CLK); ix2077 : xnor2 port map ( Y=>nx2076, A0=>nx7362, A1=>nx2074); ix7363 : aoi22 port map ( Y=>nx7362, A0=>nx7365, A1=>reg_30_q_c_1, B0=> nx328, B1=>nx1152); ix7366 : inv02 port map ( Y=>nx7365, A=>PRI_IN_4(1)); REG_30_reg_q_2 : dff port map ( Q=>reg_30_q_c_2, QB=>nx7753, D=>nx2066, CLK=>CLK); ix2067 : xnor2 port map ( Y=>nx2066, A0=>nx7371, A1=>nx2064); ix7372 : aoi22 port map ( Y=>nx7371, A0=>nx7233, A1=>reg_25_q_c_1, B0=> nx310, B1=>nx1142); REG_25_reg_q_2 : dff port map ( Q=>reg_25_q_c_2, QB=>nx7751, D=>nx2484, CLK=>CLK); ix2485 : xnor2 port map ( Y=>nx2484, A0=>nx1602, A1=>nx7383); ix1603 : oai22 port map ( Y=>nx1602, A0=>nx1108, A1=>nx7236, B0=>nx6825, B1=>nx6953); ix7384 : xnor2 port map ( Y=>nx7383, A0=>reg_15_q_c_2, A1=>nx7479); REG_15_reg_q_2 : dff port map ( Q=>reg_15_q_c_2, QB=>OPEN, D=>nx2474, CLK =>CLK); ix2475 : xor2 port map ( Y=>nx2474, A0=>nx7389, A1=>nx7393); ix7390 : aoi32 port map ( Y=>nx7389, A0=>PRI_OUT_8_0_EXMPLR, A1=> reg_22_q_c_0, A2=>nx1442, B0=>reg_22_q_c_1, B1=>PRI_OUT_8_1_EXMPLR); REG_21_reg_q_2 : dff port map ( Q=>PRI_OUT_8_2_EXMPLR, QB=>OPEN, D=> nx2600, CLK=>CLK); ix2601 : xor2 port map ( Y=>nx2600, A0=>nx7398, A1=>nx7401); ix7399 : aoi32 port map ( Y=>nx7398, A0=>reg_44_q_c_0, A1=>reg_47_q_c_0, A2=>nx1542, B0=>reg_47_q_c_1, B1=>reg_44_q_c_1); ix7406 : aoi22 port map ( Y=>nx7405, A0=>nx7127, A1=>reg_20_q_c_1, B0=> nx862, B1=>nx1522); REG_1_reg_q_2 : dff port map ( Q=>reg_1_q_c_2, QB=>OPEN, D=>nx2542, CLK=> CLK); ix2543 : xnor2 port map ( Y=>nx2542, A0=>nx1578, A1=>nx7417); ix1579 : mux21 port map ( Y=>nx1578, A0=>nx6785, A1=>nx978, S0=>nx6981); ix7418 : xnor2 port map ( Y=>nx7417, A0=>nx6443, A1=>nx7603); REG_4_reg_q_2 : dff port map ( Q=>reg_4_q_c_2, QB=>OPEN, D=>nx2528, CLK=> CLK); ix2529 : xnor2 port map ( Y=>nx2528, A0=>nx7425, A1=>nx2526); ix7426 : aoi22 port map ( Y=>nx7425, A0=>nx6432, A1=>reg_45_q_c_1, B0=> nx806, B1=>nx1488); REG_45_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7599, D=>nx2190, CLK=>CLK ); ix2191 : xor2 port map ( Y=>nx2190, A0=>nx7435, A1=>nx7437); ix7436 : mux21 port map ( Y=>nx7435, A0=>nx394, A1=>nx7067, S0=>nx6997); ix7438 : xnor2 port map ( Y=>nx7437, A0=>nx7439, A1=>nx6437); ix1963 : xor2 port map ( Y=>nx1962, A0=>nx7445, A1=>nx7451); ix7446 : aoi22 port map ( Y=>nx7445, A0=>nx7117, A1=>reg_28_q_c_1, B0=> nx180, B1=>nx1046); ix7452 : xnor2 port map ( Y=>nx7451, A0=>reg_28_q_c_2, A1=>nx7596); REG_28_reg_q_2 : dff port map ( Q=>reg_28_q_c_2, QB=>OPEN, D=>nx1952, CLK =>CLK); ix1953 : xor2 port map ( Y=>nx1952, A0=>nx7457, A1=>nx7461); ix7458 : aoi22 port map ( Y=>nx7457, A0=>nx15479, A1=>PRI_OUT_3_1_EXMPLR, B0=>nx164, B1=>nx1036); ix7462 : xnor2 port map ( Y=>nx7461, A0=>PRI_OUT_3_2_EXMPLR, A1=>nx15481 ); REG_17_reg_q_2 : dff port map ( Q=>PRI_OUT_3_2_EXMPLR, QB=>OPEN, D=> nx1942, CLK=>CLK); ix1943 : xnor2 port map ( Y=>nx1942, A0=>nx7467, A1=>nx1940); ix7468 : aoi22 port map ( Y=>nx7467, A0=>nx7114, A1=>PRI_IN_0(1), B0=> nx148, B1=>nx1026); REG_38_reg_q_2 : dff port map ( Q=>reg_38_q_c_2, QB=>nx7591, D=>nx2026, CLK=>CLK); ix2027 : xnor2 port map ( Y=>nx2026, A0=>nx1746, A1=>nx7477); ix1747 : oai22 port map ( Y=>nx1746, A0=>nx1108, A1=>nx7365, B0=>nx6713, B1=>nx7020); ix7478 : xnor2 port map ( Y=>nx7477, A0=>PRI_IN_4(2), A1=>nx7479); ix7480 : mux21 port map ( Y=>nx7479, A0=>reg_15_q_c_2, A1=>nx6435, S0=> C_MUX2_11_SEL); ix2019 : mux21 port map ( Y=>nx6435, A0=>nx7483, A1=>nx7485, S0=> C_MUX2_4_SEL); REG_13_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7483, D=>nx2388, CLK=>CLK ); ix7486 : mux21 port map ( Y=>nx7485, A0=>reg_16_q_c_2, A1=> PRI_OUT_1_2_EXMPLR, S0=>C_MUX2_9_SEL); REG_16_reg_q_2 : dff port map ( Q=>reg_16_q_c_2, QB=>OPEN, D=>nx2000, CLK =>CLK); ix2001 : xnor2 port map ( Y=>nx2000, A0=>nx7489, A1=>nx1998); ix7490 : aoi22 port map ( Y=>nx7489, A0=>nx7237, A1=>reg_42_q_c_1, B0=> nx234, B1=>nx1084); REG_42_reg_q_2 : dff port map ( Q=>reg_42_q_c_2, QB=>OPEN, D=>nx1990, CLK =>CLK); ix1991 : xor2 port map ( Y=>nx1990, A0=>nx7499, A1=>nx7503); ix7500 : aoi32 port map ( Y=>nx7499, A0=>reg_22_q_c_0, A1=>reg_39_q_c_0, A2=>nx1074, B0=>reg_39_q_c_1, B1=>reg_22_q_c_1); ix7510 : aoi22 port map ( Y=>nx7509, A0=>nx204, A1=>nx1064, B0=>nx1062, B1=>reg_39_q_c_1); ix7514 : inv02 port map ( Y=>nx7513, A=>C_MUX2_5_SEL); ix7518 : mux21 port map ( Y=>nx7517, A0=>PRI_OUT_4_2_EXMPLR, A1=>nx1972, S0=>C_MUX2_5_SEL); REG_6_reg_q_2 : dff port map ( Q=>reg_6_q_c_2, QB=>OPEN, D=>nx1888, CLK=> CLK); ix1889 : xor2 port map ( Y=>nx1888, A0=>nx7524, A1=>nx7527); ix7525 : mux21 port map ( Y=>nx7524, A0=>nx116, A1=>PRI_OUT_9_1_EXMPLR, S0=>nx7061); ix7528 : xnor2 port map ( Y=>nx7527, A0=>PRI_OUT_9_2_EXMPLR, A1=>nx6437); ix1877 : ao21 port map ( Y=>nx6437, A0=>C_MUX2_18_SEL, A1=>reg_39_q_c_2, B0=>nx1872); ix1873 : nor02 port map ( Y=>nx1872, A0=>C_MUX2_18_SEL, A1=>nx7531); ix2499 : xnor2 port map ( Y=>nx2498, A0=>nx1588, A1=>nx7545); ix1589 : mux21 port map ( Y=>nx1588, A0=>nx6700, A1=>nx6433, S0=>nx7075); ix7546 : xnor2 port map ( Y=>nx7545, A0=>nx7547, A1=>nx2450); ix7548 : mux21 port map ( Y=>nx7547, A0=>PRI_IN_6(2), A1=>reg_25_q_c_2, S0=>C_MUX2_24_SEL); ix2451 : ao21 port map ( Y=>nx2450, A0=>C_MUX2_19_SEL, A1=>reg_23_q_c_2, B0=>nx2446); REG_23_reg_q_2 : dff port map ( Q=>reg_23_q_c_2, QB=>OPEN, D=>nx2200, CLK =>CLK); ix2201 : xor2 port map ( Y=>nx2200, A0=>nx7553, A1=>nx7557); ix7554 : aoi32 port map ( Y=>nx7553, A0=>PRI_IN_7(0), A1=>reg_45_q_c_0, A2=>nx1208, B0=>reg_45_q_c_1, B1=>PRI_IN_7(1)); ix2447 : nor02 port map ( Y=>nx2446, A0=>C_MUX2_19_SEL, A1=>nx7561); ix7562 : mux21 port map ( Y=>nx7561, A0=>reg_26_q_c_2, A1=>reg_27_q_c_2, S0=>C_MUX2_12_SEL); REG_27_reg_q_2 : dff port map ( Q=>reg_27_q_c_2, QB=>OPEN, D=>nx2428, CLK =>CLK); ix2429 : xor2 port map ( Y=>nx2428, A0=>nx7565, A1=>nx7567); ix7566 : mux21 port map ( Y=>nx7565, A0=>nx676, A1=>nx7105, S0=>nx7097); ix7568 : xnor2 port map ( Y=>nx7567, A0=>reg_32_q_c_2, A1=>nx7439); REG_32_reg_q_2 : dff port map ( Q=>reg_32_q_c_2, QB=>OPEN, D=>nx2418, CLK =>CLK); ix2419 : xnor2 port map ( Y=>nx2418, A0=>nx2414, A1=>nx7577); ix2415 : mux21 port map ( Y=>nx2414, A0=>nx6694, A1=>nx966, S0=>nx7103); ix7578 : xnor2 port map ( Y=>nx7577, A0=>nx7275, A1=>nx6437); ix2517 : nor02 port map ( Y=>nx2516, A0=>C_MUX2_3_SEL, A1=> nx7596_XX0_XREP53); ix7604 : mux21 port map ( Y=>nx7603, A0=>reg_26_q_c_2, A1=>PRI_IN_6(2), S0=>C_MUX2_23_SEL); REG_20_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7710, D=>nx2562, CLK=>CLK ); ix2563 : xnor2 port map ( Y=>nx2562, A0=>nx7608, A1=>nx2560); ix7609 : aoi22 port map ( Y=>nx7608, A0=>nx7201, A1=>PRI_IN_4(1), B0=> nx844, B1=>nx1512); ix2293 : xor2 port map ( Y=>nx2292, A0=>nx7617, A1=>nx7621); ix7618 : aoi32 port map ( Y=>nx7617, A0=>PRI_IN_2(0), A1=> PRI_OUT_0_0_EXMPLR, A2=>nx1280, B0=>PRI_OUT_0_1_EXMPLR, B1=> PRI_IN_2(1)); ix7622 : xnor2 port map ( Y=>nx7621, A0=>PRI_IN_2(2), A1=> PRI_OUT_0_2_EXMPLR); ix2289 : ao21 port map ( Y=>PRI_OUT_0_2_EXMPLR, A0=>C_MUX2_14_SEL, A1=> reg_8_q_c_2, B0=>nx2144); REG_8_reg_q_2 : dff port map ( Q=>reg_8_q_c_2, QB=>nx7704, D=>nx2278, CLK =>CLK); ix2279 : xor2 port map ( Y=>nx2278, A0=>nx7629, A1=>nx7632); ix7630 : aoi32 port map ( Y=>nx7629, A0=>reg_8_q_c_0, A1=>reg_49_q_c_0, A2=>nx1266, B0=>reg_49_q_c_1, B1=>reg_8_q_c_1); REG_49_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7703, D=>nx2268, CLK=>CLK ); ix2269 : xor2 port map ( Y=>nx2268, A0=>nx7637, A1=>nx7641); ix7642 : xnor2 port map ( Y=>nx7641, A0=>reg_46_q_c_2, A1=> PRI_OUT_4_2_EXMPLR); REG_46_reg_q_2 : dff port map ( Q=>reg_46_q_c_2, QB=>OPEN, D=>nx2258, CLK =>CLK); ix2259 : xnor2 port map ( Y=>nx2258, A0=>nx7645, A1=>nx2256); ix7646 : aoi22 port map ( Y=>nx7645, A0=>nx468, A1=>nx1246, B0=>nx1244, B1=>reg_23_q_c_1); ix2257 : xnor2 port map ( Y=>nx2256, A0=>reg_23_q_c_2, A1=>nx7653); ix7654 : mux21 port map ( Y=>nx7653, A0=>reg_9_q_c_2, A1=>PRI_IN_5(2), S0 =>C_MUX2_17_SEL); REG_9_reg_q_2 : dff port map ( Q=>reg_9_q_c_2, QB=>OPEN, D=>nx2240, CLK=> CLK); ix2241 : xnor2 port map ( Y=>nx2240, A0=>nx7657, A1=>nx2238); ix7658 : aoi22 port map ( Y=>nx7657, A0=>nx7238, A1=>reg_31_q_c_1, B0=> nx444, B1=>nx1228); REG_31_reg_q_2 : dff port map ( Q=>reg_31_q_c_2, QB=>OPEN, D=>nx2230, CLK =>CLK); ix2231 : xnor2 port map ( Y=>nx2230, A0=>nx7664, A1=>nx2228); ix7665 : aoi22 port map ( Y=>nx7664, A0=>nx7191, A1=>reg_33_q_c_1, B0=> nx426, B1=>nx1218); REG_34_reg_q_2 : dff port map ( Q=>reg_34_q_c_2, QB=>nx7699, D=>nx2314, CLK=>CLK); ix2315 : xnor2 port map ( Y=>nx2314, A0=>nx7671, A1=>nx2312); ix7672 : aoi22 port map ( Y=>nx7671, A0=>nx7673, A1=>reg_41_q_c_1, B0=> nx542, B1=>nx1302); ix2311 : ao21 port map ( Y=>nx2310, A0=>C_MUX2_22_SEL, A1=>PRI_IN_5(2), B0=>nx2306); ix2307 : nor02 port map ( Y=>nx2306, A0=>C_MUX2_22_SEL, A1=>nx7687); ix7688 : mux21 port map ( Y=>nx7687, A0=>reg_3_q_c_2, A1=>reg_2_q_c_2, S0 =>C_MUX2_2_SEL); REG_3_reg_q_2 : dff port map ( Q=>reg_3_q_c_2, QB=>OPEN, D=>nx2114, CLK=> CLK); ix2115 : xnor2 port map ( Y=>nx2114, A0=>nx7693, A1=>nx2112); ix7694 : aoi22 port map ( Y=>nx7693, A0=>nx362, A1=>nx1172, B0=>nx6433, B1=>reg_12_q_c_1); ix2113 : xnor2 port map ( Y=>nx2112, A0=>reg_12_q_c_2, A1=>nx7547); ix2145 : nor02 port map ( Y=>nx2144, A0=>C_MUX2_14_SEL, A1=>nx7707); REG_47_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7748, D=>nx2590, CLK=>CLK ); ix2591 : xor2 port map ( Y=>nx2590, A0=>nx7714, A1=>nx7717); ix7715 : aoi32 port map ( Y=>nx7714, A0=>PRI_IN_3(0), A1=>reg_36_q_c_0, A2=>nx1532, B0=>reg_36_q_c_1, B1=>PRI_IN_3(1)); REG_36_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7747, D=>nx2056, CLK=>CLK ); ix2057 : ao21 port map ( Y=>nx2056, A0=>nx14782, A1=>nx2036, B0=>nx2054); ix2037 : xnor2 port map ( Y=>nx2036, A0=>nx7723, A1=>nx2034); ix7724 : aoi22 port map ( Y=>nx7723, A0=>nx7114, A1=>reg_37_q_c_1, B0=> nx286, B1=>nx1120); REG_37_reg_q_2 : dff port map ( Q=>reg_37_q_c_2, QB=>OPEN, D=>nx1730, CLK =>CLK); ix1731 : xor2 port map ( Y=>nx1730, A0=>nx7731, A1=>nx7735); ix7732 : aoi32 port map ( Y=>nx7731, A0=>reg_10_q_c_0, A1=>reg_13_q_c_0, A2=>nx912, B0=>reg_13_q_c_1, B1=>nx16197); ix2055 : nor02 port map ( Y=>nx2054, A0=>nx14785, A1=>nx7739); ix7740 : xnor2 port map ( Y=>nx7739, A0=>nx7741, A1=>nx7745); ix7742 : aoi32 port map ( Y=>nx7741, A0=>PRI_IN_7(0), A1=>reg_44_q_c_0, A2=>nx1128, B0=>reg_44_q_c_1, B1=>PRI_IN_7(1)); REG_24_reg_q_2 : dff port map ( Q=>reg_24_q_c_2, QB=>nx7791, D=>nx1842, CLK=>CLK); ix1843 : xor2 port map ( Y=>nx1842, A0=>nx7763, A1=>nx7767); ix7764 : aoi32 port map ( Y=>nx7763, A0=>PRI_IN_2(0), A1=>reg_48_q_c_0, A2=>nx954, B0=>reg_48_q_c_1, B1=>PRI_IN_2(1)); ix7768 : xnor2 port map ( Y=>nx7767, A0=>PRI_IN_2(2), A1=>reg_48_q_c_2); REG_48_reg_q_2 : dff port map ( Q=>reg_48_q_c_2, QB=>OPEN, D=>nx1832, CLK =>CLK); ix1833 : xor2 port map ( Y=>nx1832, A0=>nx7771, A1=>nx7777); ix7772 : aoi22 port map ( Y=>nx7771, A0=>nx6429, A1=>reg_50_q_c_1, B0=> nx60, B1=>nx944); ix7778 : xnor2 port map ( Y=>nx7777, A0=>reg_50_q_c_2, A1=>nx6435); REG_50_reg_q_2 : dff port map ( Q=>reg_50_q_c_2, QB=>OPEN, D=>nx1822, CLK =>CLK); ix1823 : xnor2 port map ( Y=>nx1822, A0=>nx7782, A1=>nx1820); ix7783 : aoi22 port map ( Y=>nx7782, A0=>nx7784, A1=>reg_5_q_c_1, B0=> nx44, B1=>nx934); ix7785 : inv02 port map ( Y=>nx7784, A=>PRI_IN_0(1)); ix1821 : xnor2 port map ( Y=>nx1820, A0=>PRI_IN_0(2), A1=>reg_5_q_c_2); ix2925 : mux21 port map ( Y=>PRI_OUT_9_3_EXMPLR, A0=>nx7797, A1=>nx8105, S0=>C_MUX2_13_SEL); ix7798 : mux21 port map ( Y=>nx7797, A0=>reg_22_q_c_3, A1=>reg_24_q_c_3, S0=>C_MUX2_15_SEL); ix2675 : oai22 port map ( Y=>nx2674, A0=>nx7279, A1=>nx7283, B0=>nx7591, B1=>nx7794); REG_33_reg_q_3 : dff port map ( Q=>reg_33_q_c_3, QB=>OPEN, D=>nx3510, CLK =>CLK); ix3511 : xnor2 port map ( Y=>nx3510, A0=>nx7811, A1=>nx3508); ix7812 : mux21 port map ( Y=>nx7811, A0=>nx7483, A1=>nx1628, S0=>nx2452); ix3445 : xnor2 port map ( Y=>nx3444, A0=>nx2692, A1=>nx7819); REG_43_reg_q_3 : dff port map ( Q=>reg_43_q_c_3, QB=>OPEN, D=>nx3434, CLK =>CLK); ix3435 : xnor2 port map ( Y=>nx3434, A0=>nx2700, A1=>nx7826); ix2701 : mux21 port map ( Y=>nx2700, A0=>nx7303, A1=>nx7751, S0=>nx7307); REG_14_reg_q_3 : dff port map ( Q=>PRI_OUT_1_3_EXMPLR, QB=>OPEN, D=> nx3424, CLK=>CLK); ix3425 : xnor2 port map ( Y=>nx3424, A0=>nx2708, A1=>nx7831); ix2709 : oai22 port map ( Y=>nx2708, A0=>nx7311, A1=>nx7315, B0=>nx7791, B1=>nx7759); ix7832 : xnor2 port map ( Y=>nx7831, A0=>reg_7_q_c_3, A1=>reg_24_q_c_3); REG_7_reg_q_3 : dff port map ( Q=>reg_7_q_c_3, QB=>OPEN, D=>nx3414, CLK=> CLK); ix3415 : xnor2 port map ( Y=>nx3414, A0=>nx2716, A1=>nx7841); ix2717 : oai22 port map ( Y=>nx2716, A0=>nx7321, A1=>nx7325, B0=>nx7758, B1=>nx7839); ix7840 : inv02 port map ( Y=>nx7839, A=>PRI_IN_6(2)); ix7842 : xnor2 port map ( Y=>nx7841, A0=>PRI_IN_6(3), A1=>reg_41_q_c_3); REG_41_reg_q_3 : dff port map ( Q=>reg_41_q_c_3, QB=>OPEN, D=>nx3404, CLK =>CLK); ix3405 : xnor2 port map ( Y=>nx3404, A0=>nx2724, A1=>nx7851); ix2725 : mux21 port map ( Y=>nx2724, A0=>nx7331, A1=>nx7847, S0=>nx7340); ix7852 : xnor2 port map ( Y=>nx7851, A0=>PRI_IN_1(3), A1=>nx15484); REG_5_reg_q_3 : dff port map ( Q=>reg_5_q_c_3, QB=>OPEN, D=>nx3380, CLK=> CLK); ix3381 : xnor2 port map ( Y=>nx3380, A0=>nx2734, A1=>nx7860); ix2735 : oai22 port map ( Y=>nx2734, A0=>nx7349, A1=>nx7352, B0=>nx7699, B1=>nx7756); REG_26_reg_q_3 : dff port map ( Q=>reg_26_q_c_3, QB=>OPEN, D=>nx3142, CLK =>CLK); ix3143 : xor2 port map ( Y=>nx3142, A0=>nx2744, A1=>nx3140); ix2745 : mux21 port map ( Y=>nx2744, A0=>reg_29_q_c_2, A1=>nx7357, S0=> nx2084); REG_29_reg_q_3 : dff port map ( Q=>OPEN, QB=>nx8239, D=>nx3132, CLK=>CLK ); ix3133 : xor2 port map ( Y=>nx3132, A0=>nx2754, A1=>nx3130); ix2755 : oai22 port map ( Y=>nx2754, A0=>nx7362, A1=>nx7875, B0=> PRI_IN_4(2), B1=>nx7753); REG_30_reg_q_3 : dff port map ( Q=>reg_30_q_c_3, QB=>nx8238, D=>nx3122, CLK=>CLK); ix3123 : xor2 port map ( Y=>nx3122, A0=>nx2764, A1=>nx3120); REG_25_reg_q_3 : dff port map ( Q=>reg_25_q_c_3, QB=>nx8237, D=>nx3540, CLK=>CLK); ix3541 : xor2 port map ( Y=>nx3540, A0=>nx7888, A1=>nx7897); ix7890 : aoi22 port map ( Y=>nx7888, A0=>nx7479, A1=>reg_15_q_c_2, B0=> nx1602, B1=>nx2482); REG_15_reg_q_3 : dff port map ( Q=>reg_15_q_c_3, QB=>nx8235, D=>nx3530, CLK=>CLK); ix3531 : xnor2 port map ( Y=>nx3530, A0=>nx2666, A1=>nx7905); ix2667 : mux21 port map ( Y=>nx2666, A0=>nx7389, A1=>nx7795, S0=>nx7393); ix7906 : xnor2 port map ( Y=>nx7905, A0=>PRI_OUT_8_3_EXMPLR, A1=>nx15478 ); REG_21_reg_q_3 : dff port map ( Q=>PRI_OUT_8_3_EXMPLR, QB=>OPEN, D=> nx3656, CLK=>CLK); ix3657 : xnor2 port map ( Y=>nx3656, A0=>nx2614, A1=>nx7913); ix2615 : oai22 port map ( Y=>nx2614, A0=>nx7398, A1=>nx7401, B0=>nx7748, B1=>nx15482); ix7914 : xnor2 port map ( Y=>nx7913, A0=>reg_44_q_c_3, A1=>reg_47_q_c_3); REG_44_reg_q_3 : dff port map ( Q=>reg_44_q_c_3, QB=>OPEN, D=>nx3628, CLK =>CLK); ix3629 : xor2 port map ( Y=>nx3628, A0=>nx2624, A1=>nx3626); ix2625 : mux21 port map ( Y=>nx2624, A0=>reg_1_q_c_2, A1=>nx7405, S0=> nx2570); REG_1_reg_q_3 : dff port map ( Q=>reg_1_q_c_3, QB=>nx8107, D=>nx3598, CLK =>CLK); ix3599 : xor2 port map ( Y=>nx3598, A0=>nx7927, A1=>nx7929); ix7928 : mux21 port map ( Y=>nx7927, A0=>nx1578, A1=>nx7603, S0=>nx7417); REG_4_reg_q_3 : dff port map ( Q=>reg_4_q_c_3, QB=>OPEN, D=>nx3584, CLK=> CLK); ix3585 : xor2 port map ( Y=>nx3584, A0=>nx3580, A1=>nx3582); ix3581 : oai22 port map ( Y=>nx3580, A0=>nx7425, A1=>nx7939, B0=>nx7596, B1=>nx7599); ix3583 : xnor2 port map ( Y=>nx3582, A0=>reg_45_q_c_3, A1=>nx8099); REG_45_reg_q_3 : dff port map ( Q=>reg_45_q_c_3, QB=>OPEN, D=>nx3246, CLK =>CLK); ix3247 : xnor2 port map ( Y=>nx3246, A0=>nx3242, A1=>nx7951); ix3243 : mux21 port map ( Y=>nx3242, A0=>nx7435, A1=>nx6437, S0=>nx7437); ix7952 : xnor2 port map ( Y=>nx7951, A0=>nx7953, A1=>nx6445); ix3019 : xnor2 port map ( Y=>nx3018, A0=>nx2976, A1=>nx7961); ix2977 : mux21 port map ( Y=>nx2976, A0=>nx7445, A1=>nx6441, S0=>nx7451); ix7962 : xnor2 port map ( Y=>nx7961, A0=>reg_28_q_c_3, A1=>nx15485); REG_28_reg_q_3 : dff port map ( Q=>reg_28_q_c_3, QB=>OPEN, D=>nx3008, CLK =>CLK); ix3009 : xnor2 port map ( Y=>nx3008, A0=>nx2984, A1=>nx7969); ix2985 : mux21 port map ( Y=>nx2984, A0=>nx7457, A1=>nx7847, S0=>nx7461); ix7970 : xnor2 port map ( Y=>nx7969, A0=>PRI_OUT_3_3_EXMPLR, A1=>nx15484 ); REG_17_reg_q_3 : dff port map ( Q=>PRI_OUT_3_3_EXMPLR, QB=>OPEN, D=> nx2998, CLK=>CLK); ix2999 : xor2 port map ( Y=>nx2998, A0=>nx2994, A1=>nx2996); ix2995 : mux21 port map ( Y=>nx2994, A0=>reg_38_q_c_2, A1=>nx7467, S0=> nx1940); REG_38_reg_q_3 : dff port map ( Q=>reg_38_q_c_3, QB=>nx8094, D=>nx3082, CLK=>CLK); ix3083 : xor2 port map ( Y=>nx3082, A0=>nx7983, A1=>nx7987); ix7984 : aoi22 port map ( Y=>nx7983, A0=>nx7479, A1=>PRI_IN_4(2), B0=> nx1746, B1=>nx2024); ix7988 : xnor2 port map ( Y=>nx7987, A0=>PRI_IN_4(3), A1=>nx7989); ix7990 : mux21 port map ( Y=>nx7989, A0=>reg_15_q_c_3, A1=>nx6444, S0=> C_MUX2_11_SEL); ix3075 : mux21 port map ( Y=>nx6444, A0=>nx7993, A1=>nx7995, S0=> C_MUX2_4_SEL); REG_13_reg_q_3 : dff port map ( Q=>reg_13_q_c_3, QB=>nx7993, D=>nx3444, CLK=>CLK); ix7996 : mux21 port map ( Y=>nx7995, A0=>reg_16_q_c_3, A1=> PRI_OUT_1_3_EXMPLR, S0=>C_MUX2_9_SEL); REG_16_reg_q_3 : dff port map ( Q=>reg_16_q_c_3, QB=>OPEN, D=>nx3056, CLK =>CLK); ix3057 : xor2 port map ( Y=>nx3056, A0=>nx2820, A1=>nx3054); ix2821 : mux21 port map ( Y=>nx2820, A0=>reg_25_q_c_2, A1=>nx7489, S0=> nx1998); REG_42_reg_q_3 : dff port map ( Q=>reg_42_q_c_3, QB=>OPEN, D=>nx3046, CLK =>CLK); ix3047 : xnor2 port map ( Y=>nx3046, A0=>nx2828, A1=>nx8011); ix2829 : oai22 port map ( Y=>nx2828, A0=>nx7499, A1=>nx7503, B0=>nx15483, B1=>nx7795); ix8012 : xnor2 port map ( Y=>nx8011, A0=>nx15478, A1=>nx15486); ix2837 : oai22 port map ( Y=>nx2836, A0=>nx7509, A1=>nx8019, B0=>nx7517, B1=>nx15483); ix3035 : xnor2 port map ( Y=>nx3034, A0=>nx15486, A1=>nx8023); ix8024 : mux21 port map ( Y=>nx8023, A0=>PRI_OUT_4_3_EXMPLR, A1=>nx3028, S0=>C_MUX2_5_SEL); REG_6_reg_q_3 : dff port map ( Q=>reg_6_q_c_3, QB=>OPEN, D=>nx2944, CLK=> CLK); ix2945 : xor2 port map ( Y=>nx2944, A0=>nx8031, A1=>nx8037); ix8032 : mux21 port map ( Y=>nx8031, A0=>nx1788, A1=>PRI_OUT_9_2_EXMPLR, S0=>nx7527); ix8038 : xnor2 port map ( Y=>nx8037, A0=>PRI_OUT_9_3_EXMPLR, A1=>nx6445); ix2933 : ao21 port map ( Y=>nx6445, A0=>C_MUX2_18_SEL, A1=>reg_39_q_c_3, B0=>nx2928); ix2929 : nor02 port map ( Y=>nx2928, A0=>C_MUX2_18_SEL, A1=>nx8043); ix3555 : xor2 port map ( Y=>nx3554, A0=>nx8051, A1=>nx8053); ix8052 : mux21 port map ( Y=>nx8051, A0=>nx1588, A1=>nx7547, S0=>nx7545); ix8054 : xnor2 port map ( Y=>nx8053, A0=>nx8055, A1=>nx3506); ix8056 : mux21 port map ( Y=>nx8055, A0=>PRI_IN_6(3), A1=>reg_25_q_c_3, S0=>C_MUX2_24_SEL); ix3507 : ao21 port map ( Y=>nx3506, A0=>C_MUX2_19_SEL, A1=>reg_23_q_c_3, B0=>nx3502); REG_23_reg_q_3 : dff port map ( Q=>reg_23_q_c_3, QB=>OPEN, D=>nx3256, CLK =>CLK); ix3257 : xnor2 port map ( Y=>nx3256, A0=>nx3232, A1=>nx8067); ix3233 : oai22 port map ( Y=>nx3232, A0=>nx7553, A1=>nx7557, B0=>nx7599, B1=>nx8065); ix8066 : inv02 port map ( Y=>nx8065, A=>PRI_IN_7(2)); ix8068 : xnor2 port map ( Y=>nx8067, A0=>PRI_IN_7(3), A1=>reg_45_q_c_3); ix3503 : nor02 port map ( Y=>nx3502, A0=>C_MUX2_19_SEL, A1=>nx8071); ix8072 : mux21 port map ( Y=>nx8071, A0=>reg_26_q_c_3, A1=>reg_27_q_c_3, S0=>C_MUX2_12_SEL); REG_27_reg_q_3 : dff port map ( Q=>reg_27_q_c_3, QB=>nx8088, D=>nx3484, CLK=>CLK); ix3485 : xnor2 port map ( Y=>nx3484, A0=>nx3460, A1=>nx8076); ix3461 : mux21 port map ( Y=>nx3460, A0=>nx7565, A1=>reg_32_q_c_2, S0=> nx7567); REG_32_reg_q_3 : dff port map ( Q=>OPEN, QB=>nx8087, D=>nx3474, CLK=>CLK ); ix3475 : xor2 port map ( Y=>nx3474, A0=>nx8083, A1=>nx8085); ix8084 : mux21 port map ( Y=>nx8083, A0=>nx2414, A1=>nx7275, S0=>nx7577); ix8086 : xnor2 port map ( Y=>nx8085, A0=>nx7797, A1=>nx6445); ix3573 : nor02 port map ( Y=>nx3572, A0=>C_MUX2_3_SEL, A1=>nx8099); ix8106 : mux21 port map ( Y=>nx8105, A0=>reg_26_q_c_3, A1=>PRI_IN_6(3), S0=>C_MUX2_23_SEL); REG_20_reg_q_3 : dff port map ( Q=>reg_20_q_c_3, QB=>nx8197, D=>nx3618, CLK=>CLK); ix3619 : xor2 port map ( Y=>nx3618, A0=>nx3614, A1=>nx3616); ix3615 : mux21 port map ( Y=>nx3614, A0=>reg_2_q_c_2, A1=>nx7608, S0=> nx2560); ix3349 : xnor2 port map ( Y=>nx3348, A0=>nx3188, A1=>nx8123); ix3189 : ao21 port map ( Y=>nx3188, A0=>PRI_OUT_0_2_EXMPLR, A1=> PRI_IN_2(2), B0=>nx3186); ix3187 : nor02 port map ( Y=>nx3186, A0=>nx7617, A1=>nx7621); ix8124 : xnor2 port map ( Y=>nx8123, A0=>PRI_IN_2(3), A1=> PRI_OUT_0_3_EXMPLR); ix3345 : ao21 port map ( Y=>PRI_OUT_0_3_EXMPLR, A0=>C_MUX2_14_SEL, A1=> reg_8_q_c_3, B0=>nx3200); REG_8_reg_q_3 : dff port map ( Q=>reg_8_q_c_3, QB=>OPEN, D=>nx3334, CLK=> CLK); ix3335 : xnor2 port map ( Y=>nx3334, A0=>nx3208, A1=>nx8131); ix3209 : oai22 port map ( Y=>nx3208, A0=>nx7629, A1=>nx7632, B0=>nx7703, B1=>nx7704); ix8132 : xnor2 port map ( Y=>nx8131, A0=>reg_8_q_c_3, A1=>reg_49_q_c_3); REG_49_reg_q_3 : dff port map ( Q=>reg_49_q_c_3, QB=>OPEN, D=>nx3324, CLK =>CLK); ix3325 : xnor2 port map ( Y=>nx3324, A0=>nx3216, A1=>nx8141); ix8142 : xnor2 port map ( Y=>nx8141, A0=>reg_46_q_c_3, A1=> PRI_OUT_4_3_EXMPLR); REG_46_reg_q_3 : dff port map ( Q=>reg_46_q_c_3, QB=>OPEN, D=>nx3314, CLK =>CLK); ix3315 : xor2 port map ( Y=>nx3314, A0=>nx3224, A1=>nx3312); ix3225 : mux21 port map ( Y=>nx3224, A0=>nx7653, A1=>nx7645, S0=>nx2256); ix3313 : xnor2 port map ( Y=>nx3312, A0=>reg_23_q_c_3, A1=>nx8151); ix8152 : mux21 port map ( Y=>nx8151, A0=>reg_9_q_c_3, A1=>PRI_IN_5(3), S0 =>C_MUX2_17_SEL); REG_9_reg_q_3 : dff port map ( Q=>reg_9_q_c_3, QB=>OPEN, D=>nx3296, CLK=> CLK); ix3297 : xor2 port map ( Y=>nx3296, A0=>nx3272, A1=>nx3294); ix3273 : mux21 port map ( Y=>nx3272, A0=>reg_30_q_c_2, A1=>nx7657, S0=> nx2238); REG_31_reg_q_3 : dff port map ( Q=>reg_31_q_c_3, QB=>OPEN, D=>nx3286, CLK =>CLK); ix3287 : xor2 port map ( Y=>nx3286, A0=>nx3282, A1=>nx3284); ix3283 : mux21 port map ( Y=>nx3282, A0=>reg_34_q_c_2, A1=>nx7664, S0=> nx2228); REG_34_reg_q_3 : dff port map ( Q=>reg_34_q_c_3, QB=>nx8183, D=>nx3370, CLK=>CLK); ix3371 : xor2 port map ( Y=>nx3370, A0=>nx3158, A1=>nx3368); ix3159 : oai22 port map ( Y=>nx3158, A0=>nx7671, A1=>nx8169, B0=>nx2310, B1=>nx7758); ix3369 : xnor2 port map ( Y=>nx3368, A0=>reg_41_q_c_3, A1=>nx3366); ix3367 : ao21 port map ( Y=>nx3366, A0=>C_MUX2_22_SEL, A1=>PRI_IN_5(3), B0=>nx3362); ix3363 : nor02 port map ( Y=>nx3362, A0=>C_MUX2_22_SEL, A1=>nx8174); ix8175 : mux21 port map ( Y=>nx8174, A0=>reg_3_q_c_3, A1=>reg_2_q_c_3, S0 =>C_MUX2_2_SEL); REG_3_reg_q_3 : dff port map ( Q=>reg_3_q_c_3, QB=>OPEN, D=>nx3170, CLK=> CLK); ix3171 : xor2 port map ( Y=>nx3170, A0=>nx3166, A1=>nx3168); ix3167 : mux21 port map ( Y=>nx3166, A0=>nx7547, A1=>nx7693, S0=>nx2112); ix3169 : xnor2 port map ( Y=>nx3168, A0=>reg_12_q_c_3, A1=>nx8055); ix3201 : nor02 port map ( Y=>nx3200, A0=>C_MUX2_14_SEL, A1=>nx8193); REG_47_reg_q_3 : dff port map ( Q=>reg_47_q_c_3, QB=>OPEN, D=>nx3646, CLK =>CLK); ix3647 : xnor2 port map ( Y=>nx3646, A0=>nx3642, A1=>nx8205); ix3643 : mux21 port map ( Y=>nx3642, A0=>nx7714, A1=>nx7747, S0=>nx7717); REG_36_reg_q_3 : dff port map ( Q=>reg_36_q_c_3, QB=>nx8231, D=>nx3112, CLK=>CLK); ix3113 : ao21 port map ( Y=>nx3112, A0=>C_MUX2_1_SEL, A1=>nx3108, B0=> nx3096); ix3109 : xnor2 port map ( Y=>nx3108, A0=>nx3104, A1=>nx8213); ix3105 : oai22 port map ( Y=>nx3104, A0=>nx7741, A1=>nx7745, B0=>nx7711, B1=>nx8065); ix8214 : xnor2 port map ( Y=>nx8213, A0=>PRI_IN_7(3), A1=>reg_44_q_c_3); ix3097 : nor02 port map ( Y=>nx3096, A0=>C_MUX2_1_SEL, A1=>nx8217); ix8218 : xnor2 port map ( Y=>nx8217, A0=>nx2774, A1=>nx3090); ix2775 : mux21 port map ( Y=>nx2774, A0=>reg_38_q_c_2, A1=>nx7723, S0=> nx2034); REG_37_reg_q_3 : dff port map ( Q=>reg_37_q_c_3, QB=>OPEN, D=>nx2786, CLK =>CLK); ix2787 : xnor2 port map ( Y=>nx2786, A0=>nx2782, A1=>nx8228); ix2783 : oai22 port map ( Y=>nx2782, A0=>nx7731, A1=>nx7735, B0=>nx7483, B1=>nx7535); REG_24_reg_q_3 : dff port map ( Q=>reg_24_q_c_3, QB=>OPEN, D=>nx2898, CLK =>CLK); ix2899 : xnor2 port map ( Y=>nx2898, A0=>nx2856, A1=>nx8251); ix2857 : ao21 port map ( Y=>nx2856, A0=>reg_48_q_c_2, A1=>PRI_IN_2(2), B0 =>nx2854); ix2855 : nor02 port map ( Y=>nx2854, A0=>nx7763, A1=>nx7767); ix8252 : xnor2 port map ( Y=>nx8251, A0=>PRI_IN_2(3), A1=>reg_48_q_c_3); REG_48_reg_q_3 : dff port map ( Q=>reg_48_q_c_3, QB=>OPEN, D=>nx2888, CLK =>CLK); ix2889 : xnor2 port map ( Y=>nx2888, A0=>nx2864, A1=>nx8261); ix2865 : ao21 port map ( Y=>nx2864, A0=>nx6435, A1=>reg_50_q_c_2, B0=> nx2862); ix2863 : nor02 port map ( Y=>nx2862, A0=>nx7771, A1=>nx7777); ix8262 : xnor2 port map ( Y=>nx8261, A0=>reg_50_q_c_3, A1=>nx6444); REG_50_reg_q_3 : dff port map ( Q=>reg_50_q_c_3, QB=>OPEN, D=>nx2878, CLK =>CLK); ix2879 : xor2 port map ( Y=>nx2878, A0=>nx2874, A1=>nx2876); ix2875 : mux21 port map ( Y=>nx2874, A0=>PRI_IN_0(2), A1=>nx7782, S0=> nx1820); ix2877 : xnor2 port map ( Y=>nx2876, A0=>PRI_IN_0(3), A1=>reg_5_q_c_3); ix3981 : mux21 port map ( Y=>PRI_OUT_9_4_EXMPLR, A0=>nx8282, A1=>nx8597, S0=>C_MUX2_13_SEL); ix8283 : mux21 port map ( Y=>nx8282, A0=>reg_22_q_c_4, A1=>reg_24_q_c_4, S0=>C_MUX2_15_SEL); REG_22_reg_q_4 : dff port map ( Q=>reg_22_q_c_4, QB=>nx8779, D=>nx4576, CLK=>CLK); ix4577 : xor2 port map ( Y=>nx4576, A0=>nx8287, A1=>nx8291); ix8288 : aoi22 port map ( Y=>nx8287, A0=>reg_38_q_c_3, A1=>reg_33_q_c_3, B0=>nx2674, B1=>nx3518); REG_33_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8777, D=>nx4566, CLK=>CLK ); ix4567 : xor2 port map ( Y=>nx4566, A0=>nx3740, A1=>nx4564); ix3741 : mux21 port map ( Y=>nx3740, A0=>reg_13_q_c_3, A1=>nx7811, S0=> nx3508); ix4501 : xor2 port map ( Y=>nx4500, A0=>nx8301, A1=>nx8304); REG_43_reg_q_4 : dff port map ( Q=>reg_43_q_c_4, QB=>OPEN, D=>nx4490, CLK =>CLK); ix4491 : xor2 port map ( Y=>nx4490, A0=>nx8309, A1=>nx8313); ix8310 : aoi22 port map ( Y=>nx8309, A0=>reg_25_q_c_3, A1=> PRI_OUT_1_3_EXMPLR, B0=>nx2700, B1=>nx3432); REG_14_reg_q_4 : dff port map ( Q=>PRI_OUT_1_4_EXMPLR, QB=>OPEN, D=> nx4480, CLK=>CLK); ix4481 : xor2 port map ( Y=>nx4480, A0=>nx8319, A1=>nx8322); ix8320 : aoi22 port map ( Y=>nx8319, A0=>reg_24_q_c_3, A1=>reg_7_q_c_3, B0=>nx2708, B1=>nx3422); REG_7_reg_q_4 : dff port map ( Q=>reg_7_q_c_4, QB=>nx8743, D=>nx4470, CLK =>CLK); ix4471 : xor2 port map ( Y=>nx4470, A0=>nx8327, A1=>nx8330); ix8328 : aoi22 port map ( Y=>nx8327, A0=>reg_41_q_c_3, A1=>PRI_IN_6(3), B0=>nx2716, B1=>nx3412); REG_41_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8742, D=>nx4460, CLK=>CLK ); ix4461 : xor2 port map ( Y=>nx4460, A0=>nx8335, A1=>nx8342); ix8336 : aoi22 port map ( Y=>nx8335, A0=>nx15484, A1=>PRI_IN_1(3), B0=> nx2724, B1=>nx3402); ix8343 : xnor2 port map ( Y=>nx8342, A0=>PRI_IN_1(4), A1=>nx6457); ix8346 : mux21 port map ( Y=>nx8345, A0=>reg_5_q_c_4, A1=>reg_7_q_c_4, S0 =>C_MUX2_8_SEL); REG_5_reg_q_4 : dff port map ( Q=>reg_5_q_c_4, QB=>OPEN, D=>nx4436, CLK=> CLK); ix4437 : xor2 port map ( Y=>nx4436, A0=>nx8351, A1=>nx8355); ix8352 : aoi22 port map ( Y=>nx8351, A0=>reg_34_q_c_3, A1=>reg_26_q_c_3, B0=>nx2734, B1=>nx3378); REG_26_reg_q_4 : dff port map ( Q=>reg_26_q_c_4, QB=>nx8739, D=>nx4198, CLK=>CLK); ix4199 : xnor2 port map ( Y=>nx4198, A0=>nx8361, A1=>nx4196); ix8362 : aoi22 port map ( Y=>nx8361, A0=>nx8239, A1=>PRI_IN_5(3), B0=> nx2744, B1=>nx3140); ix4197 : xnor2 port map ( Y=>nx4196, A0=>PRI_IN_5(4), A1=>reg_29_q_c_4); REG_29_reg_q_4 : dff port map ( Q=>reg_29_q_c_4, QB=>OPEN, D=>nx4188, CLK =>CLK); ix4189 : xnor2 port map ( Y=>nx4188, A0=>nx8367, A1=>nx4186); ix8368 : aoi22 port map ( Y=>nx8367, A0=>nx8369, A1=>reg_30_q_c_3, B0=> nx2754, B1=>nx3130); ix8370 : inv02 port map ( Y=>nx8369, A=>PRI_IN_4(3)); REG_30_reg_q_4 : dff port map ( Q=>reg_30_q_c_4, QB=>nx8735, D=>nx4178, CLK=>CLK); ix4179 : xnor2 port map ( Y=>nx4178, A0=>nx8377, A1=>nx4176); ix8378 : aoi22 port map ( Y=>nx8377, A0=>nx8231, A1=>reg_25_q_c_3, B0=> nx2764, B1=>nx3120); REG_25_reg_q_4 : dff port map ( Q=>reg_25_q_c_4, QB=>nx8734, D=>nx4596, CLK=>CLK); ix4597 : xnor2 port map ( Y=>nx4596, A0=>nx3714, A1=>nx8391); ix3715 : oai22 port map ( Y=>nx3714, A0=>nx7888, A1=>nx7897, B0=>nx3078, B1=>nx8235); ix8392 : xnor2 port map ( Y=>nx8391, A0=>reg_15_q_c_4, A1=>nx8473); REG_15_reg_q_4 : dff port map ( Q=>reg_15_q_c_4, QB=>OPEN, D=>nx4586, CLK =>CLK); ix4587 : xor2 port map ( Y=>nx4586, A0=>nx8396, A1=>nx8399); ix8397 : aoi22 port map ( Y=>nx8396, A0=>nx15478, A1=>PRI_OUT_8_3_EXMPLR, B0=>nx2666, B1=>nx3528); REG_21_reg_q_4 : dff port map ( Q=>PRI_OUT_8_4_EXMPLR, QB=>OPEN, D=> nx4712, CLK=>CLK); ix4713 : xor2 port map ( Y=>nx4712, A0=>nx8405, A1=>nx8408); ix8406 : aoi22 port map ( Y=>nx8405, A0=>reg_47_q_c_3, A1=>reg_44_q_c_3, B0=>nx2614, B1=>nx3654); REG_44_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8696, D=>nx4684, CLK=>CLK ); ix4685 : xnor2 port map ( Y=>nx4684, A0=>nx8413, A1=>nx4682); ix8414 : aoi22 port map ( Y=>nx8413, A0=>nx8107, A1=>reg_20_q_c_3, B0=> nx2624, B1=>nx3626); REG_1_reg_q_4 : dff port map ( Q=>reg_1_q_c_4, QB=>OPEN, D=>nx4654, CLK=> CLK); ix4655 : xnor2 port map ( Y=>nx4654, A0=>nx3690, A1=>nx16149); REG_4_reg_q_4 : dff port map ( Q=>reg_4_q_c_4, QB=>OPEN, D=>nx4640, CLK=> CLK); ix4641 : xnor2 port map ( Y=>nx4640, A0=>nx8427, A1=>nx4638); ix8428 : aoi22 port map ( Y=>nx8427, A0=>nx6449, A1=>reg_45_q_c_3, B0=> nx3580, B1=>nx3582); REG_45_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8593, D=>nx4302, CLK=>CLK ); ix4303 : xor2 port map ( Y=>nx4302, A0=>nx8435, A1=>nx8437); ix8436 : mux21 port map ( Y=>nx8435, A0=>nx3242, A1=>nx8043, S0=>nx7951); ix8438 : xnor2 port map ( Y=>nx8437, A0=>nx8439, A1=>nx6456); ix8440 : mux21 port map ( Y=>nx8439, A0=>PRI_IN_8(4), A1=>reg_12_q_c_4, S0=>C_MUX2_7_SEL); REG_12_reg_q_4 : dff port map ( Q=>reg_12_q_c_4, QB=>OPEN, D=>nx4074, CLK =>CLK); ix4075 : xor2 port map ( Y=>nx4074, A0=>nx8445, A1=>nx8449); ix8446 : aoi22 port map ( Y=>nx8445, A0=>nx15485, A1=>reg_28_q_c_3, B0=> nx2976, B1=>nx3016); ix8450 : xnor2 port map ( Y=>nx8449, A0=>reg_28_q_c_4, A1=> \[65125__XX0_XREP13\); REG_28_reg_q_4 : dff port map ( Q=>reg_28_q_c_4, QB=>OPEN, D=>nx4064, CLK =>CLK); ix4065 : xor2 port map ( Y=>nx4064, A0=>nx8454, A1=>nx8457); ix8455 : aoi22 port map ( Y=>nx8454, A0=>nx15484, A1=>PRI_OUT_3_3_EXMPLR, B0=>nx2984, B1=>nx3006); ix8458 : xnor2 port map ( Y=>nx8457, A0=>PRI_OUT_3_4_EXMPLR, A1=>nx6457); REG_17_reg_q_4 : dff port map ( Q=>PRI_OUT_3_4_EXMPLR, QB=>OPEN, D=> nx4054, CLK=>CLK); ix4055 : xnor2 port map ( Y=>nx4054, A0=>nx8461, A1=>nx4052); ix8462 : aoi22 port map ( Y=>nx8461, A0=>nx8094, A1=>PRI_IN_0(3), B0=> nx2994, B1=>nx2996); REG_38_reg_q_4 : dff port map ( Q=>reg_38_q_c_4, QB=>nx8584, D=>nx4138, CLK=>CLK); ix4139 : xnor2 port map ( Y=>nx4138, A0=>nx3858, A1=>nx8471); ix3859 : oai22 port map ( Y=>nx3858, A0=>nx7983, A1=>nx7987, B0=>nx3078, B1=>nx8369); ix8472 : xnor2 port map ( Y=>nx8471, A0=>PRI_IN_4(4), A1=>nx8473); ix8474 : mux21 port map ( Y=>nx8473, A0=>reg_15_q_c_4, A1=>nx6455, S0=> C_MUX2_11_SEL); ix4131 : mux21 port map ( Y=>nx6455, A0=>nx8477, A1=>nx8479, S0=> C_MUX2_4_SEL); REG_13_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8477, D=>nx4500, CLK=>CLK ); ix8480 : mux21 port map ( Y=>nx8479, A0=>reg_16_q_c_4, A1=> PRI_OUT_1_4_EXMPLR, S0=>C_MUX2_9_SEL); REG_16_reg_q_4 : dff port map ( Q=>reg_16_q_c_4, QB=>OPEN, D=>nx4112, CLK =>CLK); ix4113 : xnor2 port map ( Y=>nx4112, A0=>nx8485, A1=>nx4110); ix8486 : aoi22 port map ( Y=>nx8485, A0=>nx8237, A1=>reg_42_q_c_3, B0=> nx2820, B1=>nx3054); REG_42_reg_q_4 : dff port map ( Q=>reg_42_q_c_4, QB=>OPEN, D=>nx4102, CLK =>CLK); ix4103 : xor2 port map ( Y=>nx4102, A0=>nx8493, A1=>nx8497); ix8494 : aoi22 port map ( Y=>nx8493, A0=>nx15486, A1=>nx15478, B0=>nx2828, B1=>nx3044); REG_39_reg_q_4 : dff port map ( Q=>reg_39_q_c_4, QB=>nx8581, D=>nx4092, CLK=>CLK); ix4093 : xnor2 port map ( Y=>nx4092, A0=>nx8503, A1=>nx4090); ix8504 : aoi22 port map ( Y=>nx8503, A0=>nx3032, A1=>nx15486, B0=>nx2836, B1=>nx3034); ix8512 : mux21 port map ( Y=>nx8511, A0=>PRI_OUT_4_4_EXMPLR, A1=>nx4084, S0=>C_MUX2_5_SEL); ix4015 : ao21 port map ( Y=>PRI_OUT_4_4_EXMPLR, A0=>nx14772, A1=> reg_6_q_c_4, B0=>nx4012); REG_6_reg_q_4 : dff port map ( Q=>reg_6_q_c_4, QB=>OPEN, D=>nx4000, CLK=> CLK); ix4001 : xor2 port map ( Y=>nx4000, A0=>nx8517, A1=>nx8523); ix8518 : mux21 port map ( Y=>nx8517, A0=>nx2844, A1=>PRI_OUT_9_3_EXMPLR, S0=>nx8037); ix8524 : xnor2 port map ( Y=>nx8523, A0=>PRI_OUT_9_4_EXMPLR, A1=>nx6456); ix3989 : ao21 port map ( Y=>nx6456, A0=>C_MUX2_18_SEL, A1=>reg_39_q_c_4, B0=>nx3984); ix3985 : nor02 port map ( Y=>nx3984, A0=>C_MUX2_18_SEL, A1=>nx8529); ix4611 : xnor2 port map ( Y=>nx4610, A0=>nx3700, A1=>nx8541); ix3701 : mux21 port map ( Y=>nx3700, A0=>nx8051, A1=>nx6451, S0=>nx8053); ix8542 : xnor2 port map ( Y=>nx8541, A0=>nx8543, A1=>nx4562); ix8544 : mux21 port map ( Y=>nx8543, A0=>PRI_IN_6(4), A1=>reg_25_q_c_4, S0=>C_MUX2_24_SEL); ix4563 : ao21 port map ( Y=>nx4562, A0=>C_MUX2_19_SEL, A1=>reg_23_q_c_4, B0=>nx4558); REG_23_reg_q_4 : dff port map ( Q=>reg_23_q_c_4, QB=>OPEN, D=>nx4312, CLK =>CLK); ix4313 : xor2 port map ( Y=>nx4312, A0=>nx8551, A1=>nx8555); ix8552 : aoi22 port map ( Y=>nx8551, A0=>reg_45_q_c_3, A1=>PRI_IN_7(3), B0=>nx3232, B1=>nx3254); ix4559 : nor02 port map ( Y=>nx4558, A0=>C_MUX2_19_SEL, A1=>nx8559); ix8560 : mux21 port map ( Y=>nx8559, A0=>reg_26_q_c_4, A1=>reg_27_q_c_4, S0=>C_MUX2_12_SEL); REG_27_reg_q_4 : dff port map ( Q=>reg_27_q_c_4, QB=>OPEN, D=>nx4540, CLK =>CLK); ix4541 : xor2 port map ( Y=>nx4540, A0=>nx8565, A1=>nx8567); ix8566 : mux21 port map ( Y=>nx8565, A0=>nx3460, A1=>nx8087, S0=>nx8076); ix8568 : xnor2 port map ( Y=>nx8567, A0=>reg_32_q_c_4, A1=>nx8439); REG_32_reg_q_4 : dff port map ( Q=>reg_32_q_c_4, QB=>OPEN, D=>nx4530, CLK =>CLK); ix4531 : xnor2 port map ( Y=>nx4530, A0=>nx4526, A1=>nx8575); ix4527 : mux21 port map ( Y=>nx4526, A0=>nx8083, A1=>nx2908, S0=>nx8085); ix8576 : xnor2 port map ( Y=>nx8575, A0=>nx8282, A1=>nx6456); ix4629 : nor02 port map ( Y=>nx4628, A0=>C_MUX2_3_SEL, A1=>nx8589); REG_20_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8695, D=>nx4674, CLK=>CLK ); ix4675 : xnor2 port map ( Y=>nx4674, A0=>nx8603, A1=>nx4672); ix8604 : aoi22 port map ( Y=>nx8603, A0=>nx8195, A1=>PRI_IN_4(3), B0=> nx3614, B1=>nx3616); REG_2_reg_q_4 : dff port map ( Q=>reg_2_q_c_4, QB=>nx8693, D=>nx4404, CLK =>CLK); ix4405 : xor2 port map ( Y=>nx4404, A0=>nx8609, A1=>nx8613); ix8610 : aoi22 port map ( Y=>nx8609, A0=>PRI_OUT_0_3_EXMPLR, A1=> PRI_IN_2(3), B0=>nx3188, B1=>nx3346); ix8614 : xnor2 port map ( Y=>nx8613, A0=>PRI_IN_2(4), A1=> PRI_OUT_0_4_EXMPLR); ix4401 : ao21 port map ( Y=>PRI_OUT_0_4_EXMPLR, A0=>C_MUX2_14_SEL, A1=> reg_8_q_c_4, B0=>nx4256); REG_8_reg_q_4 : dff port map ( Q=>reg_8_q_c_4, QB=>nx8689, D=>nx4390, CLK =>CLK); ix4391 : xor2 port map ( Y=>nx4390, A0=>nx8619, A1=>nx8623); ix8620 : aoi22 port map ( Y=>nx8619, A0=>reg_49_q_c_3, A1=>reg_8_q_c_3, B0=>nx3208, B1=>nx3332); REG_49_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8688, D=>nx4380, CLK=>CLK ); ix4381 : xor2 port map ( Y=>nx4380, A0=>nx8629, A1=>nx8632); ix8633 : xnor2 port map ( Y=>nx8632, A0=>reg_46_q_c_4, A1=> PRI_OUT_4_4_EXMPLR); REG_46_reg_q_4 : dff port map ( Q=>reg_46_q_c_4, QB=>OPEN, D=>nx4370, CLK =>CLK); ix4371 : xnor2 port map ( Y=>nx4370, A0=>nx8637, A1=>nx4368); ix8638 : aoi22 port map ( Y=>nx8637, A0=>nx3310, A1=>reg_23_q_c_3, B0=> nx3224, B1=>nx3312); ix4369 : xnor2 port map ( Y=>nx4368, A0=>reg_23_q_c_4, A1=>nx8643); ix8644 : mux21 port map ( Y=>nx8643, A0=>reg_9_q_c_4, A1=>PRI_IN_5(4), S0 =>C_MUX2_17_SEL); REG_9_reg_q_4 : dff port map ( Q=>reg_9_q_c_4, QB=>OPEN, D=>nx4352, CLK=> CLK); ix4353 : xnor2 port map ( Y=>nx4352, A0=>nx8649, A1=>nx4350); ix8650 : aoi22 port map ( Y=>nx8649, A0=>nx8238, A1=>reg_31_q_c_3, B0=> nx3272, B1=>nx3294); REG_31_reg_q_4 : dff port map ( Q=>reg_31_q_c_4, QB=>OPEN, D=>nx4342, CLK =>CLK); ix4343 : xnor2 port map ( Y=>nx4342, A0=>nx8655, A1=>nx4340); ix8656 : aoi22 port map ( Y=>nx8655, A0=>nx8183, A1=>reg_33_q_c_3, B0=> nx3282, B1=>nx3284); REG_34_reg_q_4 : dff port map ( Q=>reg_34_q_c_4, QB=>nx8683, D=>nx4426, CLK=>CLK); ix4427 : xnor2 port map ( Y=>nx4426, A0=>nx8661, A1=>nx4424); ix8662 : aoi22 port map ( Y=>nx8661, A0=>nx8663, A1=>reg_41_q_c_3, B0=> nx3158, B1=>nx3368); ix4423 : ao21 port map ( Y=>nx4422, A0=>C_MUX2_22_SEL, A1=>PRI_IN_5(4), B0=>nx4418); ix4419 : nor02 port map ( Y=>nx4418, A0=>C_MUX2_22_SEL, A1=>nx8671); ix8672 : mux21 port map ( Y=>nx8671, A0=>reg_3_q_c_4, A1=>reg_2_q_c_4, S0 =>C_MUX2_2_SEL); REG_3_reg_q_4 : dff port map ( Q=>reg_3_q_c_4, QB=>OPEN, D=>nx4226, CLK=> CLK); ix4227 : xnor2 port map ( Y=>nx4226, A0=>nx8677, A1=>nx4224); ix8678 : aoi22 port map ( Y=>nx8677, A0=>nx6451, A1=>reg_12_q_c_3, B0=> nx3166, B1=>nx3168); ix4225 : xnor2 port map ( Y=>nx4224, A0=>reg_12_q_c_4, A1=>nx8543); ix4257 : nor02 port map ( Y=>nx4256, A0=>C_MUX2_14_SEL, A1=>nx8691); REG_47_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8731, D=>nx4702, CLK=>CLK ); ix4703 : xor2 port map ( Y=>nx4702, A0=>nx8699, A1=>nx8703); ix8700 : aoi22 port map ( Y=>nx8699, A0=>reg_36_q_c_3, A1=>PRI_IN_3(3), B0=>nx3642, B1=>nx3644); REG_36_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8730, D=>nx4168, CLK=>CLK ); ix4169 : ao21 port map ( Y=>nx4168, A0=>nx14785, A1=>nx4148, B0=>nx4166); ix4149 : xnor2 port map ( Y=>nx4148, A0=>nx8709, A1=>nx4146); ix8710 : aoi22 port map ( Y=>nx8709, A0=>nx8094, A1=>reg_37_q_c_3, B0=> nx2774, B1=>nx3090); REG_37_reg_q_4 : dff port map ( Q=>reg_37_q_c_4, QB=>OPEN, D=>nx3842, CLK =>CLK); ix3843 : xor2 port map ( Y=>nx3842, A0=>nx8715, A1=>nx8718); ix8716 : aoi22 port map ( Y=>nx8715, A0=>reg_13_q_c_3, A1=>reg_10_q_c_3, B0=>nx2782, B1=>nx2784); ix4167 : nor02 port map ( Y=>nx4166, A0=>nx14785, A1=>nx8723); ix8724 : xnor2 port map ( Y=>nx8723, A0=>nx8725, A1=>nx8728); ix8726 : aoi22 port map ( Y=>nx8725, A0=>reg_44_q_c_3, A1=>PRI_IN_7(3), B0=>nx3104, B1=>nx3106); REG_24_reg_q_4 : dff port map ( Q=>reg_24_q_c_4, QB=>nx8773, D=>nx3954, CLK=>CLK); ix3955 : xor2 port map ( Y=>nx3954, A0=>nx8747, A1=>nx8751); ix8748 : aoi22 port map ( Y=>nx8747, A0=>reg_48_q_c_3, A1=>PRI_IN_2(3), B0=>nx2856, B1=>nx2896); ix8752 : xnor2 port map ( Y=>nx8751, A0=>PRI_IN_2(4), A1=>reg_48_q_c_4); REG_48_reg_q_4 : dff port map ( Q=>reg_48_q_c_4, QB=>OPEN, D=>nx3944, CLK =>CLK); ix3945 : xor2 port map ( Y=>nx3944, A0=>nx8757, A1=>nx8760); ix8758 : aoi22 port map ( Y=>nx8757, A0=>nx6444, A1=>reg_50_q_c_3, B0=> nx2864, B1=>nx2886); ix8761 : xnor2 port map ( Y=>nx8760, A0=>reg_50_q_c_4, A1=>nx6455); REG_50_reg_q_4 : dff port map ( Q=>reg_50_q_c_4, QB=>OPEN, D=>nx3934, CLK =>CLK); ix3935 : xnor2 port map ( Y=>nx3934, A0=>nx8765, A1=>nx3932); ix8766 : aoi22 port map ( Y=>nx8765, A0=>nx8767, A1=>reg_5_q_c_3, B0=> nx2874, B1=>nx2876); ix8768 : inv02 port map ( Y=>nx8767, A=>PRI_IN_0(3)); ix3933 : xnor2 port map ( Y=>nx3932, A0=>PRI_IN_0(4), A1=>reg_5_q_c_4); ix5037 : mux21 port map ( Y=>PRI_OUT_9_5_EXMPLR, A0=>nx8781, A1=>nx9075, S0=>C_MUX2_13_SEL); ix8782 : mux21 port map ( Y=>nx8781, A0=>reg_22_q_c_5, A1=>reg_24_q_c_5, S0=>C_MUX2_15_SEL); ix4787 : oai22 port map ( Y=>nx4786, A0=>nx8287, A1=>nx8291, B0=>nx8584, B1=>nx8777); REG_33_reg_q_5 : dff port map ( Q=>reg_33_q_c_5, QB=>OPEN, D=>nx5622, CLK =>CLK); ix5623 : xnor2 port map ( Y=>nx5622, A0=>nx8794, A1=>nx5620); ix8795 : mux21 port map ( Y=>nx8794, A0=>nx8477, A1=>nx3740, S0=>nx4564); ix5557 : xnor2 port map ( Y=>nx5556, A0=>nx4804, A1=>nx8803); ix8804 : xnor2 port map ( Y=>nx8803, A0=>reg_43_q_c_5, A1=>nx6468); REG_43_reg_q_5 : dff port map ( Q=>reg_43_q_c_5, QB=>OPEN, D=>nx5546, CLK =>CLK); ix5547 : xnor2 port map ( Y=>nx5546, A0=>nx4812, A1=>nx8809); ix4813 : mux21 port map ( Y=>nx4812, A0=>nx8309, A1=>nx8734, S0=>nx8313); REG_14_reg_q_5 : dff port map ( Q=>PRI_OUT_1_5_EXMPLR, QB=>OPEN, D=> nx5536, CLK=>CLK); ix5537 : xnor2 port map ( Y=>nx5536, A0=>nx4820, A1=>nx8815); ix4821 : oai22 port map ( Y=>nx4820, A0=>nx8319, A1=>nx8322, B0=>nx8773, B1=>nx8743); ix8816 : xnor2 port map ( Y=>nx8815, A0=>reg_7_q_c_5, A1=>reg_24_q_c_5); REG_7_reg_q_5 : dff port map ( Q=>reg_7_q_c_5, QB=>OPEN, D=>nx5526, CLK=> CLK); ix5527 : xnor2 port map ( Y=>nx5526, A0=>nx4828, A1=>nx8823); ix4829 : oai22 port map ( Y=>nx4828, A0=>nx8327, A1=>nx8330, B0=>nx8742, B1=>nx8821); ix8822 : inv02 port map ( Y=>nx8821, A=>PRI_IN_6(4)); ix8824 : xnor2 port map ( Y=>nx8823, A0=>PRI_IN_6(5), A1=>reg_41_q_c_5); REG_41_reg_q_5 : dff port map ( Q=>reg_41_q_c_5, QB=>OPEN, D=>nx5516, CLK =>CLK); ix5517 : xnor2 port map ( Y=>nx5516, A0=>nx4836, A1=>nx8833); ix4837 : mux21 port map ( Y=>nx4836, A0=>nx8335, A1=>nx8829, S0=>nx8342); ix8834 : xnor2 port map ( Y=>nx8833, A0=>PRI_IN_1(5), A1=>nx15487); REG_5_reg_q_5 : dff port map ( Q=>reg_5_q_c_5, QB=>OPEN, D=>nx5492, CLK=> CLK); ix5493 : xnor2 port map ( Y=>nx5492, A0=>nx4846, A1=>nx8842); ix4847 : oai22 port map ( Y=>nx4846, A0=>nx8351, A1=>nx8355, B0=>nx8683, B1=>nx8739); REG_26_reg_q_5 : dff port map ( Q=>reg_26_q_c_5, QB=>OPEN, D=>nx5254, CLK =>CLK); ix5255 : xor2 port map ( Y=>nx5254, A0=>nx4856, A1=>nx5252); ix4857 : mux21 port map ( Y=>nx4856, A0=>reg_29_q_c_4, A1=>nx8361, S0=> nx4196); REG_29_reg_q_5 : dff port map ( Q=>OPEN, QB=>nx9217, D=>nx5244, CLK=>CLK ); ix5245 : xor2 port map ( Y=>nx5244, A0=>nx4866, A1=>nx5242); ix4867 : oai22 port map ( Y=>nx4866, A0=>nx8367, A1=>nx8855, B0=> PRI_IN_4(4), B1=>nx8735); REG_30_reg_q_5 : dff port map ( Q=>reg_30_q_c_5, QB=>nx9216, D=>nx5234, CLK=>CLK); ix5235 : xor2 port map ( Y=>nx5234, A0=>nx4876, A1=>nx5232); REG_25_reg_q_5 : dff port map ( Q=>reg_25_q_c_5, QB=>nx9215, D=>nx5652, CLK=>CLK); ix5653 : xor2 port map ( Y=>nx5652, A0=>nx8870, A1=>nx8877); ix8871 : aoi22 port map ( Y=>nx8870, A0=>nx8473, A1=>reg_15_q_c_4, B0=> nx3714, B1=>nx4594); REG_15_reg_q_5 : dff port map ( Q=>reg_15_q_c_5, QB=>nx9213, D=>nx5642, CLK=>CLK); ix5643 : xnor2 port map ( Y=>nx5642, A0=>nx4778, A1=>nx8883); ix4779 : mux21 port map ( Y=>nx4778, A0=>nx8396, A1=>nx8779, S0=>nx8399); ix8884 : xnor2 port map ( Y=>nx8883, A0=>PRI_OUT_8_5_EXMPLR, A1=>nx15477 ); REG_21_reg_q_5 : dff port map ( Q=>PRI_OUT_8_5_EXMPLR, QB=>OPEN, D=> nx5768, CLK=>CLK); ix5769 : xnor2 port map ( Y=>nx5768, A0=>nx4726, A1=>nx8889); ix4727 : oai22 port map ( Y=>nx4726, A0=>nx8405, A1=>nx8408, B0=>nx8731, B1=>nx8696); ix8890 : xnor2 port map ( Y=>nx8889, A0=>reg_44_q_c_5, A1=>reg_47_q_c_5); REG_44_reg_q_5 : dff port map ( Q=>reg_44_q_c_5, QB=>OPEN, D=>nx5740, CLK =>CLK); ix5741 : xor2 port map ( Y=>nx5740, A0=>nx4736, A1=>nx5738); ix4737 : mux21 port map ( Y=>nx4736, A0=>reg_1_q_c_4, A1=>nx8413, S0=> nx4682); REG_1_reg_q_5 : dff port map ( Q=>reg_1_q_c_5, QB=>nx9077, D=>nx5710, CLK =>CLK); ix5711 : xor2 port map ( Y=>nx5710, A0=>nx8902, A1=>nx8904); REG_4_reg_q_5 : dff port map ( Q=>reg_4_q_c_5, QB=>OPEN, D=>nx5696, CLK=> CLK); ix5697 : xor2 port map ( Y=>nx5696, A0=>nx5692, A1=>nx5694); ix5693 : oai22 port map ( Y=>nx5692, A0=>nx8427, A1=>nx8912, B0=> \[65125__XX0_XREP13\, B1=>nx8593); ix5695 : xnor2 port map ( Y=>nx5694, A0=>reg_45_q_c_5, A1=>nx15488); REG_45_reg_q_5 : dff port map ( Q=>reg_45_q_c_5, QB=>OPEN, D=>nx5358, CLK =>CLK); ix5359 : xnor2 port map ( Y=>nx5358, A0=>nx5354, A1=>nx8921); ix5355 : mux21 port map ( Y=>nx5354, A0=>nx8435, A1=>nx6456, S0=>nx8437); ix8922 : xnor2 port map ( Y=>nx8921, A0=>nx8923, A1=>nx6463); ix8924 : mux21 port map ( Y=>nx8923, A0=>PRI_IN_8(5), A1=>reg_12_q_c_5, S0=>C_MUX2_7_SEL); REG_12_reg_q_5 : dff port map ( Q=>reg_12_q_c_5, QB=>OPEN, D=>nx5130, CLK =>CLK); ix5131 : xnor2 port map ( Y=>nx5130, A0=>nx5088, A1=>nx8930); ix5089 : mux21 port map ( Y=>nx5088, A0=>nx8445, A1=>nx6458, S0=>nx8449); ix8931 : xnor2 port map ( Y=>nx8930, A0=>reg_28_q_c_5, A1=>nx15488); REG_28_reg_q_5 : dff port map ( Q=>reg_28_q_c_5, QB=>OPEN, D=>nx5120, CLK =>CLK); ix5121 : xnor2 port map ( Y=>nx5120, A0=>nx5096, A1=>nx8935); ix5097 : mux21 port map ( Y=>nx5096, A0=>nx8454, A1=>nx8829, S0=>nx8457); ix8936 : xnor2 port map ( Y=>nx8935, A0=>PRI_OUT_3_5_EXMPLR, A1=>nx15487 ); ix5107 : mux21 port map ( Y=>nx5106, A0=>reg_38_q_c_4, A1=>nx8461, S0=> nx4052); REG_38_reg_q_5 : dff port map ( Q=>reg_38_q_c_5, QB=>nx9063, D=>nx5194, CLK=>CLK); ix5195 : xor2 port map ( Y=>nx5194, A0=>nx8944, A1=>nx8949); ix8946 : aoi22 port map ( Y=>nx8944, A0=>nx8473, A1=>PRI_IN_4(4), B0=> nx3858, B1=>nx4136); ix8950 : xnor2 port map ( Y=>nx8949, A0=>PRI_IN_4(5), A1=>nx8951); ix8952 : mux21 port map ( Y=>nx8951, A0=>reg_15_q_c_5, A1=>nx6461, S0=> C_MUX2_11_SEL); ix5187 : mux21 port map ( Y=>nx6461, A0=>nx8955, A1=>nx8957, S0=> C_MUX2_4_SEL); REG_13_reg_q_5 : dff port map ( Q=>reg_13_q_c_5, QB=>nx8955, D=>nx5556, CLK=>CLK); ix8958 : mux21 port map ( Y=>nx8957, A0=>reg_16_q_c_5, A1=> PRI_OUT_1_5_EXMPLR, S0=>C_MUX2_9_SEL); REG_16_reg_q_5 : dff port map ( Q=>reg_16_q_c_5, QB=>OPEN, D=>nx5168, CLK =>CLK); ix5169 : xor2 port map ( Y=>nx5168, A0=>nx4932, A1=>nx5166); ix4933 : mux21 port map ( Y=>nx4932, A0=>reg_25_q_c_4, A1=>nx8485, S0=> nx4110); REG_42_reg_q_5 : dff port map ( Q=>reg_42_q_c_5, QB=>OPEN, D=>nx5158, CLK =>CLK); ix5159 : xnor2 port map ( Y=>nx5158, A0=>nx4940, A1=>nx8973); ix4941 : oai22 port map ( Y=>nx4940, A0=>nx8493, A1=>nx8497, B0=>nx8581, B1=>nx8779); ix8974 : xnor2 port map ( Y=>nx8973, A0=>nx15477, A1=>nx15489); ix4949 : oai22 port map ( Y=>nx4948, A0=>nx8503, A1=>nx8981, B0=>nx8511, B1=>nx8581); ix5147 : xnor2 port map ( Y=>nx5146, A0=>nx15489, A1=>nx8985); ix8986 : mux21 port map ( Y=>nx8985, A0=>PRI_OUT_4_5_EXMPLR, A1=>nx5140, S0=>C_MUX2_5_SEL); REG_6_reg_q_5 : dff port map ( Q=>reg_6_q_c_5, QB=>OPEN, D=>nx5056, CLK=> CLK); ix5057 : xor2 port map ( Y=>nx5056, A0=>nx8993, A1=>nx8999); ix8994 : mux21 port map ( Y=>nx8993, A0=>nx3900, A1=>PRI_OUT_9_4_EXMPLR, S0=>nx8523); ix9000 : xnor2 port map ( Y=>nx8999, A0=>PRI_OUT_9_5_EXMPLR, A1=>nx6463); ix5045 : ao21 port map ( Y=>nx6463, A0=>C_MUX2_18_SEL, A1=>reg_39_q_c_5, B0=>nx5040); ix5041 : nor02 port map ( Y=>nx5040, A0=>C_MUX2_18_SEL, A1=>nx9005); ix5667 : xor2 port map ( Y=>nx5666, A0=>nx9013, A1=>nx9015); ix9014 : mux21 port map ( Y=>nx9013, A0=>nx3700, A1=>nx8543, S0=>nx8541); ix9016 : xnor2 port map ( Y=>nx9015, A0=>nx9017, A1=>nx5618); ix9018 : mux21 port map ( Y=>nx9017, A0=>PRI_IN_6(5), A1=>reg_25_q_c_5, S0=>C_MUX2_24_SEL); ix5619 : ao21 port map ( Y=>nx5618, A0=>C_MUX2_19_SEL, A1=>reg_23_q_c_5, B0=>nx5614); REG_23_reg_q_5 : dff port map ( Q=>reg_23_q_c_5, QB=>OPEN, D=>nx5368, CLK =>CLK); ix5369 : xnor2 port map ( Y=>nx5368, A0=>nx5344, A1=>nx9029); ix5345 : oai22 port map ( Y=>nx5344, A0=>nx8551, A1=>nx8555, B0=>nx8593, B1=>nx9027); ix9028 : inv02 port map ( Y=>nx9027, A=>PRI_IN_7(4)); ix9030 : xnor2 port map ( Y=>nx9029, A0=>PRI_IN_7(5), A1=>reg_45_q_c_5); ix5615 : nor02 port map ( Y=>nx5614, A0=>C_MUX2_19_SEL, A1=>nx9033); ix9034 : mux21 port map ( Y=>nx9033, A0=>reg_26_q_c_5, A1=>reg_27_q_c_5, S0=>C_MUX2_12_SEL); REG_27_reg_q_5 : dff port map ( Q=>reg_27_q_c_5, QB=>nx9053, D=>nx5596, CLK=>CLK); ix5597 : xnor2 port map ( Y=>nx5596, A0=>nx5572, A1=>nx9041); ix5573 : mux21 port map ( Y=>nx5572, A0=>nx8565, A1=>reg_32_q_c_4, S0=> nx8567); REG_32_reg_q_5 : dff port map ( Q=>OPEN, QB=>nx9051, D=>nx5586, CLK=>CLK ); ix5587 : xor2 port map ( Y=>nx5586, A0=>nx9047, A1=>nx9049); ix9048 : mux21 port map ( Y=>nx9047, A0=>nx4526, A1=>nx8282, S0=>nx8575); ix9050 : xnor2 port map ( Y=>nx9049, A0=>nx8781, A1=>nx6463); ix5685 : nor02 port map ( Y=>nx5684, A0=>C_MUX2_3_SEL, A1=>nx9067); ix9076 : mux21 port map ( Y=>nx9075, A0=>reg_26_q_c_5, A1=>PRI_IN_6(5), S0=>C_MUX2_23_SEL); REG_20_reg_q_5 : dff port map ( Q=>reg_20_q_c_5, QB=>nx9171, D=>nx5730, CLK=>CLK); ix5731 : xor2 port map ( Y=>nx5730, A0=>nx5726, A1=>nx5728); ix5727 : mux21 port map ( Y=>nx5726, A0=>reg_2_q_c_4, A1=>nx8603, S0=> nx4672); ix5461 : xnor2 port map ( Y=>nx5460, A0=>nx5300, A1=>nx9093); ix5301 : ao21 port map ( Y=>nx5300, A0=>PRI_OUT_0_4_EXMPLR, A1=> PRI_IN_2(4), B0=>nx5298); ix5299 : nor02 port map ( Y=>nx5298, A0=>nx8609, A1=>nx8613); ix9094 : xnor2 port map ( Y=>nx9093, A0=>PRI_IN_2(5), A1=> PRI_OUT_0_5_EXMPLR); ix5457 : ao21 port map ( Y=>PRI_OUT_0_5_EXMPLR, A0=>C_MUX2_14_SEL, A1=> reg_8_q_c_5, B0=>nx5312); REG_8_reg_q_5 : dff port map ( Q=>reg_8_q_c_5, QB=>OPEN, D=>nx5446, CLK=> CLK); ix5447 : xnor2 port map ( Y=>nx5446, A0=>nx5320, A1=>nx9103); ix5321 : oai22 port map ( Y=>nx5320, A0=>nx8619, A1=>nx8623, B0=>nx8688, B1=>nx8689); ix9104 : xnor2 port map ( Y=>nx9103, A0=>reg_8_q_c_5, A1=>reg_49_q_c_5); REG_49_reg_q_5 : dff port map ( Q=>reg_49_q_c_5, QB=>OPEN, D=>nx5436, CLK =>CLK); ix5437 : xnor2 port map ( Y=>nx5436, A0=>nx5328, A1=>nx9113); ix5329 : ao21 port map ( Y=>nx5328, A0=>PRI_OUT_4_4_EXMPLR, A1=> reg_46_q_c_4, B0=>nx5326); ix5327 : nor02 port map ( Y=>nx5326, A0=>nx16191, A1=>nx8632); ix9114 : xnor2 port map ( Y=>nx9113, A0=>reg_46_q_c_5, A1=> PRI_OUT_4_5_EXMPLR); REG_46_reg_q_5 : dff port map ( Q=>reg_46_q_c_5, QB=>OPEN, D=>nx5426, CLK =>CLK); ix5427 : xor2 port map ( Y=>nx5426, A0=>nx5336, A1=>nx5424); ix5337 : mux21 port map ( Y=>nx5336, A0=>nx8643, A1=>nx8637, S0=>nx4368); ix5425 : xnor2 port map ( Y=>nx5424, A0=>reg_23_q_c_5, A1=>nx9123); ix9124 : mux21 port map ( Y=>nx9123, A0=>reg_9_q_c_5, A1=>PRI_IN_5(5), S0 =>C_MUX2_17_SEL); REG_9_reg_q_5 : dff port map ( Q=>reg_9_q_c_5, QB=>OPEN, D=>nx5408, CLK=> CLK); ix5409 : xor2 port map ( Y=>nx5408, A0=>nx5384, A1=>nx5406); ix5385 : mux21 port map ( Y=>nx5384, A0=>reg_30_q_c_4, A1=>nx8649, S0=> nx4350); REG_31_reg_q_5 : dff port map ( Q=>reg_31_q_c_5, QB=>OPEN, D=>nx5398, CLK =>CLK); ix5399 : xor2 port map ( Y=>nx5398, A0=>nx5394, A1=>nx5396); ix5395 : mux21 port map ( Y=>nx5394, A0=>reg_34_q_c_4, A1=>nx8655, S0=> nx4340); REG_34_reg_q_5 : dff port map ( Q=>reg_34_q_c_5, QB=>nx9157, D=>nx5482, CLK=>CLK); ix5483 : xor2 port map ( Y=>nx5482, A0=>nx5270, A1=>nx5480); ix5271 : oai22 port map ( Y=>nx5270, A0=>nx8661, A1=>nx9139, B0=>nx4422, B1=>nx8742); ix5481 : xnor2 port map ( Y=>nx5480, A0=>reg_41_q_c_5, A1=>nx5478); ix5479 : ao21 port map ( Y=>nx5478, A0=>C_MUX2_22_SEL, A1=>PRI_IN_5(5), B0=>nx5474); ix5475 : nor02 port map ( Y=>nx5474, A0=>C_MUX2_22_SEL, A1=>nx9145); ix9146 : mux21 port map ( Y=>nx9145, A0=>reg_3_q_c_5, A1=>reg_2_q_c_5, S0 =>C_MUX2_2_SEL); REG_3_reg_q_5 : dff port map ( Q=>reg_3_q_c_5, QB=>OPEN, D=>nx5282, CLK=> CLK); ix5283 : xor2 port map ( Y=>nx5282, A0=>nx5278, A1=>nx5280); ix5279 : mux21 port map ( Y=>nx5278, A0=>nx8543, A1=>nx8677, S0=>nx4224); ix5281 : xnor2 port map ( Y=>nx5280, A0=>reg_12_q_c_5, A1=>nx9017); ix5313 : nor02 port map ( Y=>nx5312, A0=>C_MUX2_14_SEL, A1=>nx9167); REG_47_reg_q_5 : dff port map ( Q=>reg_47_q_c_5, QB=>OPEN, D=>nx5758, CLK =>CLK); ix5759 : xnor2 port map ( Y=>nx5758, A0=>nx5754, A1=>nx9179); ix5755 : mux21 port map ( Y=>nx5754, A0=>nx8699, A1=>nx8730, S0=>nx8703); REG_36_reg_q_5 : dff port map ( Q=>reg_36_q_c_5, QB=>nx9207, D=>nx5224, CLK=>CLK); ix5225 : ao21 port map ( Y=>nx5224, A0=>C_MUX2_1_SEL, A1=>nx5220, B0=> nx5208); ix5221 : xnor2 port map ( Y=>nx5220, A0=>nx5216, A1=>nx9187); ix5217 : oai22 port map ( Y=>nx5216, A0=>nx8725, A1=>nx8728, B0=>nx8696, B1=>nx9027); ix9188 : xnor2 port map ( Y=>nx9187, A0=>PRI_IN_7(5), A1=>reg_44_q_c_5); ix5209 : nor02 port map ( Y=>nx5208, A0=>C_MUX2_1_SEL, A1=>nx9191); ix9192 : xnor2 port map ( Y=>nx9191, A0=>nx4886, A1=>nx5202); ix4887 : mux21 port map ( Y=>nx4886, A0=>reg_38_q_c_4, A1=>nx8709, S0=> nx4146); REG_37_reg_q_5 : dff port map ( Q=>reg_37_q_c_5, QB=>OPEN, D=>nx4898, CLK =>CLK); ix4899 : xnor2 port map ( Y=>nx4898, A0=>nx4894, A1=>nx9203); ix4895 : oai22 port map ( Y=>nx4894, A0=>nx8715, A1=>nx8718, B0=>nx8477, B1=>nx8533); REG_24_reg_q_5 : dff port map ( Q=>reg_24_q_c_5, QB=>OPEN, D=>nx5010, CLK =>CLK); ix5011 : xnor2 port map ( Y=>nx5010, A0=>nx4968, A1=>nx9228); ix4969 : ao21 port map ( Y=>nx4968, A0=>reg_48_q_c_4, A1=>PRI_IN_2(4), B0 =>nx4966); ix4967 : nor02 port map ( Y=>nx4966, A0=>nx8747, A1=>nx8751); ix9229 : xnor2 port map ( Y=>nx9228, A0=>PRI_IN_2(5), A1=>reg_48_q_c_5); REG_48_reg_q_5 : dff port map ( Q=>reg_48_q_c_5, QB=>OPEN, D=>nx5000, CLK =>CLK); ix5001 : xnor2 port map ( Y=>nx5000, A0=>nx4976, A1=>nx9235); ix4977 : ao21 port map ( Y=>nx4976, A0=>nx6455, A1=>reg_50_q_c_4, B0=> nx4974); ix4975 : nor02 port map ( Y=>nx4974, A0=>nx8757, A1=>nx8760); ix9236 : xnor2 port map ( Y=>nx9235, A0=>reg_50_q_c_5, A1=>nx6461); REG_50_reg_q_5 : dff port map ( Q=>reg_50_q_c_5, QB=>OPEN, D=>nx4990, CLK =>CLK); ix4991 : xor2 port map ( Y=>nx4990, A0=>nx4986, A1=>nx4988); ix4987 : mux21 port map ( Y=>nx4986, A0=>PRI_IN_0(4), A1=>nx8765, S0=> nx3932); ix4989 : xnor2 port map ( Y=>nx4988, A0=>PRI_IN_0(5), A1=>reg_5_q_c_5); ix6093 : mux21 port map ( Y=>PRI_OUT_9_6_EXMPLR, A0=>nx9253, A1=>nx9572, S0=>C_MUX2_13_SEL); ix9254 : mux21 port map ( Y=>nx9253, A0=>reg_22_q_c_6, A1=>reg_24_q_c_6, S0=>C_MUX2_15_SEL); REG_22_reg_q_6 : dff port map ( Q=>reg_22_q_c_6, QB=>nx9756, D=>nx6688, CLK=>CLK); ix6689 : xor2 port map ( Y=>nx6688, A0=>nx9259, A1=>nx9263); ix9260 : aoi22 port map ( Y=>nx9259, A0=>reg_38_q_c_5, A1=>reg_33_q_c_5, B0=>nx4786, B1=>nx5630); REG_33_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9755, D=>nx6678, CLK=>CLK ); ix6679 : xor2 port map ( Y=>nx6678, A0=>nx5852, A1=>nx6676); ix5853 : mux21 port map ( Y=>nx5852, A0=>reg_13_q_c_5, A1=>nx8794, S0=> nx5620); ix6613 : xor2 port map ( Y=>nx6612, A0=>nx9277, A1=>nx9281); ix9282 : xnor2 port map ( Y=>nx9281, A0=>reg_43_q_c_6, A1=>nx6477); REG_43_reg_q_6 : dff port map ( Q=>reg_43_q_c_6, QB=>OPEN, D=>nx6602, CLK =>CLK); ix6603 : xor2 port map ( Y=>nx6602, A0=>nx9285, A1=>nx9288); ix9286 : aoi22 port map ( Y=>nx9285, A0=>reg_25_q_c_5, A1=> PRI_OUT_1_5_EXMPLR, B0=>nx4812, B1=>nx5544); REG_14_reg_q_6 : dff port map ( Q=>PRI_OUT_1_6_EXMPLR, QB=>OPEN, D=> nx6592, CLK=>CLK); ix6593 : xor2 port map ( Y=>nx6592, A0=>nx9293, A1=>nx9297); ix9294 : aoi22 port map ( Y=>nx9293, A0=>reg_24_q_c_5, A1=>reg_7_q_c_5, B0=>nx4820, B1=>nx5534); REG_7_reg_q_6 : dff port map ( Q=>reg_7_q_c_6, QB=>nx9721, D=>nx6582, CLK =>CLK); ix6583 : xor2 port map ( Y=>nx6582, A0=>nx9303, A1=>nx9307); ix9304 : aoi22 port map ( Y=>nx9303, A0=>reg_41_q_c_5, A1=>PRI_IN_6(5), B0=>nx4828, B1=>nx5524); REG_41_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9720, D=>nx6572, CLK=>CLK ); ix6573 : xor2 port map ( Y=>nx6572, A0=>nx9313, A1=>nx9321); ix9314 : aoi22 port map ( Y=>nx9313, A0=>nx15487, A1=>PRI_IN_1(5), B0=> nx4836, B1=>nx5514); ix9322 : xnor2 port map ( Y=>nx9321, A0=>PRI_IN_1(6), A1=>nx6471); ix6569 : mux21 port map ( Y=>nx6471, A0=>nx9324, A1=>nx9671, S0=> C_MUX2_21_SEL); ix9326 : mux21 port map ( Y=>nx9324, A0=>reg_5_q_c_6, A1=>reg_7_q_c_6, S0 =>C_MUX2_8_SEL); REG_5_reg_q_6 : dff port map ( Q=>reg_5_q_c_6, QB=>OPEN, D=>nx6548, CLK=> CLK); ix6549 : xor2 port map ( Y=>nx6548, A0=>nx9331, A1=>nx9335); ix9332 : aoi22 port map ( Y=>nx9331, A0=>reg_34_q_c_5, A1=>reg_26_q_c_5, B0=>nx4846, B1=>nx5490); REG_26_reg_q_6 : dff port map ( Q=>reg_26_q_c_6, QB=>nx9718, D=>nx6310, CLK=>CLK); ix6311 : xnor2 port map ( Y=>nx6310, A0=>nx9339, A1=>nx6308); ix9340 : aoi22 port map ( Y=>nx9339, A0=>nx9217, A1=>PRI_IN_5(5), B0=> nx4856, B1=>nx5252); ix6309 : xnor2 port map ( Y=>nx6308, A0=>PRI_IN_5(6), A1=>reg_29_q_c_6); REG_29_reg_q_6 : dff port map ( Q=>reg_29_q_c_6, QB=>OPEN, D=>nx6300, CLK =>CLK); ix6301 : xnor2 port map ( Y=>nx6300, A0=>nx9345, A1=>nx6298); ix9346 : aoi22 port map ( Y=>nx9345, A0=>nx9347, A1=>reg_30_q_c_5, B0=> nx4866, B1=>nx5242); ix9348 : inv02 port map ( Y=>nx9347, A=>PRI_IN_4(5)); REG_30_reg_q_6 : dff port map ( Q=>reg_30_q_c_6, QB=>nx9715, D=>nx6290, CLK=>CLK); ix6291 : xnor2 port map ( Y=>nx6290, A0=>nx9353, A1=>nx6288); ix9354 : aoi22 port map ( Y=>nx9353, A0=>nx9207, A1=>reg_25_q_c_5, B0=> nx4876, B1=>nx5232); REG_25_reg_q_6 : dff port map ( Q=>reg_25_q_c_6, QB=>nx9713, D=>nx6708, CLK=>CLK); ix6709 : xnor2 port map ( Y=>nx6708, A0=>nx5826, A1=>nx9363); ix5827 : oai22 port map ( Y=>nx5826, A0=>nx8870, A1=>nx8877, B0=>nx5190, B1=>nx9213); ix9364 : xnor2 port map ( Y=>nx9363, A0=>reg_15_q_c_6, A1=>nx9451); REG_15_reg_q_6 : dff port map ( Q=>reg_15_q_c_6, QB=>OPEN, D=>nx6698, CLK =>CLK); ix6699 : xor2 port map ( Y=>nx6698, A0=>nx9369, A1=>nx9373); ix9370 : aoi22 port map ( Y=>nx9369, A0=>nx15477, A1=>PRI_OUT_8_5_EXMPLR, B0=>nx4778, B1=>nx5640); REG_21_reg_q_6 : dff port map ( Q=>PRI_OUT_8_6_EXMPLR, QB=>OPEN, D=> nx6824, CLK=>CLK); ix6825 : xor2 port map ( Y=>nx6824, A0=>nx9377, A1=>nx9381); ix9378 : aoi22 port map ( Y=>nx9377, A0=>reg_47_q_c_5, A1=>reg_44_q_c_5, B0=>nx4726, B1=>nx5766); REG_44_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9673, D=>nx6796, CLK=>CLK ); ix6797 : xnor2 port map ( Y=>nx6796, A0=>nx9386, A1=>nx6794); ix9387 : aoi22 port map ( Y=>nx9386, A0=>nx9077, A1=>reg_20_q_c_5, B0=> nx4736, B1=>nx5738); REG_1_reg_q_6 : dff port map ( Q=>reg_1_q_c_6, QB=>OPEN, D=>nx6766, CLK=> CLK); ix6767 : xnor2 port map ( Y=>nx6766, A0=>nx5802, A1=>nx16145); REG_4_reg_q_6 : dff port map ( Q=>reg_4_q_c_6, QB=>OPEN, D=>nx6752, CLK=> CLK); ix6753 : xnor2 port map ( Y=>nx6752, A0=>nx9401, A1=>nx6750); ix9402 : aoi22 port map ( Y=>nx9401, A0=>nx6466, A1=>reg_45_q_c_5, B0=> nx5692, B1=>nx5694); REG_45_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9569, D=>nx6414, CLK=>CLK ); ix6415 : xor2 port map ( Y=>nx6414, A0=>nx9411, A1=>nx9413); ix9412 : mux21 port map ( Y=>nx9411, A0=>nx5354, A1=>nx9005, S0=>nx8921); ix9414 : xnor2 port map ( Y=>nx9413, A0=>nx9415, A1=>nx6470); ix9416 : mux21 port map ( Y=>nx9415, A0=>PRI_IN_8(6), A1=>reg_12_q_c_6, S0=>C_MUX2_7_SEL); REG_12_reg_q_6 : dff port map ( Q=>reg_12_q_c_6, QB=>OPEN, D=>nx6186, CLK =>CLK); ix6187 : xor2 port map ( Y=>nx6186, A0=>nx9421, A1=>nx9424); ix9422 : aoi22 port map ( Y=>nx9421, A0=>nx15488, A1=>reg_28_q_c_5, B0=> nx5088, B1=>nx5128); ix9425 : xnor2 port map ( Y=>nx9424, A0=>reg_28_q_c_6, A1=>nx9565); REG_28_reg_q_6 : dff port map ( Q=>reg_28_q_c_6, QB=>OPEN, D=>nx6176, CLK =>CLK); ix6177 : xor2 port map ( Y=>nx6176, A0=>nx9429, A1=>nx9433); ix9430 : aoi22 port map ( Y=>nx9429, A0=>nx15487, A1=>PRI_OUT_3_5_EXMPLR, B0=>nx5096, B1=>nx5118); ix9434 : xnor2 port map ( Y=>nx9433, A0=>PRI_OUT_3_6_EXMPLR, A1=>nx6471); REG_17_reg_q_6 : dff port map ( Q=>PRI_OUT_3_6_EXMPLR, QB=>OPEN, D=> nx6166, CLK=>CLK); ix6167 : xnor2 port map ( Y=>nx6166, A0=>nx9439, A1=>nx6164); ix9440 : aoi22 port map ( Y=>nx9439, A0=>nx9063, A1=>PRI_IN_0(5), B0=> nx5106, B1=>nx5108); REG_38_reg_q_6 : dff port map ( Q=>reg_38_q_c_6, QB=>nx9561, D=>nx6250, CLK=>CLK); ix6251 : xnor2 port map ( Y=>nx6250, A0=>nx5970, A1=>nx9449); ix5971 : oai22 port map ( Y=>nx5970, A0=>nx8944, A1=>nx8949, B0=>nx5190, B1=>nx9347); ix9450 : xnor2 port map ( Y=>nx9449, A0=>PRI_IN_4(6), A1=>nx9451); ix9452 : mux21 port map ( Y=>nx9451, A0=>reg_15_q_c_6, A1=>nx6469, S0=> C_MUX2_11_SEL); ix6243 : mux21 port map ( Y=>nx6469, A0=>nx9454, A1=>nx9457, S0=> C_MUX2_4_SEL); REG_13_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9454, D=>nx6612, CLK=>CLK ); ix9458 : mux21 port map ( Y=>nx9457, A0=>reg_16_q_c_6, A1=> PRI_OUT_1_6_EXMPLR, S0=>C_MUX2_9_SEL); REG_16_reg_q_6 : dff port map ( Q=>reg_16_q_c_6, QB=>OPEN, D=>nx6224, CLK =>CLK); ix6225 : xnor2 port map ( Y=>nx6224, A0=>nx9462, A1=>nx6222); ix9463 : aoi22 port map ( Y=>nx9462, A0=>nx9215, A1=>reg_42_q_c_5, B0=> nx4932, B1=>nx5166); REG_42_reg_q_6 : dff port map ( Q=>reg_42_q_c_6, QB=>OPEN, D=>nx6214, CLK =>CLK); ix6215 : xor2 port map ( Y=>nx6214, A0=>nx9467, A1=>nx9471); ix9468 : aoi22 port map ( Y=>nx9467, A0=>nx15489, A1=>nx15477, B0=>nx4940, B1=>nx5156); REG_39_reg_q_6 : dff port map ( Q=>reg_39_q_c_6, QB=>nx9555, D=>nx6204, CLK=>CLK); ix6205 : xnor2 port map ( Y=>nx6204, A0=>nx9475, A1=>nx6202); ix9476 : aoi22 port map ( Y=>nx9475, A0=>nx5144, A1=>nx15489, B0=>nx4948, B1=>nx5146); ix9483 : mux21 port map ( Y=>nx9482, A0=>PRI_OUT_4_6_EXMPLR, A1=>nx6196, S0=>C_MUX2_5_SEL); REG_6_reg_q_6 : dff port map ( Q=>reg_6_q_c_6, QB=>OPEN, D=>nx6112, CLK=> CLK); ix6113 : xor2 port map ( Y=>nx6112, A0=>nx9487, A1=>nx9493); ix9488 : mux21 port map ( Y=>nx9487, A0=>nx4956, A1=>PRI_OUT_9_5_EXMPLR, S0=>nx8999); ix9494 : xnor2 port map ( Y=>nx9493, A0=>PRI_OUT_9_6_EXMPLR, A1=>nx6470); ix6101 : ao21 port map ( Y=>nx6470, A0=>C_MUX2_18_SEL, A1=>reg_39_q_c_6, B0=>nx6096); ix6097 : nor02 port map ( Y=>nx6096, A0=>C_MUX2_18_SEL, A1=>nx9499); REG_10_reg_q_6 : dff port map ( Q=>reg_10_q_c_6, QB=>nx9503, D=>nx6722, CLK=>CLK); ix6723 : xnor2 port map ( Y=>nx6722, A0=>nx5812, A1=>nx9511); ix5813 : mux21 port map ( Y=>nx5812, A0=>nx9013, A1=>nx6467, S0=>nx9015); ix9512 : xnor2 port map ( Y=>nx9511, A0=>nx9513, A1=>nx6674); ix9514 : mux21 port map ( Y=>nx9513, A0=>PRI_IN_6(6), A1=>reg_25_q_c_6, S0=>C_MUX2_24_SEL); ix6675 : ao21 port map ( Y=>nx6674, A0=>C_MUX2_19_SEL, A1=>reg_23_q_c_6, B0=>nx6670); REG_23_reg_q_6 : dff port map ( Q=>reg_23_q_c_6, QB=>OPEN, D=>nx6424, CLK =>CLK); ix6425 : xor2 port map ( Y=>nx6424, A0=>nx9519, A1=>nx9523); ix9520 : aoi22 port map ( Y=>nx9519, A0=>reg_45_q_c_5, A1=>PRI_IN_7(5), B0=>nx5344, B1=>nx5366); ix6671 : nor02 port map ( Y=>nx6670, A0=>C_MUX2_19_SEL, A1=>nx9527); ix9528 : mux21 port map ( Y=>nx9527, A0=>reg_26_q_c_6, A1=>reg_27_q_c_6, S0=>C_MUX2_12_SEL); REG_27_reg_q_6 : dff port map ( Q=>reg_27_q_c_6, QB=>OPEN, D=>nx6652, CLK =>CLK); ix6653 : xor2 port map ( Y=>nx6652, A0=>nx9533, A1=>nx9535); ix9534 : mux21 port map ( Y=>nx9533, A0=>nx5572, A1=>nx9051, S0=>nx9041); ix9536 : xnor2 port map ( Y=>nx9535, A0=>reg_32_q_c_6, A1=>nx9415); REG_32_reg_q_6 : dff port map ( Q=>reg_32_q_c_6, QB=>OPEN, D=>nx6642, CLK =>CLK); ix6643 : xnor2 port map ( Y=>nx6642, A0=>nx6638, A1=>nx9547); ix6639 : mux21 port map ( Y=>nx6638, A0=>nx9047, A1=>nx5020, S0=>nx9049); ix9548 : xnor2 port map ( Y=>nx9547, A0=>nx9253, A1=>nx6470); ix9566 : mux21 port map ( Y=>nx9565, A0=>reg_10_q_c_6, A1=>nx6471, S0=> C_MUX2_16_SEL); ix6741 : nor02 port map ( Y=>nx6740, A0=>C_MUX2_3_SEL, A1=>nx9565); REG_20_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9672, D=>nx6786, CLK=>CLK ); ix6787 : xnor2 port map ( Y=>nx6786, A0=>nx9579, A1=>nx6784); ix9580 : aoi22 port map ( Y=>nx9579, A0=>nx9169, A1=>PRI_IN_4(5), B0=> nx5726, B1=>nx5728); REG_2_reg_q_6 : dff port map ( Q=>reg_2_q_c_6, QB=>nx9671, D=>nx6516, CLK =>CLK); ix6517 : xor2 port map ( Y=>nx6516, A0=>nx9586, A1=>nx9589); ix9590 : xnor2 port map ( Y=>nx9589, A0=>PRI_IN_2(6), A1=> PRI_OUT_0_6_EXMPLR); ix6513 : ao21 port map ( Y=>PRI_OUT_0_6_EXMPLR, A0=>C_MUX2_14_SEL, A1=> reg_8_q_c_6, B0=>nx6368); REG_8_reg_q_6 : dff port map ( Q=>reg_8_q_c_6, QB=>nx9666, D=>nx6502, CLK =>CLK); ix6503 : xor2 port map ( Y=>nx6502, A0=>nx9596, A1=>nx9599); ix9597 : aoi22 port map ( Y=>nx9596, A0=>reg_49_q_c_5, A1=>reg_8_q_c_5, B0=>nx5320, B1=>nx5444); REG_49_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9665, D=>nx6492, CLK=>CLK ); ix6493 : xor2 port map ( Y=>nx6492, A0=>nx15932, A1=>nx9609); REG_46_reg_q_6 : dff port map ( Q=>reg_46_q_c_6, QB=>OPEN, D=>nx6482, CLK =>CLK); ix6483 : xnor2 port map ( Y=>nx6482, A0=>nx9615, A1=>nx6480); ix9616 : aoi22 port map ( Y=>nx9615, A0=>nx5422, A1=>reg_23_q_c_5, B0=> nx5336, B1=>nx5424); ix6481 : xnor2 port map ( Y=>nx6480, A0=>reg_23_q_c_6, A1=>nx9623); ix9624 : mux21 port map ( Y=>nx9623, A0=>reg_9_q_c_6, A1=>PRI_IN_5(6), S0 =>C_MUX2_17_SEL); REG_9_reg_q_6 : dff port map ( Q=>reg_9_q_c_6, QB=>OPEN, D=>nx6464, CLK=> CLK); ix6465 : xnor2 port map ( Y=>nx6464, A0=>nx9627, A1=>nx6462); ix9628 : aoi22 port map ( Y=>nx9627, A0=>nx9216, A1=>reg_31_q_c_5, B0=> nx5384, B1=>nx5406); REG_31_reg_q_6 : dff port map ( Q=>reg_31_q_c_6, QB=>OPEN, D=>nx6454, CLK =>CLK); ix6455 : xnor2 port map ( Y=>nx6454, A0=>nx9633, A1=>nx6452); ix9634 : aoi22 port map ( Y=>nx9633, A0=>nx9157, A1=>reg_33_q_c_5, B0=> nx5394, B1=>nx5396); REG_34_reg_q_6 : dff port map ( Q=>reg_34_q_c_6, QB=>nx9661, D=>nx6538, CLK=>CLK); ix6539 : xnor2 port map ( Y=>nx6538, A0=>nx9638, A1=>nx6536); ix9639 : aoi22 port map ( Y=>nx9638, A0=>nx9640, A1=>reg_41_q_c_5, B0=> nx5270, B1=>nx5480); ix6535 : ao21 port map ( Y=>nx6534, A0=>C_MUX2_22_SEL, A1=>PRI_IN_5(6), B0=>nx6530); ix6531 : nor02 port map ( Y=>nx6530, A0=>C_MUX2_22_SEL, A1=>nx9651); ix9652 : mux21 port map ( Y=>nx9651, A0=>reg_3_q_c_6, A1=>reg_2_q_c_6, S0 =>C_MUX2_2_SEL); REG_3_reg_q_6 : dff port map ( Q=>reg_3_q_c_6, QB=>OPEN, D=>nx6338, CLK=> CLK); ix6339 : xnor2 port map ( Y=>nx6338, A0=>nx9655, A1=>nx6336); ix9656 : aoi22 port map ( Y=>nx9655, A0=>nx6467, A1=>reg_12_q_c_5, B0=> nx5278, B1=>nx5280); ix6337 : xnor2 port map ( Y=>nx6336, A0=>reg_12_q_c_6, A1=>nx9513); ix6369 : nor02 port map ( Y=>nx6368, A0=>C_MUX2_14_SEL, A1=>nx9669); REG_47_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9710, D=>nx6814, CLK=>CLK ); ix6815 : xor2 port map ( Y=>nx6814, A0=>nx9676, A1=>nx9679); ix9677 : aoi22 port map ( Y=>nx9676, A0=>reg_36_q_c_5, A1=>PRI_IN_3(5), B0=>nx5754, B1=>nx5756); REG_36_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9709, D=>nx6280, CLK=>CLK ); ix6281 : ao21 port map ( Y=>nx6280, A0=>nx14785, A1=>nx6260, B0=>nx6278); ix6261 : xnor2 port map ( Y=>nx6260, A0=>nx9687, A1=>nx6258); ix9688 : aoi22 port map ( Y=>nx9687, A0=>nx9063, A1=>reg_37_q_c_5, B0=> nx4886, B1=>nx5202); REG_37_reg_q_6 : dff port map ( Q=>reg_37_q_c_6, QB=>OPEN, D=>nx5954, CLK =>CLK); ix5955 : xor2 port map ( Y=>nx5954, A0=>nx9693, A1=>nx9697); ix9694 : aoi22 port map ( Y=>nx9693, A0=>reg_13_q_c_5, A1=>reg_10_q_c_5, B0=>nx4894, B1=>nx4896); ix6279 : nor02 port map ( Y=>nx6278, A0=>nx14785, A1=>nx9701); ix9702 : xnor2 port map ( Y=>nx9701, A0=>nx9703, A1=>nx9707); ix9704 : aoi22 port map ( Y=>nx9703, A0=>reg_44_q_c_5, A1=>PRI_IN_7(5), B0=>nx5216, B1=>nx5218); REG_24_reg_q_6 : dff port map ( Q=>reg_24_q_c_6, QB=>nx9752, D=>nx6066, CLK=>CLK); ix6067 : xor2 port map ( Y=>nx6066, A0=>nx9724, A1=>nx9727); ix9725 : aoi22 port map ( Y=>nx9724, A0=>reg_48_q_c_5, A1=>PRI_IN_2(5), B0=>nx4968, B1=>nx5008); ix9728 : xnor2 port map ( Y=>nx9727, A0=>PRI_IN_2(6), A1=>reg_48_q_c_6); REG_48_reg_q_6 : dff port map ( Q=>reg_48_q_c_6, QB=>OPEN, D=>nx6056, CLK =>CLK); ix6057 : xor2 port map ( Y=>nx6056, A0=>nx9733, A1=>nx9737); ix9734 : aoi22 port map ( Y=>nx9733, A0=>nx6461, A1=>reg_50_q_c_5, B0=> nx4976, B1=>nx4998); ix9738 : xnor2 port map ( Y=>nx9737, A0=>reg_50_q_c_6, A1=>nx6469); REG_50_reg_q_6 : dff port map ( Q=>reg_50_q_c_6, QB=>OPEN, D=>nx6046, CLK =>CLK); ix6047 : xnor2 port map ( Y=>nx6046, A0=>nx9743, A1=>nx6044); ix9744 : aoi22 port map ( Y=>nx9743, A0=>nx9745, A1=>reg_5_q_c_5, B0=> nx4986, B1=>nx4988); ix9746 : inv02 port map ( Y=>nx9745, A=>PRI_IN_0(5)); ix6045 : xnor2 port map ( Y=>nx6044, A0=>PRI_IN_0(6), A1=>reg_5_q_c_6); ix7149 : mux21 port map ( Y=>PRI_OUT_9_7_EXMPLR, A0=>nx9759, A1=>nx16204, S0=>C_MUX2_13_SEL); ix9760 : mux21 port map ( Y=>nx9759, A0=>reg_22_q_c_7, A1=>reg_24_q_c_7, S0=>C_MUX2_15_SEL); REG_22_reg_q_7 : dff port map ( Q=>reg_22_q_c_7, QB=>OPEN, D=>nx7744, CLK =>CLK); ix7745 : xnor2 port map ( Y=>nx7744, A0=>nx6898, A1=>nx9765); ix6899 : oai22 port map ( Y=>nx6898, A0=>nx9259, A1=>nx9263, B0=>nx9561, B1=>nx9755); REG_33_reg_q_7 : dff port map ( Q=>reg_33_q_c_7, QB=>OPEN, D=>nx7734, CLK =>CLK); ix7735 : xnor2 port map ( Y=>nx7734, A0=>nx9769, A1=>nx7732); ix9770 : mux21 port map ( Y=>nx9769, A0=>nx9454, A1=>nx5852, S0=>nx6676); ix7669 : xnor2 port map ( Y=>nx7668, A0=>nx16069, A1=>nx9777); ix9778 : xnor2 port map ( Y=>nx9777, A0=>reg_43_q_c_7, A1=>nx16206); REG_43_reg_q_7 : dff port map ( Q=>reg_43_q_c_7, QB=>OPEN, D=>nx7658, CLK =>CLK); ix7659 : xnor2 port map ( Y=>nx7658, A0=>nx6924, A1=>nx9784); ix6925 : mux21 port map ( Y=>nx6924, A0=>nx9285, A1=>nx9713, S0=>nx9288); REG_14_reg_q_7 : dff port map ( Q=>PRI_OUT_1_7_EXMPLR, QB=>OPEN, D=> nx7648, CLK=>CLK); ix7649 : xnor2 port map ( Y=>nx7648, A0=>nx6932, A1=>nx9789); ix6933 : oai22 port map ( Y=>nx6932, A0=>nx9293, A1=>nx9297, B0=>nx9752, B1=>nx9721); ix9790 : xnor2 port map ( Y=>nx9789, A0=>reg_7_q_c_7, A1=>reg_24_q_c_7); REG_7_reg_q_7 : dff port map ( Q=>reg_7_q_c_7, QB=>OPEN, D=>nx7638, CLK=> CLK); ix7639 : xnor2 port map ( Y=>nx7638, A0=>nx6940, A1=>nx9799); ix6941 : oai22 port map ( Y=>nx6940, A0=>nx9303, A1=>nx9307, B0=>nx9720, B1=>nx9797); ix9798 : inv02 port map ( Y=>nx9797, A=>PRI_IN_6(6)); ix9800 : xnor2 port map ( Y=>nx9799, A0=>PRI_IN_6(7), A1=>reg_41_q_c_7); REG_41_reg_q_7 : dff port map ( Q=>reg_41_q_c_7, QB=>OPEN, D=>nx7628, CLK =>CLK); ix7629 : xnor2 port map ( Y=>nx7628, A0=>nx6948, A1=>nx9811); ix6949 : mux21 port map ( Y=>nx6948, A0=>nx9313, A1=>nx9807, S0=>nx9321); ix9812 : xnor2 port map ( Y=>nx9811, A0=>PRI_IN_1(7), A1=>nx15490); REG_5_reg_q_7 : dff port map ( Q=>reg_5_q_c_7, QB=>OPEN, D=>nx7604, CLK=> CLK); ix7605 : xnor2 port map ( Y=>nx7604, A0=>nx6958, A1=>nx9819); ix6959 : oai22 port map ( Y=>nx6958, A0=>nx9331, A1=>nx9335, B0=>nx9661, B1=>nx9718); REG_26_reg_q_7 : dff port map ( Q=>reg_26_q_c_7, QB=>OPEN, D=>nx7366, CLK =>CLK); ix7367 : xor2 port map ( Y=>nx7366, A0=>nx6968, A1=>nx7364); ix6969 : mux21 port map ( Y=>nx6968, A0=>reg_29_q_c_6, A1=>nx9339, S0=> nx6308); REG_29_reg_q_7 : dff port map ( Q=>OPEN, QB=>nx10184, D=>nx7356, CLK=>CLK ); ix7357 : xor2 port map ( Y=>nx7356, A0=>nx6978, A1=>nx7354); ix6979 : oai22 port map ( Y=>nx6978, A0=>nx9345, A1=>nx9829, B0=> PRI_IN_4(6), B1=>nx9715); REG_30_reg_q_7 : dff port map ( Q=>reg_30_q_c_7, QB=>nx10183, D=>nx7346, CLK=>CLK); ix7347 : xor2 port map ( Y=>nx7346, A0=>nx6988, A1=>nx7344); REG_25_reg_q_7 : dff port map ( Q=>reg_25_q_c_7, QB=>nx10182, D=>nx7764, CLK=>CLK); ix7765 : xor2 port map ( Y=>nx7764, A0=>nx9845, A1=>nx9851); ix9846 : aoi22 port map ( Y=>nx9845, A0=>nx9451, A1=>reg_15_q_c_6, B0=> nx5826, B1=>nx6706); REG_15_reg_q_7 : dff port map ( Q=>reg_15_q_c_7, QB=>nx10181, D=>nx7754, CLK=>CLK); ix7755 : xnor2 port map ( Y=>nx7754, A0=>nx6890, A1=>nx9857); ix6891 : mux21 port map ( Y=>nx6890, A0=>nx9369, A1=>nx9756, S0=>nx9373); ix9858 : xnor2 port map ( Y=>nx9857, A0=>PRI_OUT_8_7_EXMPLR, A1=> reg_22_q_c_7); REG_21_reg_q_7 : dff port map ( Q=>PRI_OUT_8_7_EXMPLR, QB=>OPEN, D=> nx7880, CLK=>CLK); ix7881 : xnor2 port map ( Y=>nx7880, A0=>nx6838, A1=>nx9862); ix6839 : oai22 port map ( Y=>nx6838, A0=>nx9377, A1=>nx9381, B0=>nx9710, B1=>nx9673); ix9863 : xnor2 port map ( Y=>nx9862, A0=>reg_44_q_c_7, A1=>reg_47_q_c_7); REG_44_reg_q_7 : dff port map ( Q=>reg_44_q_c_7, QB=>OPEN, D=>nx7852, CLK =>CLK); ix7853 : xor2 port map ( Y=>nx7852, A0=>nx6848, A1=>nx7850); ix6849 : mux21 port map ( Y=>nx6848, A0=>reg_1_q_c_6, A1=>nx9386, S0=> nx6794); ix7823 : xor2 port map ( Y=>nx7822, A0=>nx16142, A1=>nx9875); REG_4_reg_q_7 : dff port map ( Q=>reg_4_q_c_7, QB=>OPEN, D=>nx7808, CLK=> CLK); ix7809 : xor2 port map ( Y=>nx7808, A0=>nx7804, A1=>nx7806); ix7805 : oai22 port map ( Y=>nx7804, A0=>nx9401, A1=>nx9882, B0=>nx9565, B1=>nx9569); ix7807 : xnor2 port map ( Y=>nx7806, A0=>reg_45_q_c_7, A1=>nx15491); REG_45_reg_q_7 : dff port map ( Q=>reg_45_q_c_7, QB=>OPEN, D=>nx7470, CLK =>CLK); ix7471 : xnor2 port map ( Y=>nx7470, A0=>nx7466, A1=>nx9893); ix7467 : mux21 port map ( Y=>nx7466, A0=>nx9411, A1=>nx6470, S0=>nx9413); ix9894 : xnor2 port map ( Y=>nx9893, A0=>nx9895, A1=>nx6481); ix9896 : mux21 port map ( Y=>nx9895, A0=>PRI_IN_8(7), A1=>reg_12_q_c_7, S0=>C_MUX2_7_SEL); REG_12_reg_q_7 : dff port map ( Q=>reg_12_q_c_7, QB=>OPEN, D=>nx7242, CLK =>CLK); ix7243 : xnor2 port map ( Y=>nx7242, A0=>nx7200, A1=>nx9901); ix7201 : mux21 port map ( Y=>nx7200, A0=>nx9421, A1=>nx6473, S0=>nx9424); ix9902 : xnor2 port map ( Y=>nx9901, A0=>reg_28_q_c_7, A1=>nx15491); REG_28_reg_q_7 : dff port map ( Q=>reg_28_q_c_7, QB=>OPEN, D=>nx7232, CLK =>CLK); ix7233 : xnor2 port map ( Y=>nx7232, A0=>nx7208, A1=>nx9909); ix7209 : mux21 port map ( Y=>nx7208, A0=>nx9429, A1=>nx9807, S0=>nx9433); ix9910 : xnor2 port map ( Y=>nx9909, A0=>PRI_OUT_3_7_EXMPLR, A1=>nx15490 ); REG_17_reg_q_7 : dff port map ( Q=>PRI_OUT_3_7_EXMPLR, QB=>OPEN, D=> nx7222, CLK=>CLK); ix7223 : xor2 port map ( Y=>nx7222, A0=>nx7218, A1=>nx7220); ix7219 : mux21 port map ( Y=>nx7218, A0=>reg_38_q_c_6, A1=>nx9439, S0=> nx6164); REG_38_reg_q_7 : dff port map ( Q=>reg_38_q_c_7, QB=>nx10023, D=>nx7306, CLK=>CLK); ix7307 : xor2 port map ( Y=>nx7306, A0=>nx9923, A1=>nx9926); ix9924 : aoi22 port map ( Y=>nx9923, A0=>nx9451, A1=>PRI_IN_4(6), B0=> nx5970, B1=>nx6248); ix9927 : xnor2 port map ( Y=>nx9926, A0=>PRI_IN_4(7), A1=>nx9929); ix9930 : mux21 port map ( Y=>nx9929, A0=>reg_15_q_c_7, A1=>nx6479, S0=> C_MUX2_11_SEL); ix7299 : mux21 port map ( Y=>nx6479, A0=>nx9933, A1=>nx9935, S0=> C_MUX2_4_SEL); REG_13_reg_q_7 : dff port map ( Q=>reg_13_q_c_7, QB=>nx9933, D=>nx7668, CLK=>CLK); ix9936 : mux21 port map ( Y=>nx9935, A0=>reg_16_q_c_7, A1=> PRI_OUT_1_7_EXMPLR, S0=>C_MUX2_9_SEL); REG_16_reg_q_7 : dff port map ( Q=>reg_16_q_c_7, QB=>OPEN, D=>nx7280, CLK =>CLK); ix7281 : xor2 port map ( Y=>nx7280, A0=>nx7044, A1=>nx7278); ix7045 : mux21 port map ( Y=>nx7044, A0=>reg_25_q_c_6, A1=>nx9462, S0=> nx6222); REG_42_reg_q_7 : dff port map ( Q=>reg_42_q_c_7, QB=>OPEN, D=>nx7270, CLK =>CLK); ix7271 : xnor2 port map ( Y=>nx7270, A0=>nx7052, A1=>nx9945); ix7053 : oai22 port map ( Y=>nx7052, A0=>nx9467, A1=>nx9471, B0=>nx9555, B1=>nx9756); ix9946 : xnor2 port map ( Y=>nx9945, A0=>reg_22_q_c_7, A1=>reg_39_q_c_7); REG_39_reg_q_7 : dff port map ( Q=>reg_39_q_c_7, QB=>OPEN, D=>nx7260, CLK =>CLK); ix7261 : xor2 port map ( Y=>nx7260, A0=>nx7060, A1=>nx7258); ix7061 : oai22 port map ( Y=>nx7060, A0=>nx9475, A1=>nx9953, B0=>nx9482, B1=>nx9555); ix7259 : xnor2 port map ( Y=>nx7258, A0=>reg_39_q_c_7, A1=>nx9957); ix9958 : mux21 port map ( Y=>nx9957, A0=>PRI_OUT_4_7_EXMPLR, A1=>nx7252, S0=>C_MUX2_5_SEL); REG_6_reg_q_7 : dff port map ( Q=>reg_6_q_c_7, QB=>OPEN, D=>nx7168, CLK=> CLK); ix7169 : xor2 port map ( Y=>nx7168, A0=>nx9963, A1=>nx9968); ix9964 : mux21 port map ( Y=>nx9963, A0=>nx6012, A1=>PRI_OUT_9_6_EXMPLR, S0=>nx9493); ix9969 : xnor2 port map ( Y=>nx9968, A0=>PRI_OUT_9_7_EXMPLR, A1=>nx6481); ix7157 : ao21 port map ( Y=>nx6481, A0=>C_MUX2_18_SEL, A1=>reg_39_q_c_7, B0=>nx7152); ix7153 : nor02 port map ( Y=>nx7152, A0=>C_MUX2_18_SEL, A1=>nx9972); ix7779 : xor2 port map ( Y=>nx7778, A0=>nx9981, A1=>nx9983); ix9982 : mux21 port map ( Y=>nx9981, A0=>nx5812, A1=>nx9513, S0=>nx9511); ix9984 : xnor2 port map ( Y=>nx9983, A0=>nx9985, A1=>nx7730); ix9986 : mux21 port map ( Y=>nx9985, A0=>PRI_IN_6(7), A1=>reg_25_q_c_7, S0=>C_MUX2_24_SEL); ix7731 : ao21 port map ( Y=>nx7730, A0=>C_MUX2_19_SEL, A1=>reg_23_q_c_7, B0=>nx7726); REG_23_reg_q_7 : dff port map ( Q=>reg_23_q_c_7, QB=>OPEN, D=>nx7480, CLK =>CLK); ix7481 : xnor2 port map ( Y=>nx7480, A0=>nx7456, A1=>nx9993); ix7457 : oai22 port map ( Y=>nx7456, A0=>nx9519, A1=>nx9523, B0=>nx9569, B1=>nx9991); ix9992 : inv02 port map ( Y=>nx9991, A=>PRI_IN_7(6)); ix9994 : xnor2 port map ( Y=>nx9993, A0=>PRI_IN_7(7), A1=>reg_45_q_c_7); ix7727 : nor02 port map ( Y=>nx7726, A0=>C_MUX2_19_SEL, A1=>nx9997); ix9998 : mux21 port map ( Y=>nx9997, A0=>reg_26_q_c_7, A1=>reg_27_q_c_7, S0=>C_MUX2_12_SEL); REG_27_reg_q_7 : dff port map ( Q=>reg_27_q_c_7, QB=>nx10015, D=>nx7708, CLK=>CLK); ix7709 : xnor2 port map ( Y=>nx7708, A0=>nx7684, A1=>nx10003); ix7685 : mux21 port map ( Y=>nx7684, A0=>nx9533, A1=>reg_32_q_c_6, S0=> nx9535); REG_32_reg_q_7 : dff port map ( Q=>OPEN, QB=>nx10013, D=>nx7698, CLK=>CLK ); ix7699 : xor2 port map ( Y=>nx7698, A0=>nx10009, A1=>nx10011); ix10010 : mux21 port map ( Y=>nx10009, A0=>nx6638, A1=>nx9253, S0=>nx9547 ); ix10012 : xnor2 port map ( Y=>nx10011, A0=>nx9759, A1=>nx6481); ix7797 : nor02 port map ( Y=>nx7796, A0=>C_MUX2_3_SEL, A1=>nx10028); ix10038 : mux21 port map ( Y=>nx10037, A0=>reg_26_q_c_7, A1=>PRI_IN_6(7), S0=>C_MUX2_23_SEL); REG_20_reg_q_7 : dff port map ( Q=>reg_20_q_c_7, QB=>nx10140, D=>nx7842, CLK=>CLK); ix7843 : xor2 port map ( Y=>nx7842, A0=>nx7838, A1=>nx7840); ix7839 : mux21 port map ( Y=>nx7838, A0=>reg_2_q_c_6, A1=>nx9579, S0=> nx6784); ix7573 : xnor2 port map ( Y=>nx7572, A0=>nx7412, A1=>nx10055); ix10056 : xnor2 port map ( Y=>nx10055, A0=>PRI_IN_2(7), A1=> PRI_OUT_0_7_EXMPLR); ix7569 : ao21 port map ( Y=>PRI_OUT_0_7_EXMPLR, A0=>C_MUX2_14_SEL, A1=> reg_8_q_c_7, B0=>nx7424); REG_8_reg_q_7 : dff port map ( Q=>reg_8_q_c_7, QB=>OPEN, D=>nx7558, CLK=> CLK); ix7559 : xnor2 port map ( Y=>nx7558, A0=>nx7432, A1=>nx10065); ix7433 : oai22 port map ( Y=>nx7432, A0=>nx9596, A1=>nx9599, B0=>nx9665, B1=>nx9666); ix10066 : xnor2 port map ( Y=>nx10065, A0=>reg_8_q_c_7, A1=>reg_49_q_c_7 ); REG_49_reg_q_7 : dff port map ( Q=>reg_49_q_c_7, QB=>OPEN, D=>nx7548, CLK =>CLK); ix7549 : xnor2 port map ( Y=>nx7548, A0=>nx7440, A1=>nx10075); ix10076 : xnor2 port map ( Y=>nx10075, A0=>reg_46_q_c_7, A1=> PRI_OUT_4_7_EXMPLR); REG_46_reg_q_7 : dff port map ( Q=>reg_46_q_c_7, QB=>OPEN, D=>nx7538, CLK =>CLK); ix7539 : xor2 port map ( Y=>nx7538, A0=>nx7448, A1=>nx7536); ix7449 : mux21 port map ( Y=>nx7448, A0=>nx9623, A1=>nx9615, S0=>nx6480); ix7537 : xnor2 port map ( Y=>nx7536, A0=>reg_23_q_c_7, A1=>nx10085); ix10086 : mux21 port map ( Y=>nx10085, A0=>reg_9_q_c_7, A1=>PRI_IN_5(7), S0=>C_MUX2_17_SEL); REG_9_reg_q_7 : dff port map ( Q=>reg_9_q_c_7, QB=>OPEN, D=>nx7520, CLK=> CLK); ix7521 : xor2 port map ( Y=>nx7520, A0=>nx7496, A1=>nx7518); ix7497 : mux21 port map ( Y=>nx7496, A0=>reg_30_q_c_6, A1=>nx9627, S0=> nx6462); REG_31_reg_q_7 : dff port map ( Q=>reg_31_q_c_7, QB=>OPEN, D=>nx7510, CLK =>CLK); ix7511 : xor2 port map ( Y=>nx7510, A0=>nx7506, A1=>nx7508); ix7507 : mux21 port map ( Y=>nx7506, A0=>reg_34_q_c_6, A1=>nx9633, S0=> nx6452); REG_34_reg_q_7 : dff port map ( Q=>reg_34_q_c_7, QB=>nx10128, D=>nx7594, CLK=>CLK); ix7595 : xor2 port map ( Y=>nx7594, A0=>nx7382, A1=>nx7592); ix7383 : oai22 port map ( Y=>nx7382, A0=>nx9638, A1=>nx10109, B0=>nx6534, B1=>nx9720); ix7593 : xnor2 port map ( Y=>nx7592, A0=>reg_41_q_c_7, A1=>nx7590); ix7591 : ao21 port map ( Y=>nx7590, A0=>C_MUX2_22_SEL, A1=>PRI_IN_5(7), B0=>nx7586); ix7587 : nor02 port map ( Y=>nx7586, A0=>C_MUX2_22_SEL, A1=>nx10117); ix10118 : mux21 port map ( Y=>nx10117, A0=>reg_3_q_c_7, A1=>reg_2_q_c_7, S0=>C_MUX2_2_SEL); REG_3_reg_q_7 : dff port map ( Q=>reg_3_q_c_7, QB=>OPEN, D=>nx7394, CLK=> CLK); ix7395 : xor2 port map ( Y=>nx7394, A0=>nx7390, A1=>nx7392); ix7391 : mux21 port map ( Y=>nx7390, A0=>nx9513, A1=>nx9655, S0=>nx6336); ix7393 : xnor2 port map ( Y=>nx7392, A0=>reg_12_q_c_7, A1=>nx9985); ix7425 : nor02 port map ( Y=>nx7424, A0=>C_MUX2_14_SEL, A1=>nx10137); REG_47_reg_q_7 : dff port map ( Q=>reg_47_q_c_7, QB=>OPEN, D=>nx7870, CLK =>CLK); ix7871 : xnor2 port map ( Y=>nx7870, A0=>nx7866, A1=>nx10147); ix7867 : mux21 port map ( Y=>nx7866, A0=>nx9676, A1=>nx9709, S0=>nx9679); REG_36_reg_q_7 : dff port map ( Q=>reg_36_q_c_7, QB=>nx10177, D=>nx7336, CLK=>CLK); ix7337 : ao21 port map ( Y=>nx7336, A0=>C_MUX2_1_SEL, A1=>nx7332, B0=> nx7320); ix7333 : xnor2 port map ( Y=>nx7332, A0=>nx7328, A1=>nx10157); ix7329 : oai22 port map ( Y=>nx7328, A0=>nx9703, A1=>nx9707, B0=>nx9673, B1=>nx9991); ix10158 : xnor2 port map ( Y=>nx10157, A0=>PRI_IN_7(7), A1=>reg_44_q_c_7 ); ix7321 : nor02 port map ( Y=>nx7320, A0=>C_MUX2_1_SEL, A1=>nx10161); ix10162 : xnor2 port map ( Y=>nx10161, A0=>nx6998, A1=>nx7314); ix6999 : mux21 port map ( Y=>nx6998, A0=>reg_38_q_c_6, A1=>nx9687, S0=> nx6258); REG_37_reg_q_7 : dff port map ( Q=>reg_37_q_c_7, QB=>OPEN, D=>nx7010, CLK =>CLK); ix7011 : xnor2 port map ( Y=>nx7010, A0=>nx7006, A1=>nx10173); ix7007 : oai22 port map ( Y=>nx7006, A0=>nx9693, A1=>nx9697, B0=>nx9454, B1=>nx9503); REG_24_reg_q_7 : dff port map ( Q=>reg_24_q_c_7, QB=>OPEN, D=>nx7122, CLK =>CLK); ix7123 : xnor2 port map ( Y=>nx7122, A0=>nx7080, A1=>nx10197); ix7081 : ao21 port map ( Y=>nx7080, A0=>reg_48_q_c_6, A1=>PRI_IN_2(6), B0 =>nx7078); ix7079 : nor02 port map ( Y=>nx7078, A0=>nx9724, A1=>nx9727); ix10198 : xnor2 port map ( Y=>nx10197, A0=>PRI_IN_2(7), A1=>reg_48_q_c_7 ); REG_48_reg_q_7 : dff port map ( Q=>reg_48_q_c_7, QB=>OPEN, D=>nx7112, CLK =>CLK); ix7113 : xnor2 port map ( Y=>nx7112, A0=>nx7088, A1=>nx10205); ix7089 : ao21 port map ( Y=>nx7088, A0=>nx6469, A1=>reg_50_q_c_6, B0=> nx7086); ix7087 : nor02 port map ( Y=>nx7086, A0=>nx9733, A1=>nx9737); ix10206 : xnor2 port map ( Y=>nx10205, A0=>reg_50_q_c_7, A1=>nx6479); REG_50_reg_q_7 : dff port map ( Q=>reg_50_q_c_7, QB=>OPEN, D=>nx7102, CLK =>CLK); ix7103 : xor2 port map ( Y=>nx7102, A0=>nx7098, A1=>nx7100); ix7099 : mux21 port map ( Y=>nx7098, A0=>PRI_IN_0(6), A1=>nx9743, S0=> nx6044); ix7101 : xnor2 port map ( Y=>nx7100, A0=>PRI_IN_0(7), A1=>reg_5_q_c_7); ix8205 : mux21 port map ( Y=>PRI_OUT_9_8_EXMPLR, A0=>nx10227, A1=>nx10543, S0=>C_MUX2_13_SEL); ix10228 : mux21 port map ( Y=>nx10227, A0=>reg_22_q_c_8, A1=>reg_24_q_c_8, S0=>C_MUX2_15_SEL); REG_22_reg_q_8 : dff port map ( Q=>reg_22_q_c_8, QB=>nx10733, D=>nx8800, CLK=>CLK); ix8801 : xor2 port map ( Y=>nx8800, A0=>nx10233, A1=>nx10237); ix10234 : aoi22 port map ( Y=>nx10233, A0=>reg_38_q_c_7, A1=>reg_33_q_c_7, B0=>nx6898, B1=>nx7742); REG_33_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10732, D=>nx8790, CLK=>CLK ); ix8791 : xor2 port map ( Y=>nx8790, A0=>nx7964, A1=>nx8788); ix7965 : mux21 port map ( Y=>nx7964, A0=>reg_13_q_c_7, A1=>nx9769, S0=> nx7732); ix8725 : xor2 port map ( Y=>nx8724, A0=>nx10249, A1=>nx10253); ix10254 : xnor2 port map ( Y=>nx10253, A0=>reg_43_q_c_8, A1=>nx6494); REG_43_reg_q_8 : dff port map ( Q=>reg_43_q_c_8, QB=>OPEN, D=>nx8714, CLK =>CLK); ix8715 : xor2 port map ( Y=>nx8714, A0=>nx10259, A1=>nx10263); ix10260 : aoi22 port map ( Y=>nx10259, A0=>reg_25_q_c_7, A1=> PRI_OUT_1_7_EXMPLR, B0=>nx6924, B1=>nx7656); REG_14_reg_q_8 : dff port map ( Q=>PRI_OUT_1_8_EXMPLR, QB=>OPEN, D=> nx8704, CLK=>CLK); ix8705 : xor2 port map ( Y=>nx8704, A0=>nx10269, A1=>nx10273); ix10270 : aoi22 port map ( Y=>nx10269, A0=>reg_24_q_c_7, A1=>reg_7_q_c_7, B0=>nx6932, B1=>nx7646); REG_7_reg_q_8 : dff port map ( Q=>reg_7_q_c_8, QB=>nx10701, D=>nx8694, CLK=>CLK); ix8695 : xor2 port map ( Y=>nx8694, A0=>nx10277, A1=>nx10281); ix10278 : aoi22 port map ( Y=>nx10277, A0=>reg_41_q_c_7, A1=>PRI_IN_6(7), B0=>nx6940, B1=>nx7636); REG_41_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10699, D=>nx8684, CLK=>CLK ); ix8685 : xor2 port map ( Y=>nx8684, A0=>nx10285, A1=>nx10293); ix10286 : aoi22 port map ( Y=>nx10285, A0=>nx15490, A1=>PRI_IN_1(7), B0=> nx6948, B1=>nx7626); ix10294 : xnor2 port map ( Y=>nx10293, A0=>PRI_IN_1(8), A1=>nx15495); REG_5_reg_q_8 : dff port map ( Q=>reg_5_q_c_8, QB=>OPEN, D=>nx8660, CLK=> CLK); ix8661 : xor2 port map ( Y=>nx8660, A0=>nx10301, A1=>nx10305); ix10302 : aoi22 port map ( Y=>nx10301, A0=>reg_34_q_c_7, A1=>reg_26_q_c_7, B0=>nx6958, B1=>nx7602); REG_26_reg_q_8 : dff port map ( Q=>reg_26_q_c_8, QB=>nx10696, D=>nx8422, CLK=>CLK); ix8423 : xnor2 port map ( Y=>nx8422, A0=>nx10309, A1=>nx8420); ix10310 : aoi22 port map ( Y=>nx10309, A0=>nx10184, A1=>PRI_IN_5(7), B0=> nx6968, B1=>nx7364); ix8421 : xnor2 port map ( Y=>nx8420, A0=>PRI_IN_5(8), A1=>reg_29_q_c_8); REG_29_reg_q_8 : dff port map ( Q=>reg_29_q_c_8, QB=>OPEN, D=>nx8412, CLK =>CLK); ix8413 : xnor2 port map ( Y=>nx8412, A0=>nx10317, A1=>nx8410); ix10318 : aoi22 port map ( Y=>nx10317, A0=>nx10319, A1=>reg_30_q_c_7, B0 =>nx6978, B1=>nx7354); ix10320 : inv02 port map ( Y=>nx10319, A=>PRI_IN_4(7)); REG_30_reg_q_8 : dff port map ( Q=>reg_30_q_c_8, QB=>nx10694, D=>nx8402, CLK=>CLK); ix8403 : xnor2 port map ( Y=>nx8402, A0=>nx10327, A1=>nx8400); ix10328 : aoi22 port map ( Y=>nx10327, A0=>nx10177, A1=>reg_25_q_c_7, B0 =>nx6988, B1=>nx7344); REG_25_reg_q_8 : dff port map ( Q=>reg_25_q_c_8, QB=>nx10693, D=>nx8820, CLK=>CLK); ix8821 : xnor2 port map ( Y=>nx8820, A0=>nx7938, A1=>nx10340); ix7939 : oai22 port map ( Y=>nx7938, A0=>nx9845, A1=>nx9851, B0=>nx7302, B1=>nx10181); ix10341 : xnor2 port map ( Y=>nx10340, A0=>reg_15_q_c_8, A1=>nx10431); REG_15_reg_q_8 : dff port map ( Q=>reg_15_q_c_8, QB=>OPEN, D=>nx8810, CLK =>CLK); ix8811 : xor2 port map ( Y=>nx8810, A0=>nx10344, A1=>nx10347); ix10345 : aoi22 port map ( Y=>nx10344, A0=>reg_22_q_c_7, A1=> PRI_OUT_8_7_EXMPLR, B0=>nx6890, B1=>nx7752); REG_21_reg_q_8 : dff port map ( Q=>PRI_OUT_8_8_EXMPLR, QB=>OPEN, D=> nx8936, CLK=>CLK); ix8937 : xor2 port map ( Y=>nx8936, A0=>nx10353, A1=>nx10357); ix10354 : aoi22 port map ( Y=>nx10353, A0=>reg_47_q_c_7, A1=>reg_44_q_c_7, B0=>nx6838, B1=>nx7878); REG_44_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10651, D=>nx8908, CLK=>CLK ); ix8909 : xnor2 port map ( Y=>nx8908, A0=>nx10363, A1=>nx8906); ix10364 : aoi22 port map ( Y=>nx10363, A0=>nx10039, A1=>reg_20_q_c_7, B0 =>nx6848, B1=>nx7850); REG_1_reg_q_8 : dff port map ( Q=>reg_1_q_c_8, QB=>OPEN, D=>nx8878, CLK=> CLK); ix8879 : xnor2 port map ( Y=>nx8878, A0=>nx7914, A1=>nx16141); REG_4_reg_q_8 : dff port map ( Q=>reg_4_q_c_8, QB=>OPEN, D=>nx8864, CLK=> CLK); ix8865 : xnor2 port map ( Y=>nx8864, A0=>nx10383, A1=>nx8862); ix10384 : aoi22 port map ( Y=>nx10383, A0=>nx6484, A1=>reg_45_q_c_7, B0=> nx7804, B1=>nx7806); REG_45_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10540, D=>nx8526, CLK=>CLK ); ix8527 : xor2 port map ( Y=>nx8526, A0=>nx10393, A1=>nx10395); ix10394 : mux21 port map ( Y=>nx10393, A0=>nx7466, A1=>nx9972, S0=>nx9893 ); ix10396 : xnor2 port map ( Y=>nx10395, A0=>nx10397, A1=>nx6488); ix8299 : xor2 port map ( Y=>nx8298, A0=>nx10403, A1=>nx10406); ix10404 : aoi22 port map ( Y=>nx10403, A0=>nx15491, A1=>reg_28_q_c_7, B0 =>nx7200, B1=>nx7240); ix10407 : xnor2 port map ( Y=>nx10406, A0=>reg_28_q_c_8, A1=>nx15493); REG_28_reg_q_8 : dff port map ( Q=>reg_28_q_c_8, QB=>OPEN, D=>nx8288, CLK =>CLK); ix8289 : xor2 port map ( Y=>nx8288, A0=>nx10411, A1=>nx10414); ix10412 : aoi22 port map ( Y=>nx10411, A0=>nx15490, A1=> PRI_OUT_3_7_EXMPLR, B0=>nx7208, B1=>nx7230); ix10415 : xnor2 port map ( Y=>nx10414, A0=>PRI_OUT_3_8_EXMPLR, A1=> nx15495); REG_17_reg_q_8 : dff port map ( Q=>PRI_OUT_3_8_EXMPLR, QB=>OPEN, D=> nx8278, CLK=>CLK); ix8279 : xnor2 port map ( Y=>nx8278, A0=>nx10419, A1=>nx8276); ix10420 : aoi22 port map ( Y=>nx10419, A0=>nx10023, A1=>PRI_IN_0(7), B0=> nx7218, B1=>nx7220); REG_38_reg_q_8 : dff port map ( Q=>reg_38_q_c_8, QB=>nx10533, D=>nx8362, CLK=>CLK); ix8363 : xnor2 port map ( Y=>nx8362, A0=>nx8082, A1=>nx10429); ix8083 : oai22 port map ( Y=>nx8082, A0=>nx9923, A1=>nx9926, B0=>nx7302, B1=>nx10319); ix10430 : xnor2 port map ( Y=>nx10429, A0=>PRI_IN_4(8), A1=>nx10431); ix10432 : mux21 port map ( Y=>nx10431, A0=>reg_15_q_c_8, A1=>nx6487, S0=> C_MUX2_11_SEL); ix8355 : mux21 port map ( Y=>nx6487, A0=>nx10434, A1=>nx10437, S0=> C_MUX2_4_SEL); REG_13_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10434, D=>nx8724, CLK=>CLK ); ix10438 : mux21 port map ( Y=>nx10437, A0=>reg_16_q_c_8, A1=> PRI_OUT_1_8_EXMPLR, S0=>C_MUX2_9_SEL); REG_16_reg_q_8 : dff port map ( Q=>reg_16_q_c_8, QB=>OPEN, D=>nx8336, CLK =>CLK); ix8337 : xnor2 port map ( Y=>nx8336, A0=>nx10442, A1=>nx8334); ix10443 : aoi22 port map ( Y=>nx10442, A0=>nx10182, A1=>reg_42_q_c_7, B0 =>nx7044, B1=>nx7278); REG_42_reg_q_8 : dff port map ( Q=>reg_42_q_c_8, QB=>OPEN, D=>nx8326, CLK =>CLK); ix8327 : xor2 port map ( Y=>nx8326, A0=>nx10447, A1=>nx10451); ix10448 : aoi22 port map ( Y=>nx10447, A0=>reg_39_q_c_7, A1=>reg_22_q_c_7, B0=>nx7052, B1=>nx7268); REG_39_reg_q_8 : dff port map ( Q=>reg_39_q_c_8, QB=>nx10529, D=>nx8316, CLK=>CLK); ix8317 : xnor2 port map ( Y=>nx8316, A0=>nx10455, A1=>nx8314); ix10456 : aoi22 port map ( Y=>nx10455, A0=>nx7256, A1=>reg_39_q_c_7, B0=> nx7060, B1=>nx7258); ix10462 : mux21 port map ( Y=>nx10461, A0=>PRI_OUT_4_8_EXMPLR, A1=>nx8308, S0=>C_MUX2_5_SEL); ix8239 : ao21 port map ( Y=>PRI_OUT_4_8_EXMPLR, A0=>nx14776, A1=> reg_6_q_c_8, B0=>nx8236); REG_6_reg_q_8 : dff port map ( Q=>reg_6_q_c_8, QB=>OPEN, D=>nx8224, CLK=> CLK); ix8225 : xor2 port map ( Y=>nx8224, A0=>nx10469, A1=>nx10475); ix10470 : mux21 port map ( Y=>nx10469, A0=>nx7068, A1=>PRI_OUT_9_7_EXMPLR, S0=>nx9968); ix10476 : xnor2 port map ( Y=>nx10475, A0=>PRI_OUT_9_8_EXMPLR, A1=>nx6488 ); ix8213 : ao21 port map ( Y=>nx6488, A0=>C_MUX2_18_SEL, A1=>reg_39_q_c_8, B0=>nx8208); ix8209 : nor02 port map ( Y=>nx8208, A0=>C_MUX2_18_SEL, A1=>nx10479); ix8835 : xnor2 port map ( Y=>nx8834, A0=>nx7924, A1=>nx10491); ix7925 : mux21 port map ( Y=>nx7924, A0=>nx9981, A1=>nx6485, S0=>nx9983); ix10492 : xnor2 port map ( Y=>nx10491, A0=>nx10493, A1=>nx8786); ix10494 : mux21 port map ( Y=>nx10493, A0=>PRI_IN_6(8), A1=>reg_25_q_c_8, S0=>C_MUX2_24_SEL); ix8787 : ao21 port map ( Y=>nx8786, A0=>C_MUX2_19_SEL, A1=>reg_23_q_c_8, B0=>nx8782); REG_23_reg_q_8 : dff port map ( Q=>reg_23_q_c_8, QB=>OPEN, D=>nx8536, CLK =>CLK); ix8537 : xor2 port map ( Y=>nx8536, A0=>nx10501, A1=>nx10505); ix10502 : aoi22 port map ( Y=>nx10501, A0=>reg_45_q_c_7, A1=>PRI_IN_7(7), B0=>nx7456, B1=>nx7478); ix8783 : nor02 port map ( Y=>nx8782, A0=>C_MUX2_19_SEL, A1=>nx10509); ix10510 : mux21 port map ( Y=>nx10509, A0=>reg_26_q_c_8, A1=>reg_27_q_c_8, S0=>C_MUX2_12_SEL); REG_27_reg_q_8 : dff port map ( Q=>reg_27_q_c_8, QB=>OPEN, D=>nx8764, CLK =>CLK); ix8765 : xor2 port map ( Y=>nx8764, A0=>nx10513, A1=>nx10515); ix10514 : mux21 port map ( Y=>nx10513, A0=>nx7684, A1=>nx10013, S0=> nx10003); ix10516 : xnor2 port map ( Y=>nx10515, A0=>reg_32_q_c_8, A1=>nx10397); REG_32_reg_q_8 : dff port map ( Q=>reg_32_q_c_8, QB=>OPEN, D=>nx8754, CLK =>CLK); ix8755 : xnor2 port map ( Y=>nx8754, A0=>nx8750, A1=>nx10523); ix8751 : mux21 port map ( Y=>nx8750, A0=>nx10009, A1=>nx7132, S0=>nx10011 ); ix10524 : xnor2 port map ( Y=>nx10523, A0=>nx10227, A1=>nx6488); ix8853 : nor02 port map ( Y=>nx8852, A0=>C_MUX2_3_SEL, A1=>nx10537); REG_20_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10650, D=>nx8898, CLK=>CLK ); ix8899 : xnor2 port map ( Y=>nx8898, A0=>nx10549, A1=>nx8896); ix10550 : aoi22 port map ( Y=>nx10549, A0=>nx10139, A1=>PRI_IN_4(7), B0=> nx7838, B1=>nx7840); ix8629 : xor2 port map ( Y=>nx8628, A0=>nx10557, A1=>nx10561); ix10562 : xnor2 port map ( Y=>nx10561, A0=>PRI_IN_2(8), A1=>nx15494); ix8615 : xor2 port map ( Y=>nx8614, A0=>nx10567, A1=>nx10570); ix10568 : aoi22 port map ( Y=>nx10567, A0=>reg_49_q_c_7, A1=>reg_8_q_c_7, B0=>nx7432, B1=>nx7556); REG_49_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10644, D=>nx8604, CLK=>CLK ); ix8605 : xor2 port map ( Y=>nx8604, A0=>nx10575, A1=>nx10579); REG_46_reg_q_8 : dff port map ( Q=>reg_46_q_c_8, QB=>OPEN, D=>nx8594, CLK =>CLK); ix8595 : xnor2 port map ( Y=>nx8594, A0=>nx10585, A1=>nx8592); ix10586 : aoi22 port map ( Y=>nx10585, A0=>nx7534, A1=>reg_23_q_c_7, B0=> nx7448, B1=>nx7536); ix8593 : xnor2 port map ( Y=>nx8592, A0=>reg_23_q_c_8, A1=>nx10593); ix10594 : mux21 port map ( Y=>nx10593, A0=>reg_9_q_c_8, A1=>PRI_IN_5(8), S0=>C_MUX2_17_SEL); REG_9_reg_q_8 : dff port map ( Q=>reg_9_q_c_8, QB=>OPEN, D=>nx8576, CLK=> CLK); ix8577 : xnor2 port map ( Y=>nx8576, A0=>nx10599, A1=>nx8574); ix10600 : aoi22 port map ( Y=>nx10599, A0=>nx10183, A1=>reg_31_q_c_7, B0 =>nx7496, B1=>nx7518); REG_31_reg_q_8 : dff port map ( Q=>reg_31_q_c_8, QB=>OPEN, D=>nx8566, CLK =>CLK); ix8567 : xnor2 port map ( Y=>nx8566, A0=>nx10607, A1=>nx8564); ix10608 : aoi22 port map ( Y=>nx10607, A0=>nx10128, A1=>reg_33_q_c_7, B0 =>nx7506, B1=>nx7508); REG_34_reg_q_8 : dff port map ( Q=>reg_34_q_c_8, QB=>nx10640, D=>nx8650, CLK=>CLK); ix8651 : xnor2 port map ( Y=>nx8650, A0=>nx10615, A1=>nx8648); ix10616 : aoi22 port map ( Y=>nx10615, A0=>nx10617, A1=>reg_41_q_c_7, B0 =>nx7382, B1=>nx7592); ix8647 : ao21 port map ( Y=>nx8646, A0=>C_MUX2_22_SEL, A1=>PRI_IN_5(8), B0=>nx8642); ix8643 : nor02 port map ( Y=>nx8642, A0=>C_MUX2_22_SEL, A1=>nx16033); REG_3_reg_q_8 : dff port map ( Q=>reg_3_q_c_8, QB=>OPEN, D=>nx8450, CLK=> CLK); ix8451 : xnor2 port map ( Y=>nx8450, A0=>nx10635, A1=>nx8448); ix10636 : aoi22 port map ( Y=>nx10635, A0=>nx6485, A1=>reg_12_q_c_7, B0=> nx7390, B1=>nx7392); ix8449 : xnor2 port map ( Y=>nx8448, A0=>reg_12_q_c_8, A1=>nx10493); REG_47_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10690, D=>nx8926, CLK=>CLK ); ix8927 : xor2 port map ( Y=>nx8926, A0=>nx10654, A1=>nx10657); ix10655 : aoi22 port map ( Y=>nx10654, A0=>reg_36_q_c_7, A1=>PRI_IN_3(7), B0=>nx7866, B1=>nx7868); REG_36_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10689, D=>nx8392, CLK=>CLK ); ix8393 : ao21 port map ( Y=>nx8392, A0=>nx14787, A1=>nx8372, B0=>nx8390); ix8373 : xnor2 port map ( Y=>nx8372, A0=>nx10665, A1=>nx8370); ix10666 : aoi22 port map ( Y=>nx10665, A0=>nx10023, A1=>reg_37_q_c_7, B0 =>nx6998, B1=>nx7314); REG_37_reg_q_8 : dff port map ( Q=>reg_37_q_c_8, QB=>OPEN, D=>nx8066, CLK =>CLK); ix8067 : xor2 port map ( Y=>nx8066, A0=>nx10673, A1=>nx10677); ix10674 : aoi22 port map ( Y=>nx10673, A0=>reg_13_q_c_7, A1=>reg_10_q_c_7, B0=>nx7006, B1=>nx7008); ix8391 : nor02 port map ( Y=>nx8390, A0=>nx14787, A1=>nx10681); ix10682 : xnor2 port map ( Y=>nx10681, A0=>nx10683, A1=>nx10687); ix10684 : aoi22 port map ( Y=>nx10683, A0=>reg_44_q_c_7, A1=>PRI_IN_7(7), B0=>nx7328, B1=>nx7330); REG_24_reg_q_8 : dff port map ( Q=>reg_24_q_c_8, QB=>nx10729, D=>nx8178, CLK=>CLK); ix8179 : xor2 port map ( Y=>nx8178, A0=>nx10705, A1=>nx10709); ix10706 : aoi22 port map ( Y=>nx10705, A0=>reg_48_q_c_7, A1=>PRI_IN_2(7), B0=>nx7080, B1=>nx7120); ix10710 : xnor2 port map ( Y=>nx10709, A0=>PRI_IN_2(8), A1=>reg_48_q_c_8 ); REG_48_reg_q_8 : dff port map ( Q=>reg_48_q_c_8, QB=>OPEN, D=>nx8168, CLK =>CLK); ix8169 : xor2 port map ( Y=>nx8168, A0=>nx10713, A1=>nx10717); ix10714 : aoi22 port map ( Y=>nx10713, A0=>nx6479, A1=>reg_50_q_c_7, B0=> nx7088, B1=>nx7110); ix10718 : xnor2 port map ( Y=>nx10717, A0=>reg_50_q_c_8, A1=>nx6487); REG_50_reg_q_8 : dff port map ( Q=>reg_50_q_c_8, QB=>OPEN, D=>nx8158, CLK =>CLK); ix8159 : xnor2 port map ( Y=>nx8158, A0=>nx10721, A1=>nx8156); ix10722 : aoi22 port map ( Y=>nx10721, A0=>nx10723, A1=>reg_5_q_c_7, B0=> nx7098, B1=>nx7100); ix10724 : inv02 port map ( Y=>nx10723, A=>PRI_IN_0(7)); ix8157 : xnor2 port map ( Y=>nx8156, A0=>PRI_IN_0(8), A1=>reg_5_q_c_8); ix10736 : mux21 port map ( Y=>nx10735, A0=>reg_22_q_c_9, A1=>reg_24_q_c_9, S0=>C_MUX2_15_SEL); REG_22_reg_q_9 : dff port map ( Q=>reg_22_q_c_9, QB=>OPEN, D=>nx9856, CLK =>CLK); ix9857 : xnor2 port map ( Y=>nx9856, A0=>nx9010, A1=>nx10743); ix9011 : oai22 port map ( Y=>nx9010, A0=>nx10233, A1=>nx10237, B0=> nx10533, B1=>nx10732); REG_33_reg_q_9 : dff port map ( Q=>reg_33_q_c_9, QB=>OPEN, D=>nx9846, CLK =>CLK); ix9847 : xnor2 port map ( Y=>nx9846, A0=>nx10747, A1=>nx9844); ix10748 : mux21 port map ( Y=>nx10747, A0=>nx10434, A1=>nx7964, S0=> nx8788); ix9781 : xnor2 port map ( Y=>nx9780, A0=>nx9028, A1=>nx10757); ix10758 : xnor2 port map ( Y=>nx10757, A0=>reg_43_q_c_9, A1=>nx6501); REG_43_reg_q_9 : dff port map ( Q=>reg_43_q_c_9, QB=>OPEN, D=>nx9770, CLK =>CLK); ix9771 : xnor2 port map ( Y=>nx9770, A0=>nx9036, A1=>nx10765); ix9037 : mux21 port map ( Y=>nx9036, A0=>nx10259, A1=>nx10693, S0=> nx10263); REG_14_reg_q_9 : dff port map ( Q=>PRI_OUT_1_9_EXMPLR, QB=>OPEN, D=> nx9760, CLK=>CLK); ix9761 : xnor2 port map ( Y=>nx9760, A0=>nx9044, A1=>nx10771); ix9045 : oai22 port map ( Y=>nx9044, A0=>nx10269, A1=>nx10273, B0=> nx10729, B1=>nx10701); ix10772 : xnor2 port map ( Y=>nx10771, A0=>reg_7_q_c_9, A1=>reg_24_q_c_9 ); REG_7_reg_q_9 : dff port map ( Q=>reg_7_q_c_9, QB=>OPEN, D=>nx9750, CLK=> CLK); ix9751 : xnor2 port map ( Y=>nx9750, A0=>nx9052, A1=>nx10779); ix9053 : oai22 port map ( Y=>nx9052, A0=>nx10277, A1=>nx10281, B0=> nx10699, B1=>nx10777); ix10778 : inv02 port map ( Y=>nx10777, A=>PRI_IN_6(8)); ix10780 : xnor2 port map ( Y=>nx10779, A0=>PRI_IN_6(9), A1=>reg_41_q_c_9 ); REG_41_reg_q_9 : dff port map ( Q=>reg_41_q_c_9, QB=>OPEN, D=>nx9740, CLK =>CLK); ix9741 : xnor2 port map ( Y=>nx9740, A0=>nx9060, A1=>nx10789); ix9061 : mux21 port map ( Y=>nx9060, A0=>nx10285, A1=>nx10785, S0=> nx10293); ix10790 : xnor2 port map ( Y=>nx10789, A0=>PRI_IN_1(9), A1=>nx6497); ix9737 : mux21 port map ( Y=>nx6497, A0=>nx10793, A1=>nx11091, S0=> C_MUX2_21_SEL); ix10794 : mux21 port map ( Y=>nx10793, A0=>reg_5_q_c_9, A1=>reg_7_q_c_9, S0=>C_MUX2_8_SEL); REG_5_reg_q_9 : dff port map ( Q=>reg_5_q_c_9, QB=>OPEN, D=>nx9716, CLK=> CLK); ix9717 : xnor2 port map ( Y=>nx9716, A0=>nx9070, A1=>nx10800); ix9071 : oai22 port map ( Y=>nx9070, A0=>nx10301, A1=>nx10305, B0=> nx10640, B1=>nx10696); REG_26_reg_q_9 : dff port map ( Q=>reg_26_q_c_9, QB=>OPEN, D=>nx9478, CLK =>CLK); ix9479 : xor2 port map ( Y=>nx9478, A0=>nx9080, A1=>nx9476); ix9081 : mux21 port map ( Y=>nx9080, A0=>reg_29_q_c_8, A1=>nx10309, S0=> nx8420); REG_29_reg_q_9 : dff port map ( Q=>OPEN, QB=>nx11139, D=>nx9468, CLK=>CLK ); ix9469 : xor2 port map ( Y=>nx9468, A0=>nx9090, A1=>nx9466); ix9091 : oai22 port map ( Y=>nx9090, A0=>nx10317, A1=>nx10811, B0=> PRI_IN_4(8), B1=>nx10694); REG_30_reg_q_9 : dff port map ( Q=>reg_30_q_c_9, QB=>nx11137, D=>nx9458, CLK=>CLK); ix9459 : xor2 port map ( Y=>nx9458, A0=>nx9100, A1=>nx9456); REG_25_reg_q_9 : dff port map ( Q=>reg_25_q_c_9, QB=>nx11135, D=>nx9876, CLK=>CLK); ix9877 : xor2 port map ( Y=>nx9876, A0=>nx10825, A1=>nx10831); ix10826 : aoi22 port map ( Y=>nx10825, A0=>nx10431, A1=>reg_15_q_c_8, B0 =>nx7938, B1=>nx8818); REG_15_reg_q_9 : dff port map ( Q=>reg_15_q_c_9, QB=>nx11134, D=>nx9866, CLK=>CLK); ix9867 : xnor2 port map ( Y=>nx9866, A0=>nx9002, A1=>nx10837); ix9003 : mux21 port map ( Y=>nx9002, A0=>nx10344, A1=>nx10733, S0=> nx10347); ix10838 : xnor2 port map ( Y=>nx10837, A0=>PRI_OUT_8_9_EXMPLR, A1=> reg_22_q_c_9); REG_21_reg_q_9 : dff port map ( Q=>PRI_OUT_8_9_EXMPLR, QB=>OPEN, D=> nx9992, CLK=>CLK); ix9993 : xnor2 port map ( Y=>nx9992, A0=>nx8950, A1=>nx10842); ix8951 : oai22 port map ( Y=>nx8950, A0=>nx10353, A1=>nx10357, B0=> nx10690, B1=>nx10651); ix10843 : xnor2 port map ( Y=>nx10842, A0=>reg_44_q_c_9, A1=>reg_47_q_c_9 ); REG_44_reg_q_9 : dff port map ( Q=>reg_44_q_c_9, QB=>OPEN, D=>nx9964, CLK =>CLK); ix9965 : xor2 port map ( Y=>nx9964, A0=>nx8960, A1=>nx9962); ix8961 : mux21 port map ( Y=>nx8960, A0=>reg_1_q_c_8, A1=>nx10363, S0=> nx8906); REG_1_reg_q_9 : dff port map ( Q=>reg_1_q_c_9, QB=>nx11011, D=>nx9934, CLK=>CLK); ix9935 : xor2 port map ( Y=>nx9934, A0=>nx10855, A1=>nx10857); ix10858 : xnor2 port map ( Y=>nx10857, A0=>nx6501, A1=>nx11009); REG_4_reg_q_9 : dff port map ( Q=>reg_4_q_c_9, QB=>OPEN, D=>nx9920, CLK=> CLK); ix9921 : xor2 port map ( Y=>nx9920, A0=>nx9916, A1=>nx9918); ix9917 : oai22 port map ( Y=>nx9916, A0=>nx10383, A1=>nx10867, B0=> nx15493, B1=>nx10540); ix9919 : xnor2 port map ( Y=>nx9918, A0=>reg_45_q_c_9, A1=>nx11000); REG_45_reg_q_9 : dff port map ( Q=>reg_45_q_c_9, QB=>OPEN, D=>nx9582, CLK =>CLK); ix9583 : xnor2 port map ( Y=>nx9582, A0=>nx9578, A1=>nx10875); ix9579 : mux21 port map ( Y=>nx9578, A0=>nx10393, A1=>nx6488, S0=>nx10395 ); ix10876 : xnor2 port map ( Y=>nx10875, A0=>nx10877, A1=>nx16208); ix10878 : mux21 port map ( Y=>nx10877, A0=>PRI_IN_8(9), A1=>reg_12_q_c_9, S0=>C_MUX2_7_SEL); REG_12_reg_q_9 : dff port map ( Q=>reg_12_q_c_9, QB=>OPEN, D=>nx9354, CLK =>CLK); ix9355 : xnor2 port map ( Y=>nx9354, A0=>nx9312, A1=>nx10882); ix9313 : mux21 port map ( Y=>nx9312, A0=>nx10403, A1=>nx6491, S0=>nx10406 ); ix10883 : xnor2 port map ( Y=>nx10882, A0=>reg_28_q_c_9, A1=>nx11000); REG_28_reg_q_9 : dff port map ( Q=>reg_28_q_c_9, QB=>OPEN, D=>nx9344, CLK =>CLK); ix9345 : xnor2 port map ( Y=>nx9344, A0=>nx9320, A1=>nx10889); ix9321 : mux21 port map ( Y=>nx9320, A0=>nx10411, A1=>nx10785, S0=> nx10414); ix10890 : xnor2 port map ( Y=>nx10889, A0=>PRI_OUT_3_9_EXMPLR, A1=>nx6497 ); REG_17_reg_q_9 : dff port map ( Q=>PRI_OUT_3_9_EXMPLR, QB=>OPEN, D=> nx9334, CLK=>CLK); ix9335 : xor2 port map ( Y=>nx9334, A0=>nx9330, A1=>nx9332); ix9331 : mux21 port map ( Y=>nx9330, A0=>reg_38_q_c_8, A1=>nx10419, S0=> nx8276); REG_38_reg_q_9 : dff port map ( Q=>reg_38_q_c_9, QB=>nx10997, D=>nx9418, CLK=>CLK); ix9419 : xor2 port map ( Y=>nx9418, A0=>nx10901, A1=>nx10905); ix10902 : aoi22 port map ( Y=>nx10901, A0=>nx10431, A1=>PRI_IN_4(8), B0=> nx8082, B1=>nx8360); ix10906 : xnor2 port map ( Y=>nx10905, A0=>PRI_IN_4(9), A1=>nx10907); ix10908 : mux21 port map ( Y=>nx10907, A0=>reg_15_q_c_9, A1=>nx6495, S0=> C_MUX2_11_SEL); REG_13_reg_q_9 : dff port map ( Q=>reg_13_q_c_9, QB=>nx10911, D=>nx9780, CLK=>CLK); REG_16_reg_q_9 : dff port map ( Q=>reg_16_q_c_9, QB=>OPEN, D=>nx9392, CLK =>CLK); ix9393 : xor2 port map ( Y=>nx9392, A0=>nx9156, A1=>nx9390); ix9157 : mux21 port map ( Y=>nx9156, A0=>reg_25_q_c_8, A1=>nx10442, S0=> nx8334); REG_42_reg_q_9 : dff port map ( Q=>reg_42_q_c_9, QB=>OPEN, D=>nx9382, CLK =>CLK); ix9383 : xnor2 port map ( Y=>nx9382, A0=>nx9164, A1=>nx10923); ix9165 : oai22 port map ( Y=>nx9164, A0=>nx10447, A1=>nx10451, B0=> nx10529, B1=>nx10733); ix10924 : xnor2 port map ( Y=>nx10923, A0=>reg_22_q_c_9, A1=>reg_39_q_c_9 ); REG_39_reg_q_9 : dff port map ( Q=>reg_39_q_c_9, QB=>OPEN, D=>nx9372, CLK =>CLK); ix9373 : xor2 port map ( Y=>nx9372, A0=>nx9172, A1=>nx9370); ix9173 : oai22 port map ( Y=>nx9172, A0=>nx10455, A1=>nx10928, B0=> nx10461, B1=>nx10529); ix9371 : xnor2 port map ( Y=>nx9370, A0=>reg_39_q_c_9, A1=>nx10931); ix10932 : mux21 port map ( Y=>nx10931, A0=>PRI_OUT_4_9_EXMPLR, A1=>nx9364, S0=>C_MUX2_5_SEL); REG_6_reg_q_9 : dff port map ( Q=>reg_6_q_c_9, QB=>OPEN, D=>nx9280, CLK=> CLK); ix9281 : xor2 port map ( Y=>nx9280, A0=>nx10937, A1=>nx10941); ix10938 : mux21 port map ( Y=>nx10937, A0=>nx8124, A1=>PRI_OUT_9_8_EXMPLR, S0=>nx10475); ix9269 : ao21 port map ( Y=>nx6496, A0=>C_MUX2_18_SEL, A1=>reg_39_q_c_9, B0=>nx9264); ix9265 : nor02 port map ( Y=>nx9264, A0=>C_MUX2_18_SEL, A1=>nx10947); REG_10_reg_q_9 : dff port map ( Q=>reg_10_q_c_9, QB=>nx10951, D=>nx9890, CLK=>CLK); ix9891 : xor2 port map ( Y=>nx9890, A0=>nx10954, A1=>nx10957); ix10955 : mux21 port map ( Y=>nx10954, A0=>nx7924, A1=>nx10493, S0=> nx10491); ix10958 : xnor2 port map ( Y=>nx10957, A0=>nx10959, A1=>nx9842); ix10960 : mux21 port map ( Y=>nx10959, A0=>PRI_IN_6(9), A1=>reg_25_q_c_9, S0=>C_MUX2_24_SEL); ix9843 : ao21 port map ( Y=>nx9842, A0=>C_MUX2_19_SEL, A1=>reg_23_q_c_9, B0=>nx9838); REG_23_reg_q_9 : dff port map ( Q=>reg_23_q_c_9, QB=>OPEN, D=>nx9592, CLK =>CLK); ix9593 : xnor2 port map ( Y=>nx9592, A0=>nx9568, A1=>nx10969); ix9569 : oai22 port map ( Y=>nx9568, A0=>nx10501, A1=>nx10505, B0=> nx10540, B1=>nx10967); ix10968 : inv02 port map ( Y=>nx10967, A=>PRI_IN_7(8)); ix10970 : xnor2 port map ( Y=>nx10969, A0=>PRI_IN_7(9), A1=>reg_45_q_c_9 ); ix9839 : nor02 port map ( Y=>nx9838, A0=>C_MUX2_19_SEL, A1=>nx10973); ix10974 : mux21 port map ( Y=>nx10973, A0=>reg_26_q_c_9, A1=>reg_27_q_c_9, S0=>C_MUX2_12_SEL); REG_27_reg_q_9 : dff port map ( Q=>reg_27_q_c_9, QB=>nx10991, D=>nx9820, CLK=>CLK); ix9821 : xnor2 port map ( Y=>nx9820, A0=>nx9796, A1=>nx10980); ix9797 : mux21 port map ( Y=>nx9796, A0=>nx10513, A1=>reg_32_q_c_8, S0=> nx10515); REG_32_reg_q_9 : dff port map ( Q=>OPEN, QB=>nx10989, D=>nx9810, CLK=>CLK ); ix9811 : xor2 port map ( Y=>nx9810, A0=>nx10985, A1=>nx10987); ix10986 : mux21 port map ( Y=>nx10985, A0=>nx8750, A1=>nx10227, S0=> nx10523); ix10988 : xnor2 port map ( Y=>nx10987, A0=>nx10735, A1=>nx16208); ix11002 : mux21 port map ( Y=>nx11000, A0=>reg_10_q_c_9, A1=>nx6497, S0=> C_MUX2_16_SEL); ix9909 : nor02 port map ( Y=>nx9908, A0=>C_MUX2_3_SEL, A1=>nx11000); ix11010 : mux21 port map ( Y=>nx11009, A0=>reg_26_q_c_9, A1=>PRI_IN_6(9), S0=>C_MUX2_23_SEL); REG_20_reg_q_9 : dff port map ( Q=>reg_20_q_c_9, QB=>nx11093, D=>nx9954, CLK=>CLK); ix9955 : xor2 port map ( Y=>nx9954, A0=>nx9950, A1=>nx9952); ix9951 : mux21 port map ( Y=>nx9950, A0=>reg_2_q_c_8, A1=>nx10549, S0=> nx8896); REG_2_reg_q_9 : dff port map ( Q=>reg_2_q_c_9, QB=>nx11091, D=>nx9684, CLK=>CLK); ix9685 : xnor2 port map ( Y=>nx9684, A0=>nx9524, A1=>nx11021); ix9525 : ao21 port map ( Y=>nx9524, A0=>nx15494, A1=>PRI_IN_2(8), B0=> nx9522); ix11022 : xnor2 port map ( Y=>nx11021, A0=>PRI_IN_2(9), A1=> PRI_OUT_0_9_EXMPLR); ix9681 : ao21 port map ( Y=>PRI_OUT_0_9_EXMPLR, A0=>C_MUX2_14_SEL, A1=> reg_8_q_c_9, B0=>nx9536); REG_8_reg_q_9 : dff port map ( Q=>reg_8_q_c_9, QB=>OPEN, D=>nx9670, CLK=> CLK); ix9671 : xnor2 port map ( Y=>nx9670, A0=>nx9544, A1=>nx11027); ix9545 : oai22 port map ( Y=>nx9544, A0=>nx10567, A1=>nx10570, B0=> nx10644, B1=>nx10645); ix11028 : xnor2 port map ( Y=>nx11027, A0=>reg_8_q_c_9, A1=>reg_49_q_c_9 ); REG_49_reg_q_9 : dff port map ( Q=>reg_49_q_c_9, QB=>OPEN, D=>nx9660, CLK =>CLK); ix9661 : xnor2 port map ( Y=>nx9660, A0=>nx9552, A1=>nx11037); ix9553 : ao21 port map ( Y=>nx9552, A0=>PRI_OUT_4_8_EXMPLR, A1=> reg_46_q_c_8, B0=>nx9550); ix11038 : xnor2 port map ( Y=>nx11037, A0=>reg_46_q_c_9, A1=> PRI_OUT_4_9_EXMPLR); REG_46_reg_q_9 : dff port map ( Q=>reg_46_q_c_9, QB=>OPEN, D=>nx9650, CLK =>CLK); ix9651 : xor2 port map ( Y=>nx9650, A0=>nx9560, A1=>nx9648); ix9561 : mux21 port map ( Y=>nx9560, A0=>nx10593, A1=>nx10585, S0=>nx8592 ); ix9649 : xnor2 port map ( Y=>nx9648, A0=>reg_23_q_c_9, A1=>nx11044); ix11045 : mux21 port map ( Y=>nx11044, A0=>reg_9_q_c_9, A1=>PRI_IN_5(9), S0=>C_MUX2_17_SEL); REG_9_reg_q_9 : dff port map ( Q=>reg_9_q_c_9, QB=>OPEN, D=>nx9632, CLK=> CLK); ix9633 : xor2 port map ( Y=>nx9632, A0=>nx9608, A1=>nx9630); ix9609 : mux21 port map ( Y=>nx9608, A0=>reg_30_q_c_8, A1=>nx10599, S0=> nx8574); REG_31_reg_q_9 : dff port map ( Q=>reg_31_q_c_9, QB=>OPEN, D=>nx9622, CLK =>CLK); ix9623 : xor2 port map ( Y=>nx9622, A0=>nx9618, A1=>nx9620); ix9619 : mux21 port map ( Y=>nx9618, A0=>reg_34_q_c_8, A1=>nx10607, S0=> nx8564); REG_34_reg_q_9 : dff port map ( Q=>reg_34_q_c_9, QB=>nx11081, D=>nx9706, CLK=>CLK); ix9707 : xor2 port map ( Y=>nx9706, A0=>nx9494, A1=>nx9704); ix9495 : oai22 port map ( Y=>nx9494, A0=>nx10615, A1=>nx11061, B0=>nx8646, B1=>nx10699); ix9705 : xnor2 port map ( Y=>nx9704, A0=>reg_41_q_c_9, A1=>nx9702); ix9703 : ao21 port map ( Y=>nx9702, A0=>C_MUX2_22_SEL, A1=>PRI_IN_5(9), B0=>nx9698); ix9699 : nor02 port map ( Y=>nx9698, A0=>C_MUX2_22_SEL, A1=>nx11069); REG_3_reg_q_9 : dff port map ( Q=>reg_3_q_c_9, QB=>OPEN, D=>nx9506, CLK=> CLK); ix9507 : xor2 port map ( Y=>nx9506, A0=>nx9502, A1=>nx9504); ix9503 : mux21 port map ( Y=>nx9502, A0=>nx10493, A1=>nx10635, S0=>nx8448 ); ix9505 : xnor2 port map ( Y=>nx9504, A0=>reg_12_q_c_9, A1=>nx10959); ix9537 : nor02 port map ( Y=>nx9536, A0=>C_MUX2_14_SEL, A1=>nx11089); REG_47_reg_q_9 : dff port map ( Q=>reg_47_q_c_9, QB=>OPEN, D=>nx9982, CLK =>CLK); ix9983 : xnor2 port map ( Y=>nx9982, A0=>nx9978, A1=>nx11099); ix9979 : mux21 port map ( Y=>nx9978, A0=>nx10654, A1=>nx10689, S0=> nx10657); REG_36_reg_q_9 : dff port map ( Q=>reg_36_q_c_9, QB=>nx11129, D=>nx9448, CLK=>CLK); ix9449 : ao21 port map ( Y=>nx9448, A0=>C_MUX2_1_SEL, A1=>nx9444, B0=> nx9432); ix9445 : xnor2 port map ( Y=>nx9444, A0=>nx9440, A1=>nx11109); ix9441 : oai22 port map ( Y=>nx9440, A0=>nx10683, A1=>nx10687, B0=> nx10651, B1=>nx10967); ix11110 : xnor2 port map ( Y=>nx11109, A0=>PRI_IN_7(9), A1=>reg_44_q_c_9 ); ix9433 : nor02 port map ( Y=>nx9432, A0=>C_MUX2_1_SEL, A1=>nx11113); ix11114 : xnor2 port map ( Y=>nx11113, A0=>nx9110, A1=>nx9426); ix9111 : mux21 port map ( Y=>nx9110, A0=>reg_38_q_c_8, A1=>nx10665, S0=> nx8370); REG_37_reg_q_9 : dff port map ( Q=>reg_37_q_c_9, QB=>OPEN, D=>nx9122, CLK =>CLK); ix9123 : xnor2 port map ( Y=>nx9122, A0=>nx9118, A1=>nx11125); ix9119 : oai22 port map ( Y=>nx9118, A0=>nx10673, A1=>nx10677, B0=> nx10434, B1=>nx10483); REG_24_reg_q_9 : dff port map ( Q=>reg_24_q_c_9, QB=>OPEN, D=>nx9234, CLK =>CLK); ix9235 : xnor2 port map ( Y=>nx9234, A0=>nx9192, A1=>nx11153); ix9193 : ao21 port map ( Y=>nx9192, A0=>reg_48_q_c_8, A1=>PRI_IN_2(8), B0 =>nx9190); ix9191 : nor02 port map ( Y=>nx9190, A0=>nx10705, A1=>nx10709); ix11154 : xnor2 port map ( Y=>nx11153, A0=>PRI_IN_2(9), A1=>reg_48_q_c_9 ); REG_48_reg_q_9 : dff port map ( Q=>reg_48_q_c_9, QB=>OPEN, D=>nx9224, CLK =>CLK); ix9225 : xnor2 port map ( Y=>nx9224, A0=>nx9200, A1=>nx11163); ix9201 : ao21 port map ( Y=>nx9200, A0=>nx6487, A1=>reg_50_q_c_8, B0=> nx9198); ix9199 : nor02 port map ( Y=>nx9198, A0=>nx10713, A1=>nx10717); ix11164 : xnor2 port map ( Y=>nx11163, A0=>reg_50_q_c_9, A1=>nx6495); REG_50_reg_q_9 : dff port map ( Q=>reg_50_q_c_9, QB=>OPEN, D=>nx9214, CLK =>CLK); ix9215 : xor2 port map ( Y=>nx9214, A0=>nx9210, A1=>nx9212); ix9211 : mux21 port map ( Y=>nx9210, A0=>PRI_IN_0(8), A1=>nx10721, S0=> nx8156); ix9213 : xnor2 port map ( Y=>nx9212, A0=>PRI_IN_0(9), A1=>reg_5_q_c_9); ix11186 : mux21 port map ( Y=>nx11185, A0=>reg_22_q_c_10, A1=> reg_24_q_c_10, S0=>C_MUX2_15_SEL); REG_22_reg_q_10 : dff port map ( Q=>reg_22_q_c_10, QB=>nx11701, D=> nx10912, CLK=>CLK); ix10913 : xor2 port map ( Y=>nx10912, A0=>nx11191, A1=>nx11195); ix11192 : aoi22 port map ( Y=>nx11191, A0=>reg_38_q_c_9, A1=>reg_33_q_c_9, B0=>nx9010, B1=>nx9854); REG_33_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11700, D=>nx10902, CLK=> CLK); ix10903 : xor2 port map ( Y=>nx10902, A0=>nx10076, A1=>nx10900); ix10077 : mux21 port map ( Y=>nx10076, A0=>reg_13_q_c_9, A1=>nx10747, S0 =>nx9844); ix10837 : xor2 port map ( Y=>nx10836, A0=>nx11209, A1=>nx11213); ix11214 : xnor2 port map ( Y=>nx11213, A0=>reg_43_q_c_10, A1=>nx6508); REG_43_reg_q_10 : dff port map ( Q=>reg_43_q_c_10, QB=>OPEN, D=>nx10826, CLK=>CLK); ix10827 : xor2 port map ( Y=>nx10826, A0=>nx11219, A1=>nx11223); ix11220 : aoi22 port map ( Y=>nx11219, A0=>reg_25_q_c_9, A1=> PRI_OUT_1_9_EXMPLR, B0=>nx9036, B1=>nx9768); REG_14_reg_q_10 : dff port map ( Q=>PRI_OUT_1_10_EXMPLR, QB=>OPEN, D=> nx10816, CLK=>CLK); ix10817 : xor2 port map ( Y=>nx10816, A0=>nx11229, A1=>nx11233); ix11230 : aoi22 port map ( Y=>nx11229, A0=>reg_24_q_c_9, A1=>reg_7_q_c_9, B0=>nx9044, B1=>nx9758); REG_7_reg_q_10 : dff port map ( Q=>reg_7_q_c_10, QB=>nx11665, D=>nx10806, CLK=>CLK); ix10807 : xor2 port map ( Y=>nx10806, A0=>nx11238, A1=>nx11241); ix11239 : aoi22 port map ( Y=>nx11238, A0=>reg_41_q_c_9, A1=>PRI_IN_6(9), B0=>nx9052, B1=>nx9748); REG_41_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11663, D=>nx10796, CLK=> CLK); ix10797 : xor2 port map ( Y=>nx10796, A0=>nx11245, A1=>nx11253); ix11246 : aoi22 port map ( Y=>nx11245, A0=>nx6497, A1=>PRI_IN_1(9), B0=> nx9060, B1=>nx9738); ix11254 : xnor2 port map ( Y=>nx11253, A0=>PRI_IN_1(10), A1=>nx6505); ix10793 : mux21 port map ( Y=>nx6505, A0=>nx11257, A1=>nx11609, S0=> C_MUX2_21_SEL); ix11258 : mux21 port map ( Y=>nx11257, A0=>reg_5_q_c_10, A1=>reg_7_q_c_10, S0=>C_MUX2_8_SEL); REG_5_reg_q_10 : dff port map ( Q=>reg_5_q_c_10, QB=>OPEN, D=>nx10772, CLK=>CLK); ix10773 : xor2 port map ( Y=>nx10772, A0=>nx11263, A1=>nx11267); ix11264 : aoi22 port map ( Y=>nx11263, A0=>reg_34_q_c_9, A1=>reg_26_q_c_9, B0=>nx9070, B1=>nx9714); REG_26_reg_q_10 : dff port map ( Q=>reg_26_q_c_10, QB=>nx11659, D=> nx10534, CLK=>CLK); ix10535 : xnor2 port map ( Y=>nx10534, A0=>nx11273, A1=>nx10532); ix11274 : aoi22 port map ( Y=>nx11273, A0=>nx11139, A1=>PRI_IN_5(9), B0=> nx9080, B1=>nx9476); ix10533 : xnor2 port map ( Y=>nx10532, A0=>PRI_IN_5(10), A1=> reg_29_q_c_10); REG_29_reg_q_10 : dff port map ( Q=>reg_29_q_c_10, QB=>OPEN, D=>nx10524, CLK=>CLK); ix10525 : xnor2 port map ( Y=>nx10524, A0=>nx11281, A1=>nx10522); ix11282 : aoi22 port map ( Y=>nx11281, A0=>nx11283, A1=>reg_30_q_c_9, B0 =>nx9090, B1=>nx9466); ix11284 : inv02 port map ( Y=>nx11283, A=>PRI_IN_4(9)); REG_30_reg_q_10 : dff port map ( Q=>reg_30_q_c_10, QB=>nx11657, D=> nx10514, CLK=>CLK); ix10515 : xnor2 port map ( Y=>nx10514, A0=>nx11291, A1=>nx10512); ix11292 : aoi22 port map ( Y=>nx11291, A0=>nx11129, A1=>reg_25_q_c_9, B0 =>nx9100, B1=>nx9456); REG_25_reg_q_10 : dff port map ( Q=>reg_25_q_c_10, QB=>nx11655, D=> nx10932, CLK=>CLK); ix10933 : xnor2 port map ( Y=>nx10932, A0=>nx10050, A1=>nx11303); ix10051 : oai22 port map ( Y=>nx10050, A0=>nx10825, A1=>nx10831, B0=> nx9414, B1=>nx11134); ix11304 : xnor2 port map ( Y=>nx11303, A0=>reg_15_q_c_10, A1=>nx11393); REG_15_reg_q_10 : dff port map ( Q=>reg_15_q_c_10, QB=>OPEN, D=>nx10922, CLK=>CLK); ix10923 : xor2 port map ( Y=>nx10922, A0=>nx11309, A1=>nx11313); ix11310 : aoi22 port map ( Y=>nx11309, A0=>reg_22_q_c_9, A1=> PRI_OUT_8_9_EXMPLR, B0=>nx9002, B1=>nx9864); REG_21_reg_q_10 : dff port map ( Q=>PRI_OUT_8_10_EXMPLR, QB=>OPEN, D=> nx11048, CLK=>CLK); ix11049 : xor2 port map ( Y=>nx11048, A0=>nx11319, A1=>nx11323); ix11320 : aoi22 port map ( Y=>nx11319, A0=>reg_47_q_c_9, A1=>reg_44_q_c_9, B0=>nx8950, B1=>nx9990); REG_44_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11613, D=>nx11020, CLK=> CLK); ix11021 : xnor2 port map ( Y=>nx11020, A0=>nx11329, A1=>nx11018); ix11330 : aoi22 port map ( Y=>nx11329, A0=>nx11011, A1=>reg_20_q_c_9, B0 =>nx8960, B1=>nx9962); REG_1_reg_q_10 : dff port map ( Q=>reg_1_q_c_10, QB=>OPEN, D=>nx10990, CLK=>CLK); ix10991 : xnor2 port map ( Y=>nx10990, A0=>nx10026, A1=>nx11339); ix11340 : xnor2 port map ( Y=>nx11339, A0=>nx6508, A1=>nx11513); REG_4_reg_q_10 : dff port map ( Q=>reg_4_q_c_10, QB=>OPEN, D=>nx10976, CLK=>CLK); ix10977 : xnor2 port map ( Y=>nx10976, A0=>nx11345, A1=>nx10974); ix11346 : aoi22 port map ( Y=>nx11345, A0=>nx6498, A1=>reg_45_q_c_9, B0=> nx9916, B1=>nx9918); REG_45_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11510, D=>nx10638, CLK=> CLK); ix10639 : xor2 port map ( Y=>nx10638, A0=>nx11352, A1=>nx11355); ix11353 : mux21 port map ( Y=>nx11352, A0=>nx9578, A1=>nx10947, S0=> nx10875); ix11356 : xnor2 port map ( Y=>nx11355, A0=>nx11357, A1=>nx16211); ix11358 : mux21 port map ( Y=>nx11357, A0=>PRI_IN_8(10), A1=> reg_12_q_c_10, S0=>C_MUX2_7_SEL); REG_12_reg_q_10 : dff port map ( Q=>reg_12_q_c_10, QB=>OPEN, D=>nx10410, CLK=>CLK); ix10411 : xor2 port map ( Y=>nx10410, A0=>nx11361, A1=>nx11365); ix11362 : aoi22 port map ( Y=>nx11361, A0=>nx11000, A1=>reg_28_q_c_9, B0 =>nx9312, B1=>nx9352); ix11366 : xnor2 port map ( Y=>nx11365, A0=>reg_28_q_c_10, A1=>nx11507); REG_28_reg_q_10 : dff port map ( Q=>reg_28_q_c_10, QB=>OPEN, D=>nx10400, CLK=>CLK); ix10401 : xor2 port map ( Y=>nx10400, A0=>nx11371, A1=>nx11375); ix11372 : aoi22 port map ( Y=>nx11371, A0=>nx6497, A1=>PRI_OUT_3_9_EXMPLR, B0=>nx9320, B1=>nx9342); ix11376 : xnor2 port map ( Y=>nx11375, A0=>PRI_OUT_3_10_EXMPLR, A1=> nx6505); REG_17_reg_q_10 : dff port map ( Q=>PRI_OUT_3_10_EXMPLR, QB=>OPEN, D=> nx10390, CLK=>CLK); ix10391 : xnor2 port map ( Y=>nx10390, A0=>nx11381, A1=>nx10388); ix11382 : aoi22 port map ( Y=>nx11381, A0=>nx10997, A1=>PRI_IN_0(9), B0=> nx9330, B1=>nx9332); REG_38_reg_q_10 : dff port map ( Q=>reg_38_q_c_10, QB=>nx11503, D=> nx10474, CLK=>CLK); ix10475 : xnor2 port map ( Y=>nx10474, A0=>nx10194, A1=>nx11391); ix10195 : oai22 port map ( Y=>nx10194, A0=>nx10901, A1=>nx10905, B0=> nx9414, B1=>nx11283); ix11392 : xnor2 port map ( Y=>nx11391, A0=>PRI_IN_4(10), A1=>nx11393); ix11394 : mux21 port map ( Y=>nx11393, A0=>reg_15_q_c_10, A1=>nx6503, S0 =>C_MUX2_11_SEL); REG_13_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11396, D=>nx10836, CLK=> CLK); REG_16_reg_q_10 : dff port map ( Q=>reg_16_q_c_10, QB=>OPEN, D=>nx10448, CLK=>CLK); ix10449 : xnor2 port map ( Y=>nx10448, A0=>nx11403, A1=>nx10446); ix11404 : aoi22 port map ( Y=>nx11403, A0=>nx11135, A1=>reg_42_q_c_9, B0 =>nx9156, B1=>nx9390); REG_42_reg_q_10 : dff port map ( Q=>reg_42_q_c_10, QB=>OPEN, D=>nx10438, CLK=>CLK); ix10439 : xor2 port map ( Y=>nx10438, A0=>nx11411, A1=>nx11415); ix11412 : aoi22 port map ( Y=>nx11411, A0=>reg_39_q_c_9, A1=>reg_22_q_c_9, B0=>nx9164, B1=>nx9380); REG_39_reg_q_10 : dff port map ( Q=>reg_39_q_c_10, QB=>nx11499, D=> nx10428, CLK=>CLK); ix10429 : xnor2 port map ( Y=>nx10428, A0=>nx11421, A1=>nx10426); ix11422 : aoi22 port map ( Y=>nx11421, A0=>nx9368, A1=>reg_39_q_c_9, B0=> nx9172, B1=>nx9370); ix11430 : mux21 port map ( Y=>nx11429, A0=>PRI_OUT_4_10_EXMPLR, A1=> nx10420, S0=>C_MUX2_5_SEL); REG_6_reg_q_10 : dff port map ( Q=>reg_6_q_c_10, QB=>OPEN, D=>nx10336, CLK=>CLK); ix10337 : xor2 port map ( Y=>nx10336, A0=>nx11436, A1=>nx11443); ix10325 : ao21 port map ( Y=>nx6504, A0=>C_MUX2_18_SEL, A1=>reg_39_q_c_10, B0=>nx10320); ix10321 : nor02 port map ( Y=>nx10320, A0=>C_MUX2_18_SEL, A1=>nx11449); REG_10_reg_q_10 : dff port map ( Q=>reg_10_q_c_10, QB=>nx11453, D=> nx10946, CLK=>CLK); ix10947 : xnor2 port map ( Y=>nx10946, A0=>nx10036, A1=>nx11461); ix10037 : mux21 port map ( Y=>nx10036, A0=>nx10954, A1=>nx6499, S0=> nx10957); ix11462 : xnor2 port map ( Y=>nx11461, A0=>nx11463, A1=>nx10898); ix11464 : mux21 port map ( Y=>nx11463, A0=>PRI_IN_6(10), A1=> reg_25_q_c_10, S0=>C_MUX2_24_SEL); ix10899 : ao21 port map ( Y=>nx10898, A0=>C_MUX2_19_SEL, A1=> reg_23_q_c_10, B0=>nx10894); REG_23_reg_q_10 : dff port map ( Q=>reg_23_q_c_10, QB=>OPEN, D=>nx10648, CLK=>CLK); ix10649 : xor2 port map ( Y=>nx10648, A0=>nx11470, A1=>nx11473); ix11471 : aoi22 port map ( Y=>nx11470, A0=>reg_45_q_c_9, A1=>PRI_IN_7(9), B0=>nx9568, B1=>nx9590); ix10895 : nor02 port map ( Y=>nx10894, A0=>C_MUX2_19_SEL, A1=>nx11477); ix11478 : mux21 port map ( Y=>nx11477, A0=>reg_26_q_c_10, A1=> reg_27_q_c_10, S0=>C_MUX2_12_SEL); REG_27_reg_q_10 : dff port map ( Q=>reg_27_q_c_10, QB=>OPEN, D=>nx10876, CLK=>CLK); ix10877 : xor2 port map ( Y=>nx10876, A0=>nx11483, A1=>nx11485); ix11484 : mux21 port map ( Y=>nx11483, A0=>nx9796, A1=>nx10989, S0=> nx10980); ix11486 : xnor2 port map ( Y=>nx11485, A0=>reg_32_q_c_10, A1=>nx11357); REG_32_reg_q_10 : dff port map ( Q=>reg_32_q_c_10, QB=>OPEN, D=>nx10866, CLK=>CLK); ix10867 : xnor2 port map ( Y=>nx10866, A0=>nx10862, A1=>nx11493); ix10863 : mux21 port map ( Y=>nx10862, A0=>nx10985, A1=>nx9244, S0=> nx10987); ix11494 : xnor2 port map ( Y=>nx11493, A0=>nx11185, A1=>nx16211); ix11508 : mux21 port map ( Y=>nx11507, A0=>reg_10_q_c_10, A1=>nx6505, S0 =>C_MUX2_16_SEL); ix10965 : nor02 port map ( Y=>nx10964, A0=>C_MUX2_3_SEL, A1=>nx11507); REG_20_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11611, D=>nx11010, CLK=> CLK); ix11011 : xnor2 port map ( Y=>nx11010, A0=>nx11519, A1=>nx11008); ix11520 : aoi22 port map ( Y=>nx11519, A0=>nx11091, A1=>PRI_IN_4(9), B0=> nx9950, B1=>nx9952); REG_2_reg_q_10 : dff port map ( Q=>reg_2_q_c_10, QB=>nx11609, D=>nx10740, CLK=>CLK); ix10741 : xor2 port map ( Y=>nx10740, A0=>nx11527, A1=>nx11531); ix11528 : aoi22 port map ( Y=>nx11527, A0=>PRI_OUT_0_9_EXMPLR, A1=> PRI_IN_2(9), B0=>nx9524, B1=>nx9682); ix11532 : xnor2 port map ( Y=>nx11531, A0=>PRI_IN_2(10), A1=> PRI_OUT_0_10_EXMPLR); ix10737 : ao21 port map ( Y=>PRI_OUT_0_10_EXMPLR, A0=>C_MUX2_14_SEL, A1=> reg_8_q_c_10, B0=>nx10592); REG_8_reg_q_10 : dff port map ( Q=>reg_8_q_c_10, QB=>nx11605, D=>nx10726, CLK=>CLK); ix10727 : xor2 port map ( Y=>nx10726, A0=>nx11536, A1=>nx11539); ix11537 : aoi22 port map ( Y=>nx11536, A0=>reg_49_q_c_9, A1=>reg_8_q_c_9, B0=>nx9544, B1=>nx9668); REG_49_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11603, D=>nx10716, CLK=> CLK); ix10717 : xor2 port map ( Y=>nx10716, A0=>nx15732, A1=>nx11549); REG_46_reg_q_10 : dff port map ( Q=>reg_46_q_c_10, QB=>OPEN, D=>nx10706, CLK=>CLK); ix10707 : xnor2 port map ( Y=>nx10706, A0=>nx11555, A1=>nx10704); ix11556 : aoi22 port map ( Y=>nx11555, A0=>nx9646, A1=>reg_23_q_c_9, B0=> nx9560, B1=>nx9648); ix10705 : xnor2 port map ( Y=>nx10704, A0=>reg_23_q_c_10, A1=>nx11563); ix11564 : mux21 port map ( Y=>nx11563, A0=>reg_9_q_c_10, A1=>PRI_IN_5(10), S0=>C_MUX2_17_SEL); REG_9_reg_q_10 : dff port map ( Q=>reg_9_q_c_10, QB=>OPEN, D=>nx10688, CLK=>CLK); ix10689 : xnor2 port map ( Y=>nx10688, A0=>nx11567, A1=>nx10686); ix11568 : aoi22 port map ( Y=>nx11567, A0=>nx11137, A1=>reg_31_q_c_9, B0 =>nx9608, B1=>nx9630); REG_31_reg_q_10 : dff port map ( Q=>reg_31_q_c_10, QB=>OPEN, D=>nx10678, CLK=>CLK); ix10679 : xnor2 port map ( Y=>nx10678, A0=>nx11574, A1=>nx10676); ix11575 : aoi22 port map ( Y=>nx11574, A0=>nx11081, A1=>reg_33_q_c_9, B0 =>nx9618, B1=>nx9620); REG_34_reg_q_10 : dff port map ( Q=>reg_34_q_c_10, QB=>nx11598, D=> nx10762, CLK=>CLK); ix10763 : xnor2 port map ( Y=>nx10762, A0=>nx11579, A1=>nx10760); ix11580 : aoi22 port map ( Y=>nx11579, A0=>nx11581, A1=>reg_41_q_c_9, B0 =>nx9494, B1=>nx9704); ix10759 : ao21 port map ( Y=>nx10758, A0=>C_MUX2_22_SEL, A1=>PRI_IN_5(10), B0=>nx10754); ix10755 : nor02 port map ( Y=>nx10754, A0=>C_MUX2_22_SEL, A1=>nx11589); REG_3_reg_q_10 : dff port map ( Q=>reg_3_q_c_10, QB=>OPEN, D=>nx10562, CLK=>CLK); ix10563 : xnor2 port map ( Y=>nx10562, A0=>nx11594, A1=>nx10560); ix11595 : aoi22 port map ( Y=>nx11594, A0=>nx6499, A1=>reg_12_q_c_9, B0=> nx9502, B1=>nx9504); ix10561 : xnor2 port map ( Y=>nx10560, A0=>reg_12_q_c_10, A1=>nx11463); ix10593 : nor02 port map ( Y=>nx10592, A0=>C_MUX2_14_SEL, A1=>nx11607); REG_47_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11650, D=>nx11038, CLK=> CLK); ix11039 : xor2 port map ( Y=>nx11038, A0=>nx11617, A1=>nx11621); ix11618 : aoi22 port map ( Y=>nx11617, A0=>reg_36_q_c_9, A1=>PRI_IN_3(9), B0=>nx9978, B1=>nx9980); REG_36_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11649, D=>nx10504, CLK=> CLK); ix10505 : ao21 port map ( Y=>nx10504, A0=>nx14787, A1=>nx10484, B0=> nx10502); ix10485 : xnor2 port map ( Y=>nx10484, A0=>nx11626, A1=>nx10482); ix11627 : aoi22 port map ( Y=>nx11626, A0=>nx10997, A1=>reg_37_q_c_9, B0 =>nx9110, B1=>nx9426); REG_37_reg_q_10 : dff port map ( Q=>reg_37_q_c_10, QB=>OPEN, D=>nx10178, CLK=>CLK); ix10179 : xor2 port map ( Y=>nx10178, A0=>nx11633, A1=>nx11637); ix11634 : aoi22 port map ( Y=>nx11633, A0=>reg_13_q_c_9, A1=>reg_10_q_c_9, B0=>nx9118, B1=>nx9120); ix10503 : nor02 port map ( Y=>nx10502, A0=>nx14787, A1=>nx11641); ix11642 : xnor2 port map ( Y=>nx11641, A0=>nx11643, A1=>nx11647); ix11644 : aoi22 port map ( Y=>nx11643, A0=>reg_44_q_c_9, A1=>PRI_IN_7(9), B0=>nx9440, B1=>nx9442); REG_24_reg_q_10 : dff port map ( Q=>reg_24_q_c_10, QB=>nx11697, D=> nx10290, CLK=>CLK); ix10291 : xor2 port map ( Y=>nx10290, A0=>nx11669, A1=>nx11673); ix11670 : aoi22 port map ( Y=>nx11669, A0=>reg_48_q_c_9, A1=>PRI_IN_2(9), B0=>nx9192, B1=>nx9232); ix11674 : xnor2 port map ( Y=>nx11673, A0=>PRI_IN_2(10), A1=> reg_48_q_c_10); REG_48_reg_q_10 : dff port map ( Q=>reg_48_q_c_10, QB=>OPEN, D=>nx10280, CLK=>CLK); ix10281 : xor2 port map ( Y=>nx10280, A0=>nx11679, A1=>nx11683); REG_50_reg_q_10 : dff port map ( Q=>reg_50_q_c_10, QB=>OPEN, D=>nx10270, CLK=>CLK); ix10271 : xnor2 port map ( Y=>nx10270, A0=>nx11689, A1=>nx10268); ix11690 : aoi22 port map ( Y=>nx11689, A0=>nx11691, A1=>reg_5_q_c_9, B0=> nx9210, B1=>nx9212); ix11692 : inv02 port map ( Y=>nx11691, A=>PRI_IN_0(9)); ix10269 : xnor2 port map ( Y=>nx10268, A0=>PRI_IN_0(10), A1=>reg_5_q_c_10 ); ix11704 : mux21 port map ( Y=>nx11703, A0=>reg_22_q_c_11, A1=> reg_24_q_c_11, S0=>C_MUX2_15_SEL); REG_22_reg_q_11 : dff port map ( Q=>reg_22_q_c_11, QB=>OPEN, D=>nx11968, CLK=>CLK); ix11969 : xnor2 port map ( Y=>nx11968, A0=>nx11122, A1=>nx11709); ix11123 : oai22 port map ( Y=>nx11122, A0=>nx11191, A1=>nx11195, B0=> nx11503, B1=>nx11700); REG_33_reg_q_11 : dff port map ( Q=>reg_33_q_c_11, QB=>OPEN, D=>nx11958, CLK=>CLK); ix11959 : xnor2 port map ( Y=>nx11958, A0=>nx11713, A1=>nx11956); ix11714 : mux21 port map ( Y=>nx11713, A0=>nx16214, A1=>nx10076, S0=> nx10900); ix11893 : xnor2 port map ( Y=>nx11892, A0=>nx11140, A1=>nx11725); ix11726 : xnor2 port map ( Y=>nx11725, A0=>reg_43_q_c_11, A1=>nx6517); REG_43_reg_q_11 : dff port map ( Q=>reg_43_q_c_11, QB=>OPEN, D=>nx11882, CLK=>CLK); ix11883 : xnor2 port map ( Y=>nx11882, A0=>nx11148, A1=>nx11733); ix11149 : mux21 port map ( Y=>nx11148, A0=>nx11219, A1=>nx11655, S0=> nx11223); REG_14_reg_q_11 : dff port map ( Q=>PRI_OUT_1_11_EXMPLR, QB=>OPEN, D=> nx11872, CLK=>CLK); ix11873 : xnor2 port map ( Y=>nx11872, A0=>nx11156, A1=>nx11739); ix11157 : oai22 port map ( Y=>nx11156, A0=>nx11229, A1=>nx11233, B0=> nx11697, B1=>nx11665); ix11740 : xnor2 port map ( Y=>nx11739, A0=>reg_7_q_c_11, A1=> reg_24_q_c_11); REG_7_reg_q_11 : dff port map ( Q=>reg_7_q_c_11, QB=>OPEN, D=>nx11862, CLK=>CLK); ix11863 : xnor2 port map ( Y=>nx11862, A0=>nx11164, A1=>nx11747); ix11165 : oai22 port map ( Y=>nx11164, A0=>nx11238, A1=>nx11241, B0=> nx11663, B1=>nx11745); ix11746 : inv02 port map ( Y=>nx11745, A=>PRI_IN_6(10)); ix11748 : xnor2 port map ( Y=>nx11747, A0=>PRI_IN_6(11), A1=> reg_41_q_c_11); REG_41_reg_q_11 : dff port map ( Q=>reg_41_q_c_11, QB=>OPEN, D=>nx11852, CLK=>CLK); ix11853 : xnor2 port map ( Y=>nx11852, A0=>nx11172, A1=>nx11755); ix11173 : mux21 port map ( Y=>nx11172, A0=>nx11245, A1=>nx11752, S0=> nx11253); ix11756 : xnor2 port map ( Y=>nx11755, A0=>PRI_IN_1(11), A1=>nx6512); ix11849 : mux21 port map ( Y=>nx6512, A0=>nx11759, A1=>nx12061, S0=> C_MUX2_21_SEL); ix11760 : mux21 port map ( Y=>nx11759, A0=>reg_5_q_c_11, A1=>reg_7_q_c_11, S0=>C_MUX2_8_SEL); REG_5_reg_q_11 : dff port map ( Q=>reg_5_q_c_11, QB=>OPEN, D=>nx11828, CLK=>CLK); ix11829 : xnor2 port map ( Y=>nx11828, A0=>nx11182, A1=>nx11766); ix11183 : oai22 port map ( Y=>nx11182, A0=>nx11263, A1=>nx11267, B0=> nx11598, B1=>nx11659); REG_26_reg_q_11 : dff port map ( Q=>reg_26_q_c_11, QB=>OPEN, D=>nx11590, CLK=>CLK); ix11591 : xor2 port map ( Y=>nx11590, A0=>nx11192, A1=>nx11588); ix11193 : mux21 port map ( Y=>nx11192, A0=>reg_29_q_c_10, A1=>nx11273, S0 =>nx10532); REG_29_reg_q_11 : dff port map ( Q=>OPEN, QB=>nx12100, D=>nx11580, CLK=> CLK); ix11581 : xor2 port map ( Y=>nx11580, A0=>nx11202, A1=>nx11578); ix11203 : oai22 port map ( Y=>nx11202, A0=>nx11281, A1=>nx11777, B0=> PRI_IN_4(10), B1=>nx11657); REG_30_reg_q_11 : dff port map ( Q=>reg_30_q_c_11, QB=>nx12099, D=> nx11570, CLK=>CLK); ix11571 : xor2 port map ( Y=>nx11570, A0=>nx11212, A1=>nx11568); REG_25_reg_q_11 : dff port map ( Q=>reg_25_q_c_11, QB=>nx12098, D=> nx11988, CLK=>CLK); ix11989 : xor2 port map ( Y=>nx11988, A0=>nx11791, A1=>nx11799); ix11792 : aoi22 port map ( Y=>nx11791, A0=>nx11393, A1=>reg_15_q_c_10, B0 =>nx10050, B1=>nx10930); REG_15_reg_q_11 : dff port map ( Q=>reg_15_q_c_11, QB=>nx12097, D=> nx11978, CLK=>CLK); ix11979 : xnor2 port map ( Y=>nx11978, A0=>nx11114, A1=>nx11805); ix11115 : mux21 port map ( Y=>nx11114, A0=>nx11309, A1=>nx11701, S0=> nx11313); ix11806 : xnor2 port map ( Y=>nx11805, A0=>PRI_OUT_8_11_EXMPLR, A1=> reg_22_q_c_11); REG_21_reg_q_11 : dff port map ( Q=>PRI_OUT_8_11_EXMPLR, QB=>OPEN, D=> nx12104, CLK=>CLK); ix12105 : xnor2 port map ( Y=>nx12104, A0=>nx11062, A1=>nx11811); ix11063 : oai22 port map ( Y=>nx11062, A0=>nx11319, A1=>nx11323, B0=> nx11650, B1=>nx11613); ix11812 : xnor2 port map ( Y=>nx11811, A0=>reg_44_q_c_11, A1=> reg_47_q_c_11); REG_44_reg_q_11 : dff port map ( Q=>reg_44_q_c_11, QB=>OPEN, D=>nx12076, CLK=>CLK); ix12077 : xor2 port map ( Y=>nx12076, A0=>nx11072, A1=>nx12074); ix11073 : mux21 port map ( Y=>nx11072, A0=>reg_1_q_c_10, A1=>nx11329, S0 =>nx11018); REG_1_reg_q_11 : dff port map ( Q=>reg_1_q_c_11, QB=>nx11983, D=>nx12046, CLK=>CLK); ix12047 : xor2 port map ( Y=>nx12046, A0=>nx11823, A1=>nx11825); ix11826 : xnor2 port map ( Y=>nx11825, A0=>nx6517, A1=>nx16216); REG_4_reg_q_11 : dff port map ( Q=>reg_4_q_c_11, QB=>OPEN, D=>nx12032, CLK=>CLK); ix12033 : xor2 port map ( Y=>nx12032, A0=>nx12028, A1=>nx12030); ix12029 : oai22 port map ( Y=>nx12028, A0=>nx11345, A1=>nx11833, B0=> nx11507, B1=>nx11510); ix12031 : xnor2 port map ( Y=>nx12030, A0=>reg_45_q_c_11, A1=>nx11973); REG_45_reg_q_11 : dff port map ( Q=>reg_45_q_c_11, QB=>OPEN, D=>nx11694, CLK=>CLK); ix11695 : xnor2 port map ( Y=>nx11694, A0=>nx11690, A1=>nx11841); ix11691 : mux21 port map ( Y=>nx11690, A0=>nx11352, A1=>nx16211, S0=> nx11355); ix11842 : xnor2 port map ( Y=>nx11841, A0=>nx11843, A1=>nx16218); ix11844 : mux21 port map ( Y=>nx11843, A0=>PRI_IN_8(11), A1=> reg_12_q_c_11, S0=>C_MUX2_7_SEL); REG_12_reg_q_11 : dff port map ( Q=>reg_12_q_c_11, QB=>OPEN, D=>nx11466, CLK=>CLK); ix11467 : xnor2 port map ( Y=>nx11466, A0=>nx11424, A1=>nx11851); ix11425 : mux21 port map ( Y=>nx11424, A0=>nx11361, A1=>nx6506, S0=> nx11365); ix11852 : xnor2 port map ( Y=>nx11851, A0=>reg_28_q_c_11, A1=>nx11973); REG_28_reg_q_11 : dff port map ( Q=>reg_28_q_c_11, QB=>OPEN, D=>nx11456, CLK=>CLK); ix11457 : xnor2 port map ( Y=>nx11456, A0=>nx11432, A1=>nx11857); ix11433 : mux21 port map ( Y=>nx11432, A0=>nx11371, A1=>nx11752, S0=> nx11375); ix11858 : xnor2 port map ( Y=>nx11857, A0=>PRI_OUT_3_11_EXMPLR, A1=> nx6512); REG_17_reg_q_11 : dff port map ( Q=>PRI_OUT_3_11_EXMPLR, QB=>OPEN, D=> nx11446, CLK=>CLK); ix11447 : xor2 port map ( Y=>nx11446, A0=>nx11442, A1=>nx11444); ix11443 : mux21 port map ( Y=>nx11442, A0=>reg_38_q_c_10, A1=>nx11381, S0 =>nx10388); REG_38_reg_q_11 : dff port map ( Q=>reg_38_q_c_11, QB=>nx11970, D=> nx11530, CLK=>CLK); ix11531 : xor2 port map ( Y=>nx11530, A0=>nx11867, A1=>nx11871); ix11868 : aoi22 port map ( Y=>nx11867, A0=>nx11393, A1=>PRI_IN_4(10), B0 =>nx10194, B1=>nx10472); ix11872 : xnor2 port map ( Y=>nx11871, A0=>PRI_IN_4(11), A1=>nx11873); ix11874 : mux21 port map ( Y=>nx11873, A0=>reg_15_q_c_11, A1=>nx6509, S0 =>C_MUX2_11_SEL); REG_13_reg_q_11 : dff port map ( Q=>reg_13_q_c_11, QB=>nx11876, D=> nx11892, CLK=>CLK); REG_16_reg_q_11 : dff port map ( Q=>reg_16_q_c_11, QB=>OPEN, D=>nx11504, CLK=>CLK); ix11505 : xor2 port map ( Y=>nx11504, A0=>nx11268, A1=>nx11502); ix11269 : mux21 port map ( Y=>nx11268, A0=>reg_25_q_c_10, A1=>nx11403, S0 =>nx10446); REG_42_reg_q_11 : dff port map ( Q=>reg_42_q_c_11, QB=>OPEN, D=>nx11494, CLK=>CLK); ix11495 : xnor2 port map ( Y=>nx11494, A0=>nx11276, A1=>nx11889); ix11277 : oai22 port map ( Y=>nx11276, A0=>nx11411, A1=>nx11415, B0=> nx11499, B1=>nx11701); ix11890 : xnor2 port map ( Y=>nx11889, A0=>reg_22_q_c_11, A1=> reg_39_q_c_11); REG_39_reg_q_11 : dff port map ( Q=>reg_39_q_c_11, QB=>OPEN, D=>nx11484, CLK=>CLK); ix11485 : xor2 port map ( Y=>nx11484, A0=>nx11284, A1=>nx11482); ix11285 : oai22 port map ( Y=>nx11284, A0=>nx11421, A1=>nx11896, B0=> nx11429, B1=>nx11499); ix11483 : xnor2 port map ( Y=>nx11482, A0=>reg_39_q_c_11, A1=>nx11899); ix11900 : mux21 port map ( Y=>nx11899, A0=>PRI_OUT_4_11_EXMPLR, A1=> nx11476, S0=>C_MUX2_5_SEL); REG_6_reg_q_11 : dff port map ( Q=>reg_6_q_c_11, QB=>OPEN, D=>nx11392, CLK=>CLK); ix11393 : xor2 port map ( Y=>nx11392, A0=>nx11907, A1=>nx11913); ix11381 : ao21 port map ( Y=>nx6511, A0=>C_MUX2_18_SEL, A1=>reg_39_q_c_11, B0=>nx11376); ix11377 : nor02 port map ( Y=>nx11376, A0=>C_MUX2_18_SEL, A1=>nx11919); REG_10_reg_q_11 : dff port map ( Q=>reg_10_q_c_11, QB=>nx11923, D=> nx12002, CLK=>CLK); ix12003 : xor2 port map ( Y=>nx12002, A0=>nx11926, A1=>nx11928); ix11927 : mux21 port map ( Y=>nx11926, A0=>nx10036, A1=>nx11463, S0=> nx11461); ix11929 : xnor2 port map ( Y=>nx11928, A0=>nx11931, A1=>nx11954); ix11932 : mux21 port map ( Y=>nx11931, A0=>PRI_IN_6(11), A1=> reg_25_q_c_11, S0=>C_MUX2_24_SEL); ix11955 : ao21 port map ( Y=>nx11954, A0=>C_MUX2_19_SEL, A1=> reg_23_q_c_11, B0=>nx11950); REG_23_reg_q_11 : dff port map ( Q=>reg_23_q_c_11, QB=>OPEN, D=>nx11704, CLK=>CLK); ix11705 : xnor2 port map ( Y=>nx11704, A0=>nx11680, A1=>nx11941); ix11681 : oai22 port map ( Y=>nx11680, A0=>nx11470, A1=>nx11473, B0=> nx11510, B1=>nx11938); ix11939 : inv02 port map ( Y=>nx11938, A=>PRI_IN_7(10)); ix11942 : xnor2 port map ( Y=>nx11941, A0=>PRI_IN_7(11), A1=> reg_45_q_c_11); ix11951 : nor02 port map ( Y=>nx11950, A0=>C_MUX2_19_SEL, A1=>nx11945); ix11946 : mux21 port map ( Y=>nx11945, A0=>reg_26_q_c_11, A1=> reg_27_q_c_11, S0=>C_MUX2_12_SEL); REG_27_reg_q_11 : dff port map ( Q=>reg_27_q_c_11, QB=>nx11962, D=> nx11932, CLK=>CLK); ix11933 : xnor2 port map ( Y=>nx11932, A0=>nx11908, A1=>nx11951); ix11909 : mux21 port map ( Y=>nx11908, A0=>nx11483, A1=>reg_32_q_c_10, S0 =>nx11485); REG_32_reg_q_11 : dff port map ( Q=>OPEN, QB=>nx11961, D=>nx11922, CLK=> CLK); ix11923 : xor2 port map ( Y=>nx11922, A0=>nx11957, A1=>nx11959); ix11958 : mux21 port map ( Y=>nx11957, A0=>nx10862, A1=>nx11185, S0=> nx11493); ix11960 : xnor2 port map ( Y=>nx11959, A0=>nx11703, A1=>nx16218); ix11974 : mux21 port map ( Y=>nx11973, A0=>reg_10_q_c_11, A1=>nx6512, S0 =>C_MUX2_16_SEL); ix12021 : nor02 port map ( Y=>nx12020, A0=>C_MUX2_3_SEL, A1=>nx11973); ix11982 : mux21 port map ( Y=>nx11981, A0=>reg_26_q_c_11, A1=> PRI_IN_6(11), S0=>C_MUX2_23_SEL); REG_20_reg_q_11 : dff port map ( Q=>reg_20_q_c_11, QB=>nx12063, D=> nx12066, CLK=>CLK); ix12067 : xor2 port map ( Y=>nx12066, A0=>nx12062, A1=>nx12064); ix12063 : mux21 port map ( Y=>nx12062, A0=>reg_2_q_c_10, A1=>nx11519, S0 =>nx11008); REG_2_reg_q_11 : dff port map ( Q=>reg_2_q_c_11, QB=>nx12061, D=>nx11796, CLK=>CLK); ix11797 : xnor2 port map ( Y=>nx11796, A0=>nx11636, A1=>nx11994); ix11995 : xnor2 port map ( Y=>nx11994, A0=>PRI_IN_2(11), A1=> PRI_OUT_0_11_EXMPLR); ix11793 : ao21 port map ( Y=>PRI_OUT_0_11_EXMPLR, A0=>C_MUX2_14_SEL, A1=> reg_8_q_c_11, B0=>nx11648); REG_8_reg_q_11 : dff port map ( Q=>reg_8_q_c_11, QB=>OPEN, D=>nx11782, CLK=>CLK); ix11783 : xnor2 port map ( Y=>nx11782, A0=>nx11656, A1=>nx12003); ix11657 : oai22 port map ( Y=>nx11656, A0=>nx11536, A1=>nx11539, B0=> nx11603, B1=>nx11605); ix12004 : xnor2 port map ( Y=>nx12003, A0=>reg_8_q_c_11, A1=> reg_49_q_c_11); REG_49_reg_q_11 : dff port map ( Q=>reg_49_q_c_11, QB=>OPEN, D=>nx11772, CLK=>CLK); ix11773 : xnor2 port map ( Y=>nx11772, A0=>nx11664, A1=>nx12009); ix12010 : xnor2 port map ( Y=>nx12009, A0=>reg_46_q_c_11, A1=> PRI_OUT_4_11_EXMPLR); REG_46_reg_q_11 : dff port map ( Q=>reg_46_q_c_11, QB=>OPEN, D=>nx11762, CLK=>CLK); ix11763 : xor2 port map ( Y=>nx11762, A0=>nx11672, A1=>nx11760); ix11673 : mux21 port map ( Y=>nx11672, A0=>nx11563, A1=>nx11555, S0=> nx10704); ix11761 : xnor2 port map ( Y=>nx11760, A0=>reg_23_q_c_11, A1=>nx12017); ix12018 : mux21 port map ( Y=>nx12017, A0=>reg_9_q_c_11, A1=>PRI_IN_5(11), S0=>C_MUX2_17_SEL); REG_9_reg_q_11 : dff port map ( Q=>reg_9_q_c_11, QB=>OPEN, D=>nx11744, CLK=>CLK); ix11745 : xor2 port map ( Y=>nx11744, A0=>nx11720, A1=>nx11742); ix11721 : mux21 port map ( Y=>nx11720, A0=>reg_30_q_c_10, A1=>nx11567, S0 =>nx10686); REG_31_reg_q_11 : dff port map ( Q=>reg_31_q_c_11, QB=>OPEN, D=>nx11734, CLK=>CLK); ix11735 : xor2 port map ( Y=>nx11734, A0=>nx11730, A1=>nx11732); ix11731 : mux21 port map ( Y=>nx11730, A0=>reg_34_q_c_10, A1=>nx11574, S0 =>nx10676); REG_34_reg_q_11 : dff port map ( Q=>reg_34_q_c_11, QB=>nx12052, D=> nx11818, CLK=>CLK); ix11819 : xor2 port map ( Y=>nx11818, A0=>nx11606, A1=>nx11816); ix11607 : oai22 port map ( Y=>nx11606, A0=>nx11579, A1=>nx12037, B0=> nx10758, B1=>nx11663); ix11817 : xnor2 port map ( Y=>nx11816, A0=>reg_41_q_c_11, A1=>nx11814); ix11815 : ao21 port map ( Y=>nx11814, A0=>C_MUX2_22_SEL, A1=>PRI_IN_5(11), B0=>nx11810); ix11811 : nor02 port map ( Y=>nx11810, A0=>C_MUX2_22_SEL, A1=>nx12043); REG_3_reg_q_11 : dff port map ( Q=>reg_3_q_c_11, QB=>OPEN, D=>nx11618, CLK=>CLK); ix11619 : xor2 port map ( Y=>nx11618, A0=>nx11614, A1=>nx11616); ix11615 : mux21 port map ( Y=>nx11614, A0=>nx11463, A1=>nx11594, S0=> nx10560); ix11617 : xnor2 port map ( Y=>nx11616, A0=>reg_12_q_c_11, A1=>nx11931); ix11649 : nor02 port map ( Y=>nx11648, A0=>C_MUX2_14_SEL, A1=>nx12059); REG_47_reg_q_11 : dff port map ( Q=>reg_47_q_c_11, QB=>OPEN, D=>nx12094, CLK=>CLK); ix12095 : xnor2 port map ( Y=>nx12094, A0=>nx12090, A1=>nx12070); ix12091 : mux21 port map ( Y=>nx12090, A0=>nx11617, A1=>nx11649, S0=> nx11621); REG_36_reg_q_11 : dff port map ( Q=>reg_36_q_c_11, QB=>nx12093, D=> nx11560, CLK=>CLK); ix11561 : ao21 port map ( Y=>nx11560, A0=>C_MUX2_1_SEL, A1=>nx11556, B0=> nx11544); ix11557 : xnor2 port map ( Y=>nx11556, A0=>nx11552, A1=>nx12077); ix11553 : oai22 port map ( Y=>nx11552, A0=>nx11643, A1=>nx11647, B0=> nx11613, B1=>nx11938); ix12078 : xnor2 port map ( Y=>nx12077, A0=>PRI_IN_7(11), A1=> reg_44_q_c_11); ix11545 : nor02 port map ( Y=>nx11544, A0=>C_MUX2_1_SEL, A1=>nx12080); ix12081 : xnor2 port map ( Y=>nx12080, A0=>nx11222, A1=>nx11538); ix11223 : mux21 port map ( Y=>nx11222, A0=>reg_38_q_c_10, A1=>nx11626, S0 =>nx10482); REG_37_reg_q_11 : dff port map ( Q=>reg_37_q_c_11, QB=>OPEN, D=>nx11234, CLK=>CLK); ix11235 : xnor2 port map ( Y=>nx11234, A0=>nx11230, A1=>nx12089); ix11231 : oai22 port map ( Y=>nx11230, A0=>nx11633, A1=>nx11637, B0=> nx16214, B1=>nx11453); REG_24_reg_q_11 : dff port map ( Q=>reg_24_q_c_11, QB=>OPEN, D=>nx11346, CLK=>CLK); ix11347 : xnor2 port map ( Y=>nx11346, A0=>nx11304, A1=>nx12111); ix11305 : ao21 port map ( Y=>nx11304, A0=>reg_48_q_c_10, A1=>PRI_IN_2(10), B0=>nx11302); ix11303 : nor02 port map ( Y=>nx11302, A0=>nx11669, A1=>nx11673); ix12112 : xnor2 port map ( Y=>nx12111, A0=>PRI_IN_2(11), A1=> reg_48_q_c_11); REG_48_reg_q_11 : dff port map ( Q=>reg_48_q_c_11, QB=>OPEN, D=>nx11336, CLK=>CLK); ix11337 : xnor2 port map ( Y=>nx11336, A0=>nx11312, A1=>nx12121); ix12122 : xnor2 port map ( Y=>nx12121, A0=>reg_50_q_c_11, A1=>nx6509); REG_50_reg_q_11 : dff port map ( Q=>reg_50_q_c_11, QB=>OPEN, D=>nx11326, CLK=>CLK); ix11327 : xor2 port map ( Y=>nx11326, A0=>nx11322, A1=>nx11324); ix11323 : mux21 port map ( Y=>nx11322, A0=>PRI_IN_0(10), A1=>nx11689, S0 =>nx10268); ix11325 : xnor2 port map ( Y=>nx11324, A0=>PRI_IN_0(11), A1=>reg_5_q_c_11 ); ix12144 : mux21 port map ( Y=>nx12143, A0=>reg_22_q_c_12, A1=> reg_24_q_c_12, S0=>C_MUX2_15_SEL); REG_22_reg_q_12 : dff port map ( Q=>reg_22_q_c_12, QB=>nx12656, D=> nx13024, CLK=>CLK); ix13025 : xor2 port map ( Y=>nx13024, A0=>nx12149, A1=>nx12153); ix12150 : aoi22 port map ( Y=>nx12149, A0=>reg_38_q_c_11, A1=> reg_33_q_c_11, B0=>nx11122, B1=>nx11966); REG_33_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12655, D=>nx13014, CLK=> CLK); ix13015 : xor2 port map ( Y=>nx13014, A0=>nx12188, A1=>nx13012); ix12189 : mux21 port map ( Y=>nx12188, A0=>reg_13_q_c_11, A1=>nx11713, S0 =>nx11956); ix12949 : xor2 port map ( Y=>nx12948, A0=>nx12167, A1=>nx12171); ix12172 : xnor2 port map ( Y=>nx12171, A0=>reg_43_q_c_12, A1=>nx6523); REG_43_reg_q_12 : dff port map ( Q=>reg_43_q_c_12, QB=>OPEN, D=>nx12938, CLK=>CLK); ix12939 : xor2 port map ( Y=>nx12938, A0=>nx12177, A1=>nx12181); ix12178 : aoi22 port map ( Y=>nx12177, A0=>reg_25_q_c_11, A1=> PRI_OUT_1_11_EXMPLR, B0=>nx11148, B1=>nx11880); REG_14_reg_q_12 : dff port map ( Q=>PRI_OUT_1_12_EXMPLR, QB=>OPEN, D=> nx12928, CLK=>CLK); ix12929 : xor2 port map ( Y=>nx12928, A0=>nx12187, A1=>nx12191); ix12188 : aoi22 port map ( Y=>nx12187, A0=>reg_24_q_c_11, A1=> reg_7_q_c_11, B0=>nx11156, B1=>nx11870); REG_7_reg_q_12 : dff port map ( Q=>reg_7_q_c_12, QB=>nx12625, D=>nx12918, CLK=>CLK); ix12919 : xor2 port map ( Y=>nx12918, A0=>nx12197, A1=>nx12201); ix12198 : aoi22 port map ( Y=>nx12197, A0=>reg_41_q_c_11, A1=> PRI_IN_6(11), B0=>nx11164, B1=>nx11860); REG_41_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12623, D=>nx12908, CLK=> CLK); ix12909 : xor2 port map ( Y=>nx12908, A0=>nx12207, A1=>nx12215); ix12208 : aoi22 port map ( Y=>nx12207, A0=>nx6512, A1=>PRI_IN_1(11), B0=> nx11172, B1=>nx11850); ix12216 : xnor2 port map ( Y=>nx12215, A0=>PRI_IN_1(12), A1=>nx6520); ix12905 : mux21 port map ( Y=>nx6520, A0=>nx12219, A1=>nx12571, S0=> C_MUX2_21_SEL); ix12220 : mux21 port map ( Y=>nx12219, A0=>reg_5_q_c_12, A1=>reg_7_q_c_12, S0=>C_MUX2_8_SEL); REG_5_reg_q_12 : dff port map ( Q=>reg_5_q_c_12, QB=>OPEN, D=>nx12884, CLK=>CLK); ix12885 : xor2 port map ( Y=>nx12884, A0=>nx12225, A1=>nx12229); ix12226 : aoi22 port map ( Y=>nx12225, A0=>reg_34_q_c_11, A1=> reg_26_q_c_11, B0=>nx11182, B1=>nx11826); REG_26_reg_q_12 : dff port map ( Q=>reg_26_q_c_12, QB=>nx12621, D=> nx12646, CLK=>CLK); ix12647 : xnor2 port map ( Y=>nx12646, A0=>nx12235, A1=>nx12644); ix12236 : aoi22 port map ( Y=>nx12235, A0=>nx12100, A1=>PRI_IN_5(11), B0 =>nx11192, B1=>nx11588); ix12645 : xnor2 port map ( Y=>nx12644, A0=>PRI_IN_5(12), A1=> reg_29_q_c_12); REG_29_reg_q_12 : dff port map ( Q=>reg_29_q_c_12, QB=>OPEN, D=>nx12636, CLK=>CLK); ix12637 : xnor2 port map ( Y=>nx12636, A0=>nx12243, A1=>nx12634); ix12244 : aoi22 port map ( Y=>nx12243, A0=>nx12245, A1=>reg_30_q_c_11, B0 =>nx11202, B1=>nx11578); ix12246 : inv02 port map ( Y=>nx12245, A=>PRI_IN_4(11)); REG_30_reg_q_12 : dff port map ( Q=>reg_30_q_c_12, QB=>nx12619, D=> nx12626, CLK=>CLK); ix12627 : xnor2 port map ( Y=>nx12626, A0=>nx12253, A1=>nx12624); ix12254 : aoi22 port map ( Y=>nx12253, A0=>nx12093, A1=>reg_25_q_c_11, B0 =>nx11212, B1=>nx11568); REG_25_reg_q_12 : dff port map ( Q=>reg_25_q_c_12, QB=>nx12618, D=> nx13044, CLK=>CLK); ix13045 : xnor2 port map ( Y=>nx13044, A0=>nx12162, A1=>nx12267); ix12163 : oai22 port map ( Y=>nx12162, A0=>nx11791, A1=>nx11799, B0=> nx11526, B1=>nx12097); ix12268 : xnor2 port map ( Y=>nx12267, A0=>reg_15_q_c_12, A1=>nx12357); REG_15_reg_q_12 : dff port map ( Q=>reg_15_q_c_12, QB=>OPEN, D=>nx13034, CLK=>CLK); ix13035 : xor2 port map ( Y=>nx13034, A0=>nx12273, A1=>nx12277); ix12274 : aoi22 port map ( Y=>nx12273, A0=>reg_22_q_c_11, A1=> PRI_OUT_8_11_EXMPLR, B0=>nx11114, B1=>nx11976); REG_21_reg_q_12 : dff port map ( Q=>PRI_OUT_8_12_EXMPLR, QB=>OPEN, D=> nx13160, CLK=>CLK); ix13161 : xor2 port map ( Y=>nx13160, A0=>nx12283, A1=>nx12287); ix12284 : aoi22 port map ( Y=>nx12283, A0=>reg_47_q_c_11, A1=> reg_44_q_c_11, B0=>nx11062, B1=>nx12102); REG_44_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12575, D=>nx13132, CLK=> CLK); ix13133 : xnor2 port map ( Y=>nx13132, A0=>nx12293, A1=>nx13130); ix12294 : aoi22 port map ( Y=>nx12293, A0=>nx11983, A1=>reg_20_q_c_11, B0 =>nx11072, B1=>nx12074); REG_1_reg_q_12 : dff port map ( Q=>reg_1_q_c_12, QB=>OPEN, D=>nx13102, CLK=>CLK); ix13103 : xnor2 port map ( Y=>nx13102, A0=>nx12138, A1=>nx12301); ix12302 : xnor2 port map ( Y=>nx12301, A0=>nx6523, A1=>nx12475); REG_4_reg_q_12 : dff port map ( Q=>reg_4_q_c_12, QB=>OPEN, D=>nx13088, CLK=>CLK); ix13089 : xnor2 port map ( Y=>nx13088, A0=>nx12309, A1=>nx13086); ix12310 : aoi22 port map ( Y=>nx12309, A0=>nx6513, A1=>reg_45_q_c_11, B0 =>nx12028, B1=>nx12030); REG_45_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12472, D=>nx12750, CLK=> CLK); ix12751 : xor2 port map ( Y=>nx12750, A0=>nx12317, A1=>nx12319); ix12318 : mux21 port map ( Y=>nx12317, A0=>nx11690, A1=>nx11919, S0=> nx11841); ix12320 : xnor2 port map ( Y=>nx12319, A0=>nx12321, A1=>nx16220); ix12322 : mux21 port map ( Y=>nx12321, A0=>PRI_IN_8(12), A1=> reg_12_q_c_12, S0=>C_MUX2_7_SEL); REG_12_reg_q_12 : dff port map ( Q=>reg_12_q_c_12, QB=>OPEN, D=>nx12522, CLK=>CLK); ix12523 : xor2 port map ( Y=>nx12522, A0=>nx12327, A1=>nx12331); ix12328 : aoi22 port map ( Y=>nx12327, A0=>nx11973, A1=>reg_28_q_c_11, B0 =>nx11424, B1=>nx11464); ix12332 : xnor2 port map ( Y=>nx12331, A0=>reg_28_q_c_12, A1=>nx12468); REG_28_reg_q_12 : dff port map ( Q=>reg_28_q_c_12, QB=>OPEN, D=>nx12512, CLK=>CLK); ix12513 : xor2 port map ( Y=>nx12512, A0=>nx12337, A1=>nx12341); ix12338 : aoi22 port map ( Y=>nx12337, A0=>nx6512, A1=> PRI_OUT_3_11_EXMPLR, B0=>nx11432, B1=>nx11454); ix12342 : xnor2 port map ( Y=>nx12341, A0=>PRI_OUT_3_12_EXMPLR, A1=> nx6520); REG_17_reg_q_12 : dff port map ( Q=>PRI_OUT_3_12_EXMPLR, QB=>OPEN, D=> nx12502, CLK=>CLK); ix12503 : xnor2 port map ( Y=>nx12502, A0=>nx12347, A1=>nx12500); ix12348 : aoi22 port map ( Y=>nx12347, A0=>nx11970, A1=>PRI_IN_0(11), B0 =>nx11442, B1=>nx11444); REG_38_reg_q_12 : dff port map ( Q=>reg_38_q_c_12, QB=>nx12464, D=> nx12586, CLK=>CLK); ix12587 : xnor2 port map ( Y=>nx12586, A0=>nx12306, A1=>nx12355); ix12307 : oai22 port map ( Y=>nx12306, A0=>nx11867, A1=>nx11871, B0=> nx11526, B1=>nx12245); ix12356 : xnor2 port map ( Y=>nx12355, A0=>PRI_IN_4(12), A1=>nx12357); ix12358 : mux21 port map ( Y=>nx12357, A0=>reg_15_q_c_12, A1=>nx6518, S0 =>C_MUX2_11_SEL); REG_13_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12361, D=>nx12948, CLK=> CLK); REG_16_reg_q_12 : dff port map ( Q=>reg_16_q_c_12, QB=>OPEN, D=>nx12560, CLK=>CLK); ix12561 : xnor2 port map ( Y=>nx12560, A0=>nx12369, A1=>nx12558); ix12370 : aoi22 port map ( Y=>nx12369, A0=>nx12098, A1=>reg_42_q_c_11, B0 =>nx11268, B1=>nx11502); REG_42_reg_q_12 : dff port map ( Q=>reg_42_q_c_12, QB=>OPEN, D=>nx12550, CLK=>CLK); ix12551 : xor2 port map ( Y=>nx12550, A0=>nx12377, A1=>nx12381); ix12378 : aoi22 port map ( Y=>nx12377, A0=>reg_39_q_c_11, A1=> reg_22_q_c_11, B0=>nx11276, B1=>nx11492); REG_39_reg_q_12 : dff port map ( Q=>reg_39_q_c_12, QB=>nx12461, D=> nx12540, CLK=>CLK); ix12541 : xnor2 port map ( Y=>nx12540, A0=>nx12386, A1=>nx12538); ix12387 : aoi22 port map ( Y=>nx12386, A0=>nx11480, A1=>reg_39_q_c_11, B0 =>nx11284, B1=>nx11482); ix12392 : mux21 port map ( Y=>nx12391, A0=>PRI_OUT_4_12_EXMPLR, A1=> nx12532, S0=>C_MUX2_5_SEL); REG_6_reg_q_12 : dff port map ( Q=>reg_6_q_c_12, QB=>OPEN, D=>nx12448, CLK=>CLK); ix12449 : xor2 port map ( Y=>nx12448, A0=>nx12397, A1=>nx12401); ix12437 : ao21 port map ( Y=>nx6519, A0=>C_MUX2_18_SEL, A1=>reg_39_q_c_12, B0=>nx12432); ix12433 : nor02 port map ( Y=>nx12432, A0=>C_MUX2_18_SEL, A1=>nx12406); REG_10_reg_q_12 : dff port map ( Q=>reg_10_q_c_12, QB=>nx12411, D=> nx13058, CLK=>CLK); ix13059 : xnor2 port map ( Y=>nx13058, A0=>nx12148, A1=>nx12419); ix12149 : mux21 port map ( Y=>nx12148, A0=>nx11926, A1=>nx6515, S0=> nx11928); ix12420 : xnor2 port map ( Y=>nx12419, A0=>nx12421, A1=>nx13010); ix12422 : mux21 port map ( Y=>nx12421, A0=>PRI_IN_6(12), A1=> reg_25_q_c_12, S0=>C_MUX2_24_SEL); ix13011 : ao21 port map ( Y=>nx13010, A0=>C_MUX2_19_SEL, A1=> reg_23_q_c_12, B0=>nx13006); REG_23_reg_q_12 : dff port map ( Q=>reg_23_q_c_12, QB=>OPEN, D=>nx12760, CLK=>CLK); ix12761 : xor2 port map ( Y=>nx12760, A0=>nx12429, A1=>nx12433); ix12430 : aoi22 port map ( Y=>nx12429, A0=>reg_45_q_c_11, A1=> PRI_IN_7(11), B0=>nx11680, B1=>nx11702); ix13007 : nor02 port map ( Y=>nx13006, A0=>C_MUX2_19_SEL, A1=>nx12437); ix12438 : mux21 port map ( Y=>nx12437, A0=>reg_26_q_c_12, A1=> reg_27_q_c_12, S0=>C_MUX2_12_SEL); REG_27_reg_q_12 : dff port map ( Q=>reg_27_q_c_12, QB=>OPEN, D=>nx12988, CLK=>CLK); ix12989 : xor2 port map ( Y=>nx12988, A0=>nx12443, A1=>nx12445); ix12444 : mux21 port map ( Y=>nx12443, A0=>nx11908, A1=>nx11961, S0=> nx11951); ix12446 : xnor2 port map ( Y=>nx12445, A0=>reg_32_q_c_12, A1=>nx12321); REG_32_reg_q_12 : dff port map ( Q=>reg_32_q_c_12, QB=>OPEN, D=>nx12978, CLK=>CLK); ix12979 : xnor2 port map ( Y=>nx12978, A0=>nx12974, A1=>nx12454); ix12975 : mux21 port map ( Y=>nx12974, A0=>nx11957, A1=>nx11356, S0=> nx11959); ix12455 : xnor2 port map ( Y=>nx12454, A0=>nx12143, A1=>nx16220); ix12469 : mux21 port map ( Y=>nx12468, A0=>reg_10_q_c_12, A1=>nx6520, S0 =>C_MUX2_16_SEL); ix13077 : nor02 port map ( Y=>nx13076, A0=>C_MUX2_3_SEL, A1=>nx12468); REG_20_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12573, D=>nx13122, CLK=> CLK); ix13123 : xnor2 port map ( Y=>nx13122, A0=>nx12481, A1=>nx13120); ix12482 : aoi22 port map ( Y=>nx12481, A0=>nx12061, A1=>PRI_IN_4(11), B0 =>nx12062, B1=>nx12064); REG_2_reg_q_12 : dff port map ( Q=>reg_2_q_c_12, QB=>nx12571, D=>nx12852, CLK=>CLK); ix12853 : xor2 port map ( Y=>nx12852, A0=>nx12489, A1=>nx12492); ix12494 : xnor2 port map ( Y=>nx12492, A0=>PRI_IN_2(12), A1=> PRI_OUT_0_12_EXMPLR); ix12849 : ao21 port map ( Y=>PRI_OUT_0_12_EXMPLR, A0=>C_MUX2_14_SEL, A1=> reg_8_q_c_12, B0=>nx12704); REG_8_reg_q_12 : dff port map ( Q=>reg_8_q_c_12, QB=>nx12566, D=>nx12838, CLK=>CLK); ix12839 : xor2 port map ( Y=>nx12838, A0=>nx12501, A1=>nx12505); ix12502 : aoi22 port map ( Y=>nx12501, A0=>reg_49_q_c_11, A1=> reg_8_q_c_11, B0=>nx11656, B1=>nx11780); REG_49_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12565, D=>nx12828, CLK=> CLK); ix12829 : xor2 port map ( Y=>nx12828, A0=>nx12509, A1=>nx12513); REG_46_reg_q_12 : dff port map ( Q=>reg_46_q_c_12, QB=>OPEN, D=>nx12818, CLK=>CLK); ix12819 : xnor2 port map ( Y=>nx12818, A0=>nx12517, A1=>nx12816); ix12518 : aoi22 port map ( Y=>nx12517, A0=>nx11758, A1=>reg_23_q_c_11, B0 =>nx11672, B1=>nx11760); ix12817 : xnor2 port map ( Y=>nx12816, A0=>reg_23_q_c_12, A1=>nx12523); ix12524 : mux21 port map ( Y=>nx12523, A0=>reg_9_q_c_12, A1=>PRI_IN_5(12), S0=>C_MUX2_17_SEL); REG_9_reg_q_12 : dff port map ( Q=>reg_9_q_c_12, QB=>OPEN, D=>nx12800, CLK=>CLK); ix12801 : xnor2 port map ( Y=>nx12800, A0=>nx12527, A1=>nx12798); ix12528 : aoi22 port map ( Y=>nx12527, A0=>nx12099, A1=>reg_31_q_c_11, B0 =>nx11720, B1=>nx11742); REG_31_reg_q_12 : dff port map ( Q=>reg_31_q_c_12, QB=>OPEN, D=>nx12790, CLK=>CLK); ix12791 : xnor2 port map ( Y=>nx12790, A0=>nx12533, A1=>nx12788); ix12534 : aoi22 port map ( Y=>nx12533, A0=>nx12052, A1=>reg_33_q_c_11, B0 =>nx11730, B1=>nx11732); REG_34_reg_q_12 : dff port map ( Q=>reg_34_q_c_12, QB=>nx12561, D=> nx12874, CLK=>CLK); ix12875 : xnor2 port map ( Y=>nx12874, A0=>nx12541, A1=>nx12872); ix12542 : aoi22 port map ( Y=>nx12541, A0=>nx12543, A1=>reg_41_q_c_11, B0 =>nx11606, B1=>nx11816); ix12871 : ao21 port map ( Y=>nx12870, A0=>C_MUX2_22_SEL, A1=>PRI_IN_5(12), B0=>nx12866); ix12867 : nor02 port map ( Y=>nx12866, A0=>C_MUX2_22_SEL, A1=>nx15905); REG_3_reg_q_12 : dff port map ( Q=>reg_3_q_c_12, QB=>OPEN, D=>nx12674, CLK=>CLK); ix12675 : xnor2 port map ( Y=>nx12674, A0=>nx12555, A1=>nx12672); ix12556 : aoi22 port map ( Y=>nx12555, A0=>nx6515, A1=>reg_12_q_c_11, B0 =>nx11614, B1=>nx11616); ix12673 : xnor2 port map ( Y=>nx12672, A0=>reg_12_q_c_12, A1=>nx12421); ix12705 : nor02 port map ( Y=>nx12704, A0=>C_MUX2_14_SEL, A1=>nx12568); REG_47_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12613, D=>nx13150, CLK=> CLK); ix13151 : xor2 port map ( Y=>nx13150, A0=>nx12579, A1=>nx12583); ix12580 : aoi22 port map ( Y=>nx12579, A0=>reg_36_q_c_11, A1=> PRI_IN_3(11), B0=>nx12090, B1=>nx12092); REG_36_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12611, D=>nx12616, CLK=> CLK); ix12617 : ao21 port map ( Y=>nx12616, A0=>nx14787, A1=>nx12596, B0=> nx12614); ix12597 : xnor2 port map ( Y=>nx12596, A0=>nx12590, A1=>nx12594); ix12591 : aoi22 port map ( Y=>nx12590, A0=>nx11970, A1=>reg_37_q_c_11, B0 =>nx11222, B1=>nx11538); REG_37_reg_q_12 : dff port map ( Q=>reg_37_q_c_12, QB=>OPEN, D=>nx12290, CLK=>CLK); ix12291 : xor2 port map ( Y=>nx12290, A0=>nx12595, A1=>nx12599); ix12596 : aoi22 port map ( Y=>nx12595, A0=>reg_13_q_c_11, A1=> reg_10_q_c_11, B0=>nx11230, B1=>nx11232); ix12615 : nor02 port map ( Y=>nx12614, A0=>nx6802, A1=>nx12603); ix12604 : xnor2 port map ( Y=>nx12603, A0=>nx12605, A1=>nx12609); ix12606 : aoi22 port map ( Y=>nx12605, A0=>reg_44_q_c_11, A1=> PRI_IN_7(11), B0=>nx11552, B1=>nx11554); REG_24_reg_q_12 : dff port map ( Q=>reg_24_q_c_12, QB=>nx12652, D=> nx12402, CLK=>CLK); ix12403 : xor2 port map ( Y=>nx12402, A0=>nx12629, A1=>nx12632); ix12630 : aoi22 port map ( Y=>nx12629, A0=>reg_48_q_c_11, A1=> PRI_IN_2(11), B0=>nx11304, B1=>nx11344); ix12633 : xnor2 port map ( Y=>nx12632, A0=>PRI_IN_2(12), A1=> reg_48_q_c_12); REG_48_reg_q_12 : dff port map ( Q=>reg_48_q_c_12, QB=>OPEN, D=>nx12392, CLK=>CLK); ix12393 : xor2 port map ( Y=>nx12392, A0=>nx12637, A1=>nx12640); REG_50_reg_q_12 : dff port map ( Q=>reg_50_q_c_12, QB=>OPEN, D=>nx12382, CLK=>CLK); ix12383 : xnor2 port map ( Y=>nx12382, A0=>nx12645, A1=>nx12380); ix12646 : aoi22 port map ( Y=>nx12645, A0=>nx12647, A1=>reg_5_q_c_11, B0 =>nx11322, B1=>nx11324); ix12648 : inv02 port map ( Y=>nx12647, A=>PRI_IN_0(11)); ix12381 : xnor2 port map ( Y=>nx12380, A0=>PRI_IN_0(12), A1=>reg_5_q_c_12 ); ix12660 : mux21 port map ( Y=>nx12659, A0=>reg_22_q_c_13, A1=> reg_24_q_c_13, S0=>C_MUX2_15_SEL); REG_22_reg_q_13 : dff port map ( Q=>reg_22_q_c_13, QB=>OPEN, D=>nx14080, CLK=>CLK); ix14081 : xnor2 port map ( Y=>nx14080, A0=>nx13234, A1=>nx12667); ix13235 : oai22 port map ( Y=>nx13234, A0=>nx12149, A1=>nx12153, B0=> nx12464, B1=>nx12655); REG_33_reg_q_13 : dff port map ( Q=>reg_33_q_c_13, QB=>OPEN, D=>nx14070, CLK=>CLK); ix14071 : xnor2 port map ( Y=>nx14070, A0=>nx12673, A1=>nx14068); ix12674 : mux21 port map ( Y=>nx12673, A0=>nx12361, A1=>nx12188, S0=> nx13012); ix14005 : xnor2 port map ( Y=>nx14004, A0=>nx13252, A1=>nx12681); ix12682 : xnor2 port map ( Y=>nx12681, A0=>reg_43_q_c_13, A1=>nx6535); REG_43_reg_q_13 : dff port map ( Q=>reg_43_q_c_13, QB=>OPEN, D=>nx13994, CLK=>CLK); ix13995 : xnor2 port map ( Y=>nx13994, A0=>nx13260, A1=>nx12687); ix13261 : mux21 port map ( Y=>nx13260, A0=>nx12177, A1=>nx12618, S0=> nx12181); REG_14_reg_q_13 : dff port map ( Q=>PRI_OUT_1_13_EXMPLR, QB=>OPEN, D=> nx13984, CLK=>CLK); ix13985 : xnor2 port map ( Y=>nx13984, A0=>nx13268, A1=>nx12695); ix13269 : oai22 port map ( Y=>nx13268, A0=>nx12187, A1=>nx12191, B0=> nx12652, B1=>nx12625); ix12696 : xnor2 port map ( Y=>nx12695, A0=>reg_7_q_c_13, A1=> reg_24_q_c_13); REG_7_reg_q_13 : dff port map ( Q=>reg_7_q_c_13, QB=>OPEN, D=>nx13974, CLK=>CLK); ix13975 : xnor2 port map ( Y=>nx13974, A0=>nx13276, A1=>nx12705); ix13277 : oai22 port map ( Y=>nx13276, A0=>nx12197, A1=>nx12201, B0=> nx12623, B1=>nx12703); ix12704 : inv02 port map ( Y=>nx12703, A=>PRI_IN_6(12)); ix12706 : xnor2 port map ( Y=>nx12705, A0=>PRI_IN_6(13), A1=> reg_41_q_c_13); REG_41_reg_q_13 : dff port map ( Q=>reg_41_q_c_13, QB=>OPEN, D=>nx13964, CLK=>CLK); ix13965 : xnor2 port map ( Y=>nx13964, A0=>nx13284, A1=>nx12717); ix13285 : mux21 port map ( Y=>nx13284, A0=>nx12207, A1=>nx12713, S0=> nx12215); ix12718 : xnor2 port map ( Y=>nx12717, A0=>PRI_IN_1(13), A1=>nx6529); ix13961 : mux21 port map ( Y=>nx6529, A0=>nx12721, A1=>nx13035, S0=> C_MUX2_21_SEL); ix12722 : mux21 port map ( Y=>nx12721, A0=>reg_5_q_c_13, A1=>reg_7_q_c_13, S0=>C_MUX2_8_SEL); REG_5_reg_q_13 : dff port map ( Q=>reg_5_q_c_13, QB=>OPEN, D=>nx13940, CLK=>CLK); ix13941 : xnor2 port map ( Y=>nx13940, A0=>nx13294, A1=>nx12729); ix13295 : oai22 port map ( Y=>nx13294, A0=>nx12225, A1=>nx12229, B0=> nx12561, B1=>nx12621); REG_26_reg_q_13 : dff port map ( Q=>reg_26_q_c_13, QB=>OPEN, D=>nx13702, CLK=>CLK); ix13703 : xor2 port map ( Y=>nx13702, A0=>nx13304, A1=>nx13700); ix13305 : mux21 port map ( Y=>nx13304, A0=>reg_29_q_c_12, A1=>nx12235, S0 =>nx12644); REG_29_reg_q_13 : dff port map ( Q=>OPEN, QB=>nx13074, D=>nx13692, CLK=> CLK); ix13693 : xor2 port map ( Y=>nx13692, A0=>nx13314, A1=>nx13690); ix13315 : oai22 port map ( Y=>nx13314, A0=>nx12243, A1=>nx12743, B0=> PRI_IN_4(12), B1=>nx12619); REG_30_reg_q_13 : dff port map ( Q=>reg_30_q_c_13, QB=>nx13073, D=> nx13682, CLK=>CLK); ix13683 : xor2 port map ( Y=>nx13682, A0=>nx13324, A1=>nx13680); REG_25_reg_q_13 : dff port map ( Q=>reg_25_q_c_13, QB=>nx13072, D=> nx14100, CLK=>CLK); ix14101 : xor2 port map ( Y=>nx14100, A0=>nx12759, A1=>nx12765); ix12760 : aoi22 port map ( Y=>nx12759, A0=>nx12357, A1=>reg_15_q_c_12, B0 =>nx12162, B1=>nx13042); REG_15_reg_q_13 : dff port map ( Q=>reg_15_q_c_13, QB=>nx13071, D=> nx14090, CLK=>CLK); ix14091 : xnor2 port map ( Y=>nx14090, A0=>nx13226, A1=>nx12771); ix13227 : mux21 port map ( Y=>nx13226, A0=>nx12273, A1=>nx12656, S0=> nx12277); ix12772 : xnor2 port map ( Y=>nx12771, A0=>PRI_OUT_8_13_EXMPLR, A1=> reg_22_q_c_13); REG_21_reg_q_13 : dff port map ( Q=>PRI_OUT_8_13_EXMPLR, QB=>OPEN, D=> nx14216, CLK=>CLK); ix14217 : xnor2 port map ( Y=>nx14216, A0=>nx13174, A1=>nx12779); ix13175 : oai22 port map ( Y=>nx13174, A0=>nx12283, A1=>nx12287, B0=> nx12613, B1=>nx12575); ix12780 : xnor2 port map ( Y=>nx12779, A0=>reg_44_q_c_13, A1=> reg_47_q_c_13); REG_44_reg_q_13 : dff port map ( Q=>reg_44_q_c_13, QB=>OPEN, D=>nx14188, CLK=>CLK); ix14189 : xor2 port map ( Y=>nx14188, A0=>nx13184, A1=>nx14186); ix13185 : mux21 port map ( Y=>nx13184, A0=>reg_1_q_c_12, A1=>nx12293, S0 =>nx13130); REG_1_reg_q_13 : dff port map ( Q=>reg_1_q_c_13, QB=>nx12953, D=>nx14158, CLK=>CLK); REG_4_reg_q_13 : dff port map ( Q=>reg_4_q_c_13, QB=>OPEN, D=>nx14144, CLK=>CLK); ix14145 : xor2 port map ( Y=>nx14144, A0=>nx14140, A1=>nx14142); ix14141 : oai22 port map ( Y=>nx14140, A0=>nx12309, A1=>nx12803, B0=> nx12468, B1=>nx12472); ix14143 : xnor2 port map ( Y=>nx14142, A0=>reg_45_q_c_13, A1=>nx12943); REG_45_reg_q_13 : dff port map ( Q=>reg_45_q_c_13, QB=>OPEN, D=>nx13806, CLK=>CLK); ix13807 : xnor2 port map ( Y=>nx13806, A0=>nx13802, A1=>nx12811); ix13803 : mux21 port map ( Y=>nx13802, A0=>nx12317, A1=>nx16220, S0=> nx12319); ix12812 : xnor2 port map ( Y=>nx12811, A0=>nx12813, A1=>nx16225); ix12814 : mux21 port map ( Y=>nx12813, A0=>PRI_IN_8(13), A1=> reg_12_q_c_13, S0=>C_MUX2_7_SEL); REG_12_reg_q_13 : dff port map ( Q=>reg_12_q_c_13, QB=>OPEN, D=>nx13578, CLK=>CLK); ix13579 : xnor2 port map ( Y=>nx13578, A0=>nx13536, A1=>nx12821); ix13537 : mux21 port map ( Y=>nx13536, A0=>nx12327, A1=>nx6521, S0=> nx12331); ix12822 : xnor2 port map ( Y=>nx12821, A0=>reg_28_q_c_13, A1=>nx12943); REG_28_reg_q_13 : dff port map ( Q=>reg_28_q_c_13, QB=>OPEN, D=>nx13568, CLK=>CLK); ix13569 : xnor2 port map ( Y=>nx13568, A0=>nx13544, A1=>nx12827); ix13545 : mux21 port map ( Y=>nx13544, A0=>nx12337, A1=>nx12713, S0=> nx12341); ix12828 : xnor2 port map ( Y=>nx12827, A0=>PRI_OUT_3_13_EXMPLR, A1=> nx6529); REG_17_reg_q_13 : dff port map ( Q=>PRI_OUT_3_13_EXMPLR, QB=>OPEN, D=> nx13558, CLK=>CLK); ix13559 : xor2 port map ( Y=>nx13558, A0=>nx13554, A1=>nx13556); ix13555 : mux21 port map ( Y=>nx13554, A0=>reg_38_q_c_12, A1=>nx12347, S0 =>nx12500); REG_38_reg_q_13 : dff port map ( Q=>reg_38_q_c_13, QB=>nx12940, D=> nx13642, CLK=>CLK); ix13643 : xor2 port map ( Y=>nx13642, A0=>nx12837, A1=>nx12841); ix12838 : aoi22 port map ( Y=>nx12837, A0=>nx12357, A1=>PRI_IN_4(12), B0 =>nx12306, B1=>nx12584); ix12842 : xnor2 port map ( Y=>nx12841, A0=>PRI_IN_4(13), A1=>nx12843); ix12844 : mux21 port map ( Y=>nx12843, A0=>reg_15_q_c_13, A1=>nx6525, S0 =>C_MUX2_11_SEL); REG_13_reg_q_13 : dff port map ( Q=>reg_13_q_c_13, QB=>nx12847, D=> nx14004, CLK=>CLK); REG_16_reg_q_13 : dff port map ( Q=>reg_16_q_c_13, QB=>OPEN, D=>nx13616, CLK=>CLK); ix13617 : xor2 port map ( Y=>nx13616, A0=>nx13380, A1=>nx13614); ix13381 : mux21 port map ( Y=>nx13380, A0=>reg_25_q_c_12, A1=>nx12369, S0 =>nx12558); REG_42_reg_q_13 : dff port map ( Q=>reg_42_q_c_13, QB=>OPEN, D=>nx13606, CLK=>CLK); ix13607 : xnor2 port map ( Y=>nx13606, A0=>nx13388, A1=>nx12861); ix13389 : oai22 port map ( Y=>nx13388, A0=>nx12377, A1=>nx12381, B0=> nx12461, B1=>nx12656); ix12862 : xnor2 port map ( Y=>nx12861, A0=>reg_22_q_c_13, A1=> reg_39_q_c_13); REG_39_reg_q_13 : dff port map ( Q=>reg_39_q_c_13, QB=>OPEN, D=>nx13596, CLK=>CLK); ix13597 : xor2 port map ( Y=>nx13596, A0=>nx13396, A1=>nx13594); ix13397 : oai22 port map ( Y=>nx13396, A0=>nx12386, A1=>nx12867, B0=> nx12391, B1=>nx12461); ix13595 : xnor2 port map ( Y=>nx13594, A0=>reg_39_q_c_13, A1=>nx12871); ix12872 : mux21 port map ( Y=>nx12871, A0=>PRI_OUT_4_13_EXMPLR, A1=> nx13588, S0=>C_MUX2_5_SEL); REG_6_reg_q_13 : dff port map ( Q=>reg_6_q_c_13, QB=>OPEN, D=>nx13504, CLK=>CLK); ix13505 : xor2 port map ( Y=>nx13504, A0=>nx12878, A1=>nx12883); ix13493 : ao21 port map ( Y=>nx6527, A0=>C_MUX2_18_SEL, A1=>reg_39_q_c_13, B0=>nx13488); ix13489 : nor02 port map ( Y=>nx13488, A0=>C_MUX2_18_SEL, A1=>nx12888); REG_10_reg_q_13 : dff port map ( Q=>reg_10_q_c_13, QB=>nx12892, D=> nx14114, CLK=>CLK); ix14115 : xor2 port map ( Y=>nx14114, A0=>nx12895, A1=>nx12897); ix12896 : mux21 port map ( Y=>nx12895, A0=>nx12148, A1=>nx12421, S0=> nx12419); ix12898 : xnor2 port map ( Y=>nx12897, A0=>nx12899, A1=>nx14066); ix12900 : mux21 port map ( Y=>nx12899, A0=>PRI_IN_6(13), A1=> reg_25_q_c_13, S0=>C_MUX2_24_SEL); ix14067 : ao21 port map ( Y=>nx14066, A0=>C_MUX2_19_SEL, A1=> reg_23_q_c_13, B0=>nx14062); REG_23_reg_q_13 : dff port map ( Q=>reg_23_q_c_13, QB=>OPEN, D=>nx13816, CLK=>CLK); ix13817 : xnor2 port map ( Y=>nx13816, A0=>nx13792, A1=>nx12911); ix13793 : oai22 port map ( Y=>nx13792, A0=>nx12429, A1=>nx12433, B0=> nx12472, B1=>nx12909); ix12910 : inv02 port map ( Y=>nx12909, A=>PRI_IN_7(12)); ix12912 : xnor2 port map ( Y=>nx12911, A0=>PRI_IN_7(13), A1=> reg_45_q_c_13); ix14063 : nor02 port map ( Y=>nx14062, A0=>C_MUX2_19_SEL, A1=>nx12915); ix12916 : mux21 port map ( Y=>nx12915, A0=>reg_26_q_c_13, A1=> reg_27_q_c_13, S0=>C_MUX2_12_SEL); REG_27_reg_q_13 : dff port map ( Q=>reg_27_q_c_13, QB=>nx12932, D=> nx14044, CLK=>CLK); ix14045 : xnor2 port map ( Y=>nx14044, A0=>nx14020, A1=>nx12922); ix14021 : mux21 port map ( Y=>nx14020, A0=>nx12443, A1=>reg_32_q_c_12, S0 =>nx12445); REG_32_reg_q_13 : dff port map ( Q=>OPEN, QB=>nx12931, D=>nx14034, CLK=> CLK); ix14035 : xor2 port map ( Y=>nx14034, A0=>nx12927, A1=>nx12929); ix12928 : mux21 port map ( Y=>nx12927, A0=>nx12974, A1=>nx12143, S0=> nx12454); ix12930 : xnor2 port map ( Y=>nx12929, A0=>nx12659, A1=>nx16225); ix12944 : mux21 port map ( Y=>nx12943, A0=>reg_10_q_c_13, A1=>nx6529, S0 =>C_MUX2_16_SEL); ix14133 : nor02 port map ( Y=>nx14132, A0=>C_MUX2_3_SEL, A1=>nx12943); ix12952 : mux21 port map ( Y=>nx12951, A0=>reg_26_q_c_13, A1=> PRI_IN_6(13), S0=>C_MUX2_23_SEL); REG_20_reg_q_13 : dff port map ( Q=>reg_20_q_c_13, QB=>nx13036, D=> nx14178, CLK=>CLK); ix14179 : xor2 port map ( Y=>nx14178, A0=>nx14174, A1=>nx14176); ix14175 : mux21 port map ( Y=>nx14174, A0=>reg_2_q_c_12, A1=>nx12481, S0 =>nx13120); REG_2_reg_q_13 : dff port map ( Q=>reg_2_q_c_13, QB=>nx13035, D=>nx13908, CLK=>CLK); ix13909 : xnor2 port map ( Y=>nx13908, A0=>nx13748, A1=>nx12967); ix12968 : xnor2 port map ( Y=>nx12967, A0=>PRI_IN_2(13), A1=> PRI_OUT_0_13_EXMPLR); ix13905 : ao21 port map ( Y=>PRI_OUT_0_13_EXMPLR, A0=>C_MUX2_14_SEL, A1=> reg_8_q_c_13, B0=>nx13760); REG_8_reg_q_13 : dff port map ( Q=>reg_8_q_c_13, QB=>OPEN, D=>nx13894, CLK=>CLK); ix13895 : xnor2 port map ( Y=>nx13894, A0=>nx13768, A1=>nx12977); ix13769 : oai22 port map ( Y=>nx13768, A0=>nx12501, A1=>nx12505, B0=> nx12565, B1=>nx12566); ix12978 : xnor2 port map ( Y=>nx12977, A0=>reg_8_q_c_13, A1=> reg_49_q_c_13); REG_49_reg_q_13 : dff port map ( Q=>reg_49_q_c_13, QB=>OPEN, D=>nx13884, CLK=>CLK); ix13885 : xnor2 port map ( Y=>nx13884, A0=>nx13776, A1=>nx12984); ix12985 : xnor2 port map ( Y=>nx12984, A0=>reg_46_q_c_13, A1=> PRI_OUT_4_13_EXMPLR); REG_46_reg_q_13 : dff port map ( Q=>reg_46_q_c_13, QB=>OPEN, D=>nx13874, CLK=>CLK); ix13875 : xor2 port map ( Y=>nx13874, A0=>nx13784, A1=>nx13872); ix13785 : mux21 port map ( Y=>nx13784, A0=>nx12523, A1=>nx12517, S0=> nx12816); ix13873 : xnor2 port map ( Y=>nx13872, A0=>reg_23_q_c_13, A1=>nx12992); ix12993 : mux21 port map ( Y=>nx12992, A0=>reg_9_q_c_13, A1=>PRI_IN_5(13), S0=>C_MUX2_17_SEL); REG_9_reg_q_13 : dff port map ( Q=>reg_9_q_c_13, QB=>OPEN, D=>nx13856, CLK=>CLK); ix13857 : xor2 port map ( Y=>nx13856, A0=>nx13832, A1=>nx13854); ix13833 : mux21 port map ( Y=>nx13832, A0=>reg_30_q_c_12, A1=>nx12527, S0 =>nx12798); REG_31_reg_q_13 : dff port map ( Q=>reg_31_q_c_13, QB=>OPEN, D=>nx13846, CLK=>CLK); ix13847 : xor2 port map ( Y=>nx13846, A0=>nx13842, A1=>nx13844); ix13843 : mux21 port map ( Y=>nx13842, A0=>reg_34_q_c_12, A1=>nx12533, S0 =>nx12788); REG_34_reg_q_13 : dff port map ( Q=>reg_34_q_c_13, QB=>nx13025, D=> nx13930, CLK=>CLK); ix13931 : xor2 port map ( Y=>nx13930, A0=>nx13718, A1=>nx13928); ix13719 : oai22 port map ( Y=>nx13718, A0=>nx12541, A1=>nx13009, B0=> nx12870, B1=>nx12623); ix13929 : xnor2 port map ( Y=>nx13928, A0=>reg_41_q_c_13, A1=>nx13926); ix13927 : ao21 port map ( Y=>nx13926, A0=>C_MUX2_22_SEL, A1=>PRI_IN_5(13), B0=>nx13922); ix13923 : nor02 port map ( Y=>nx13922, A0=>C_MUX2_22_SEL, A1=>nx13017); REG_3_reg_q_13 : dff port map ( Q=>reg_3_q_c_13, QB=>OPEN, D=>nx13730, CLK=>CLK); ix13731 : xor2 port map ( Y=>nx13730, A0=>nx13726, A1=>nx13728); ix13727 : mux21 port map ( Y=>nx13726, A0=>nx12421, A1=>nx12555, S0=> nx12672); ix13729 : xnor2 port map ( Y=>nx13728, A0=>reg_12_q_c_13, A1=>nx12899); ix13761 : nor02 port map ( Y=>nx13760, A0=>C_MUX2_14_SEL, A1=>nx13033); REG_47_reg_q_13 : dff port map ( Q=>reg_47_q_c_13, QB=>OPEN, D=>nx14206, CLK=>CLK); ix14207 : xnor2 port map ( Y=>nx14206, A0=>nx14202, A1=>nx13041); ix14203 : mux21 port map ( Y=>nx14202, A0=>nx12579, A1=>nx12611, S0=> nx12583); REG_36_reg_q_13 : dff port map ( Q=>reg_36_q_c_13, QB=>nx13066, D=> nx13672, CLK=>CLK); ix13673 : ao21 port map ( Y=>nx13672, A0=>C_MUX2_1_SEL, A1=>nx13668, B0=> nx13656); ix13669 : xnor2 port map ( Y=>nx13668, A0=>nx13664, A1=>nx13049); ix13665 : oai22 port map ( Y=>nx13664, A0=>nx12605, A1=>nx12609, B0=> nx12575, B1=>nx12909); ix13050 : xnor2 port map ( Y=>nx13049, A0=>PRI_IN_7(13), A1=> reg_44_q_c_13); ix13657 : nor02 port map ( Y=>nx13656, A0=>C_MUX2_1_SEL, A1=>nx13053); ix13054 : xnor2 port map ( Y=>nx13053, A0=>nx13334, A1=>nx13650); ix13335 : mux21 port map ( Y=>nx13334, A0=>reg_38_q_c_12, A1=>nx12590, S0 =>nx12594); REG_37_reg_q_13 : dff port map ( Q=>reg_37_q_c_13, QB=>OPEN, D=>nx13346, CLK=>CLK); ix13347 : xnor2 port map ( Y=>nx13346, A0=>nx13342, A1=>nx13063); ix13343 : oai22 port map ( Y=>nx13342, A0=>nx12595, A1=>nx12599, B0=> nx12361, B1=>nx12411); REG_24_reg_q_13 : dff port map ( Q=>reg_24_q_c_13, QB=>OPEN, D=>nx13458, CLK=>CLK); ix13459 : xnor2 port map ( Y=>nx13458, A0=>nx13416, A1=>nx13087); ix13415 : nor02 port map ( Y=>nx13414, A0=>nx12629, A1=>nx12632); ix13088 : xnor2 port map ( Y=>nx13087, A0=>PRI_IN_2(13), A1=> reg_48_q_c_13); REG_48_reg_q_13 : dff port map ( Q=>reg_48_q_c_13, QB=>OPEN, D=>nx13448, CLK=>CLK); ix13449 : xnor2 port map ( Y=>nx13448, A0=>nx13424, A1=>nx13094); ix13095 : xnor2 port map ( Y=>nx13094, A0=>reg_50_q_c_13, A1=>nx6525); REG_50_reg_q_13 : dff port map ( Q=>reg_50_q_c_13, QB=>OPEN, D=>nx13438, CLK=>CLK); ix13439 : xor2 port map ( Y=>nx13438, A0=>nx13434, A1=>nx13436); ix13435 : mux21 port map ( Y=>nx13434, A0=>PRI_IN_0(12), A1=>nx12645, S0 =>nx12380); ix13437 : xnor2 port map ( Y=>nx13436, A0=>PRI_IN_0(13), A1=>reg_5_q_c_13 ); ix14541 : mux21 port map ( Y=>PRI_OUT_9_14_EXMPLR, A0=>nx13111, A1=> nx13452, S0=>C_MUX2_13_SEL); ix13112 : mux21 port map ( Y=>nx13111, A0=>reg_22_q_c_14, A1=> reg_24_q_c_14, S0=>C_MUX2_15_SEL); REG_22_reg_q_14 : dff port map ( Q=>reg_22_q_c_14, QB=>nx13643, D=> nx15136, CLK=>CLK); ix15137 : xor2 port map ( Y=>nx15136, A0=>nx13117, A1=>nx13121); ix13118 : aoi22 port map ( Y=>nx13117, A0=>reg_38_q_c_13, A1=> reg_33_q_c_13, B0=>nx13234, B1=>nx14078); REG_33_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13641, D=>nx15126, CLK=> CLK); ix15127 : xor2 port map ( Y=>nx15126, A0=>nx14300, A1=>nx15124); ix14301 : mux21 port map ( Y=>nx14300, A0=>reg_13_q_c_13, A1=>nx12673, S0 =>nx14068); ix13136 : xnor2 port map ( Y=>nx13135, A0=>reg_43_q_c_14, A1=>nx6543); REG_43_reg_q_14 : dff port map ( Q=>reg_43_q_c_14, QB=>OPEN, D=>nx15050, CLK=>CLK); ix15051 : xor2 port map ( Y=>nx15050, A0=>nx13139, A1=>nx13143); ix13140 : aoi22 port map ( Y=>nx13139, A0=>reg_25_q_c_13, A1=> PRI_OUT_1_13_EXMPLR, B0=>nx13260, B1=>nx13992); REG_14_reg_q_14 : dff port map ( Q=>PRI_OUT_1_14_EXMPLR, QB=>OPEN, D=> nx15040, CLK=>CLK); ix15041 : xor2 port map ( Y=>nx15040, A0=>nx13149, A1=>nx13153); ix13150 : aoi22 port map ( Y=>nx13149, A0=>reg_24_q_c_13, A1=> reg_7_q_c_13, B0=>nx13268, B1=>nx13982); REG_7_reg_q_14 : dff port map ( Q=>reg_7_q_c_14, QB=>nx13607, D=>nx15030, CLK=>CLK); ix15031 : xor2 port map ( Y=>nx15030, A0=>nx13157, A1=>nx13161); ix13158 : aoi22 port map ( Y=>nx13157, A0=>reg_41_q_c_13, A1=> PRI_IN_6(13), B0=>nx13276, B1=>nx13972); REG_41_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13605, D=>nx15020, CLK=> CLK); ix15021 : xor2 port map ( Y=>nx15020, A0=>nx13165, A1=>nx13173); ix13166 : aoi22 port map ( Y=>nx13165, A0=>nx6529, A1=>PRI_IN_1(13), B0=> nx13284, B1=>nx13962); ix13174 : xnor2 port map ( Y=>nx13173, A0=>PRI_IN_1(14), A1=>nx6540); ix15017 : mux21 port map ( Y=>nx6540, A0=>nx13177, A1=>nx13553, S0=> C_MUX2_21_SEL); ix13178 : mux21 port map ( Y=>nx13177, A0=>reg_5_q_c_14, A1=>reg_7_q_c_14, S0=>C_MUX2_8_SEL); REG_5_reg_q_14 : dff port map ( Q=>reg_5_q_c_14, QB=>OPEN, D=>nx14996, CLK=>CLK); ix14997 : xor2 port map ( Y=>nx14996, A0=>nx13183, A1=>nx13187); ix13184 : aoi22 port map ( Y=>nx13183, A0=>reg_34_q_c_13, A1=> reg_26_q_c_13, B0=>nx13294, B1=>nx13938); REG_26_reg_q_14 : dff port map ( Q=>reg_26_q_c_14, QB=>nx13602, D=> nx14758, CLK=>CLK); ix14759 : xnor2 port map ( Y=>nx14758, A0=>nx13193, A1=>nx14756); ix13194 : aoi22 port map ( Y=>nx13193, A0=>nx13074, A1=>PRI_IN_5(13), B0 =>nx13304, B1=>nx13700); ix14757 : xnor2 port map ( Y=>nx14756, A0=>PRI_IN_5(14), A1=> reg_29_q_c_14); REG_29_reg_q_14 : dff port map ( Q=>reg_29_q_c_14, QB=>OPEN, D=>nx14748, CLK=>CLK); ix14749 : xnor2 port map ( Y=>nx14748, A0=>nx13201, A1=>nx14746); ix13202 : aoi22 port map ( Y=>nx13201, A0=>nx13203, A1=>reg_30_q_c_13, B0 =>nx13314, B1=>nx13690); ix13204 : inv02 port map ( Y=>nx13203, A=>PRI_IN_4(13)); REG_30_reg_q_14 : dff port map ( Q=>reg_30_q_c_14, QB=>nx13600, D=> nx14738, CLK=>CLK); ix14739 : xnor2 port map ( Y=>nx14738, A0=>nx13209, A1=>nx14736); ix13210 : aoi22 port map ( Y=>nx13209, A0=>nx13066, A1=>reg_25_q_c_13, B0 =>nx13324, B1=>nx13680); REG_25_reg_q_14 : dff port map ( Q=>reg_25_q_c_14, QB=>nx13599, D=> nx15156, CLK=>CLK); ix15157 : xnor2 port map ( Y=>nx15156, A0=>nx14274, A1=>nx13223); ix14275 : oai22 port map ( Y=>nx14274, A0=>nx12759, A1=>nx12765, B0=> nx13638, B1=>nx13071); ix13224 : xnor2 port map ( Y=>nx13223, A0=>reg_15_q_c_14, A1=>nx13323); REG_15_reg_q_14 : dff port map ( Q=>reg_15_q_c_14, QB=>OPEN, D=>nx15146, CLK=>CLK); ix15147 : xor2 port map ( Y=>nx15146, A0=>nx13229, A1=>nx13233); ix13230 : aoi22 port map ( Y=>nx13229, A0=>reg_22_q_c_13, A1=> PRI_OUT_8_13_EXMPLR, B0=>nx13226, B1=>nx14088); REG_21_reg_q_14 : dff port map ( Q=>PRI_OUT_8_14_EXMPLR, QB=>OPEN, D=> nx15272, CLK=>CLK); ix15273 : xor2 port map ( Y=>nx15272, A0=>nx13239, A1=>nx13243); ix13240 : aoi22 port map ( Y=>nx13239, A0=>reg_47_q_c_13, A1=> reg_44_q_c_13, B0=>nx13174, B1=>nx14214); REG_44_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13557, D=>nx15244, CLK=> CLK); ix15245 : xnor2 port map ( Y=>nx15244, A0=>nx13249, A1=>nx15242); ix13250 : aoi22 port map ( Y=>nx13249, A0=>nx12953, A1=>reg_20_q_c_13, B0 =>nx13184, B1=>nx14186); REG_1_reg_q_14 : dff port map ( Q=>reg_1_q_c_14, QB=>OPEN, D=>nx15214, CLK=>CLK); ix15215 : xnor2 port map ( Y=>nx15214, A0=>nx14250, A1=>nx13263); ix13264 : xnor2 port map ( Y=>nx13263, A0=>nx6543, A1=>nx13452); REG_4_reg_q_14 : dff port map ( Q=>reg_4_q_c_14, QB=>OPEN, D=>nx15200, CLK=>CLK); ix15201 : xnor2 port map ( Y=>nx15200, A0=>nx13271, A1=>nx15198); ix13272 : aoi22 port map ( Y=>nx13271, A0=>nx6531, A1=>reg_45_q_c_13, B0 =>nx14140, B1=>nx14142); REG_45_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13449, D=>nx14862, CLK=> CLK); ix14863 : xor2 port map ( Y=>nx14862, A0=>nx13281, A1=>nx13283); ix13282 : mux21 port map ( Y=>nx13281, A0=>nx13802, A1=>nx12888, S0=> nx12811); ix13284 : xnor2 port map ( Y=>nx13283, A0=>nx13285, A1=>nx6539); ix13286 : mux21 port map ( Y=>nx13285, A0=>PRI_IN_8(14), A1=> reg_12_q_c_14, S0=>C_MUX2_7_SEL); REG_12_reg_q_14 : dff port map ( Q=>reg_12_q_c_14, QB=>OPEN, D=>nx14634, CLK=>CLK); ix14635 : xor2 port map ( Y=>nx14634, A0=>nx13291, A1=>nx13295); ix13292 : aoi22 port map ( Y=>nx13291, A0=>nx12943, A1=>reg_28_q_c_13, B0 =>nx13536, B1=>nx13576); ix13296 : xnor2 port map ( Y=>nx13295, A0=>reg_28_q_c_14, A1=>nx13444); REG_28_reg_q_14 : dff port map ( Q=>reg_28_q_c_14, QB=>OPEN, D=>nx14624, CLK=>CLK); ix14625 : xor2 port map ( Y=>nx14624, A0=>nx13301, A1=>nx13305); ix13302 : aoi22 port map ( Y=>nx13301, A0=>nx6529, A1=> PRI_OUT_3_13_EXMPLR, B0=>nx13544, B1=>nx13566); ix13306 : xnor2 port map ( Y=>nx13305, A0=>PRI_OUT_3_14_EXMPLR, A1=> nx6540); REG_17_reg_q_14 : dff port map ( Q=>PRI_OUT_3_14_EXMPLR, QB=>OPEN, D=> nx14614, CLK=>CLK); ix14615 : xnor2 port map ( Y=>nx14614, A0=>nx13311, A1=>nx14612); ix13312 : aoi22 port map ( Y=>nx13311, A0=>nx12940, A1=>PRI_IN_0(13), B0 =>nx13554, B1=>nx13556); REG_38_reg_q_14 : dff port map ( Q=>reg_38_q_c_14, QB=>nx13441, D=> nx14698, CLK=>CLK); ix14699 : xnor2 port map ( Y=>nx14698, A0=>nx14418, A1=>nx13321); ix14419 : oai22 port map ( Y=>nx14418, A0=>nx12837, A1=>nx12841, B0=> nx13638, B1=>nx13203); ix13322 : xnor2 port map ( Y=>nx13321, A0=>PRI_IN_4(14), A1=>nx13323); ix13324 : mux21 port map ( Y=>nx13323, A0=>reg_15_q_c_14, A1=>nx6537, S0 =>C_MUX2_11_SEL); REG_13_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13327, D=>nx15060, CLK=> CLK); REG_16_reg_q_14 : dff port map ( Q=>reg_16_q_c_14, QB=>OPEN, D=>nx14672, CLK=>CLK); ix14673 : xnor2 port map ( Y=>nx14672, A0=>nx13335, A1=>nx14670); ix13336 : aoi22 port map ( Y=>nx13335, A0=>nx13072, A1=>reg_42_q_c_13, B0 =>nx13380, B1=>nx13614); REG_42_reg_q_14 : dff port map ( Q=>reg_42_q_c_14, QB=>OPEN, D=>nx14662, CLK=>CLK); ix14663 : xor2 port map ( Y=>nx14662, A0=>nx13343, A1=>nx13347); ix13344 : aoi22 port map ( Y=>nx13343, A0=>reg_39_q_c_13, A1=> reg_22_q_c_13, B0=>nx13388, B1=>nx13604); REG_39_reg_q_14 : dff port map ( Q=>reg_39_q_c_14, QB=>nx13437, D=> nx14652, CLK=>CLK); ix14653 : xnor2 port map ( Y=>nx14652, A0=>nx13351, A1=>nx14650); ix13352 : aoi22 port map ( Y=>nx13351, A0=>nx13592, A1=>reg_39_q_c_13, B0 =>nx13396, B1=>nx13594); ix13358 : mux21 port map ( Y=>nx13356, A0=>PRI_OUT_4_14_EXMPLR, A1=> nx14644, S0=>C_MUX2_5_SEL); REG_6_reg_q_14 : dff port map ( Q=>reg_6_q_c_14, QB=>OPEN, D=>nx14560, CLK=>CLK); ix14561 : xor2 port map ( Y=>nx14560, A0=>nx13365, A1=>nx13371); ix13372 : xnor2 port map ( Y=>nx13371, A0=>PRI_OUT_9_14_EXMPLR, A1=> nx6539); ix14549 : ao21 port map ( Y=>nx6539, A0=>C_MUX2_18_SEL, A1=>reg_39_q_c_14, B0=>nx14544); ix14545 : nor02 port map ( Y=>nx14544, A0=>C_MUX2_18_SEL, A1=>nx13377); REG_10_reg_q_14 : dff port map ( Q=>reg_10_q_c_14, QB=>nx13381, D=> nx15170, CLK=>CLK); ix15171 : xnor2 port map ( Y=>nx15170, A0=>nx14260, A1=>nx13391); ix14261 : mux21 port map ( Y=>nx14260, A0=>nx12895, A1=>nx6533, S0=> nx12897); ix13392 : xnor2 port map ( Y=>nx13391, A0=>nx13393, A1=>nx15122); ix13394 : mux21 port map ( Y=>nx13393, A0=>PRI_IN_6(14), A1=> reg_25_q_c_14, S0=>C_MUX2_24_SEL); ix15123 : ao21 port map ( Y=>nx15122, A0=>C_MUX2_19_SEL, A1=> reg_23_q_c_14, B0=>nx15118); REG_23_reg_q_14 : dff port map ( Q=>reg_23_q_c_14, QB=>OPEN, D=>nx14872, CLK=>CLK); ix14873 : xor2 port map ( Y=>nx14872, A0=>nx13401, A1=>nx13405); ix13402 : aoi22 port map ( Y=>nx13401, A0=>reg_45_q_c_13, A1=> PRI_IN_7(13), B0=>nx13792, B1=>nx13814); ix15119 : nor02 port map ( Y=>nx15118, A0=>C_MUX2_19_SEL, A1=>nx13409); ix13410 : mux21 port map ( Y=>nx13409, A0=>reg_26_q_c_14, A1=> reg_27_q_c_14, S0=>C_MUX2_12_SEL); REG_27_reg_q_14 : dff port map ( Q=>reg_27_q_c_14, QB=>OPEN, D=>nx15100, CLK=>CLK); ix15101 : xor2 port map ( Y=>nx15100, A0=>nx13415, A1=>nx13417); ix13416 : mux21 port map ( Y=>nx13415, A0=>nx14020, A1=>nx12931, S0=> nx12922); ix13418 : xnor2 port map ( Y=>nx13417, A0=>reg_32_q_c_14, A1=>nx13285); REG_32_reg_q_14 : dff port map ( Q=>reg_32_q_c_14, QB=>OPEN, D=>nx15090, CLK=>CLK); ix15091 : xnor2 port map ( Y=>nx15090, A0=>nx15086, A1=>nx13429); ix15087 : mux21 port map ( Y=>nx15086, A0=>nx12927, A1=>nx13468, S0=> nx12929); ix13430 : xnor2 port map ( Y=>nx13429, A0=>nx13111, A1=>nx6539); ix13445 : mux21 port map ( Y=>nx13444, A0=>reg_10_q_c_14, A1=>nx6540, S0 =>C_MUX2_16_SEL); ix15189 : nor02 port map ( Y=>nx15188, A0=>C_MUX2_3_SEL, A1=>nx13444); REG_20_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13555, D=>nx15234, CLK=> CLK); ix15235 : xnor2 port map ( Y=>nx15234, A0=>nx13457, A1=>nx15232); ix13458 : aoi22 port map ( Y=>nx13457, A0=>nx13035, A1=>PRI_IN_4(13), B0 =>nx14174, B1=>nx14176); REG_2_reg_q_14 : dff port map ( Q=>reg_2_q_c_14, QB=>nx13553, D=>nx14964, CLK=>CLK); ix14965 : xor2 port map ( Y=>nx14964, A0=>nx15939, A1=>nx13467); ix13468 : xnor2 port map ( Y=>nx13467, A0=>PRI_IN_2(14), A1=> PRI_OUT_0_14_EXMPLR); ix14961 : ao21 port map ( Y=>PRI_OUT_0_14_EXMPLR, A0=>C_MUX2_14_SEL, A1=> reg_8_q_c_14, B0=>nx14816); REG_8_reg_q_14 : dff port map ( Q=>reg_8_q_c_14, QB=>nx13549, D=>nx14950, CLK=>CLK); ix14951 : xor2 port map ( Y=>nx14950, A0=>nx13473, A1=>nx13477); ix13474 : aoi22 port map ( Y=>nx13473, A0=>reg_49_q_c_13, A1=> reg_8_q_c_13, B0=>nx13768, B1=>nx13892); REG_49_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13548, D=>nx14940, CLK=> CLK); ix14941 : xor2 port map ( Y=>nx14940, A0=>nx15631, A1=>nx15632); REG_46_reg_q_14 : dff port map ( Q=>reg_46_q_c_14, QB=>OPEN, D=>nx14930, CLK=>CLK); ix14931 : xnor2 port map ( Y=>nx14930, A0=>nx13493, A1=>nx14928); ix13494 : aoi22 port map ( Y=>nx13493, A0=>nx13870, A1=>reg_23_q_c_13, B0 =>nx13784, B1=>nx13872); ix14929 : xnor2 port map ( Y=>nx14928, A0=>reg_23_q_c_14, A1=>nx13501); ix13502 : mux21 port map ( Y=>nx13501, A0=>reg_9_q_c_14, A1=>PRI_IN_5(14), S0=>C_MUX2_17_SEL); REG_9_reg_q_14 : dff port map ( Q=>reg_9_q_c_14, QB=>OPEN, D=>nx14912, CLK=>CLK); ix14913 : xnor2 port map ( Y=>nx14912, A0=>nx13507, A1=>nx14910); ix13508 : aoi22 port map ( Y=>nx13507, A0=>nx13073, A1=>reg_31_q_c_13, B0 =>nx13832, B1=>nx13854); REG_31_reg_q_14 : dff port map ( Q=>reg_31_q_c_14, QB=>OPEN, D=>nx14902, CLK=>CLK); ix14903 : xnor2 port map ( Y=>nx14902, A0=>nx13512, A1=>nx14900); ix13513 : aoi22 port map ( Y=>nx13512, A0=>nx13025, A1=>reg_33_q_c_13, B0 =>nx13842, B1=>nx13844); REG_34_reg_q_14 : dff port map ( Q=>reg_34_q_c_14, QB=>nx13543, D=> nx14986, CLK=>CLK); ix14987 : xnor2 port map ( Y=>nx14986, A0=>nx13519, A1=>nx14984); ix13520 : aoi22 port map ( Y=>nx13519, A0=>nx13521, A1=>reg_41_q_c_13, B0 =>nx13718, B1=>nx13928); ix14983 : ao21 port map ( Y=>nx14982, A0=>C_MUX2_22_SEL, A1=>PRI_IN_5(14), B0=>nx14978); ix14979 : nor02 port map ( Y=>nx14978, A0=>C_MUX2_22_SEL, A1=>nx13531); REG_3_reg_q_14 : dff port map ( Q=>reg_3_q_c_14, QB=>OPEN, D=>nx14786, CLK=>CLK); ix14787 : xnor2 port map ( Y=>nx14786, A0=>nx13537, A1=>nx14784); ix13538 : aoi22 port map ( Y=>nx13537, A0=>nx6533, A1=>reg_12_q_c_13, B0 =>nx13726, B1=>nx13728); ix14785 : xnor2 port map ( Y=>nx14784, A0=>reg_12_q_c_14, A1=>nx13393); ix14817 : nor02 port map ( Y=>nx14816, A0=>C_MUX2_14_SEL, A1=>nx13551); REG_47_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13595, D=>nx15262, CLK=> CLK); ix15263 : xor2 port map ( Y=>nx15262, A0=>nx13561, A1=>nx13564); ix13562 : aoi22 port map ( Y=>nx13561, A0=>reg_36_q_c_13, A1=> PRI_IN_3(13), B0=>nx14202, B1=>nx14204); REG_36_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13593, D=>nx14728, CLK=> CLK); ix14729 : ao21 port map ( Y=>nx14728, A0=>nx6802, A1=>nx14708, B0=> nx14726); ix14709 : xnor2 port map ( Y=>nx14708, A0=>nx13571, A1=>nx14706); ix13572 : aoi22 port map ( Y=>nx13571, A0=>nx12940, A1=>reg_37_q_c_13, B0 =>nx13334, B1=>nx13650); REG_37_reg_q_14 : dff port map ( Q=>reg_37_q_c_14, QB=>OPEN, D=>nx14402, CLK=>CLK); ix14403 : xor2 port map ( Y=>nx14402, A0=>nx13577, A1=>nx13581); ix13578 : aoi22 port map ( Y=>nx13577, A0=>reg_13_q_c_13, A1=> reg_10_q_c_13, B0=>nx13342, B1=>nx13344); ix14727 : nor02 port map ( Y=>nx14726, A0=>nx6802, A1=>nx13585); ix13586 : xnor2 port map ( Y=>nx13585, A0=>nx13587, A1=>nx13591); ix13588 : aoi22 port map ( Y=>nx13587, A0=>reg_44_q_c_13, A1=> PRI_IN_7(13), B0=>nx13664, B1=>nx13666); REG_24_reg_q_14 : dff port map ( Q=>reg_24_q_c_14, QB=>nx13635, D=> nx14514, CLK=>CLK); ix14515 : xor2 port map ( Y=>nx14514, A0=>nx13610, A1=>nx13613); REG_48_reg_q_14 : dff port map ( Q=>reg_48_q_c_14, QB=>OPEN, D=>nx14504, CLK=>CLK); REG_50_reg_q_14 : dff port map ( Q=>reg_50_q_c_14, QB=>OPEN, D=>nx14494, CLK=>CLK); ix14495 : xnor2 port map ( Y=>nx14494, A0=>nx13627, A1=>nx14492); ix13628 : aoi22 port map ( Y=>nx13627, A0=>nx13629, A1=>reg_5_q_c_13, B0 =>nx13434, B1=>nx13436); ix13630 : inv02 port map ( Y=>nx13629, A=>PRI_IN_0(13)); ix14493 : xnor2 port map ( Y=>nx14492, A0=>PRI_IN_0(14), A1=>reg_5_q_c_14 ); ix15597 : mux21 port map ( Y=>PRI_OUT_9_15_EXMPLR, A0=>nx13645, A1=> nx13983, S0=>C_MUX2_13_SEL); ix13646 : mux21 port map ( Y=>nx13645, A0=>reg_22_q_c_15, A1=> reg_24_q_c_15, S0=>C_MUX2_15_SEL); REG_22_reg_q_15 : dff port map ( Q=>reg_22_q_c_15, QB=>OPEN, D=>nx16192, CLK=>CLK); ix16193 : xnor2 port map ( Y=>nx16192, A0=>nx15346, A1=>nx13651); ix15347 : oai22 port map ( Y=>nx15346, A0=>nx13117, A1=>nx13121, B0=> nx13441, B1=>nx13641); REG_33_reg_q_15 : dff port map ( Q=>reg_33_q_c_15, QB=>OPEN, D=>nx16182, CLK=>CLK); ix16183 : xnor2 port map ( Y=>nx16182, A0=>nx13657, A1=>nx16180); ix13658 : mux21 port map ( Y=>nx13657, A0=>nx13327, A1=>nx14300, S0=> nx15124); ix13670 : xnor2 port map ( Y=>nx13669, A0=>reg_43_q_c_15, A1=>nx6551); REG_43_reg_q_15 : dff port map ( Q=>reg_43_q_c_15, QB=>OPEN, D=>nx16106, CLK=>CLK); ix16107 : xnor2 port map ( Y=>nx16106, A0=>nx15372, A1=>nx13676); ix15373 : mux21 port map ( Y=>nx15372, A0=>nx13139, A1=>nx13599, S0=> nx13143); ix13677 : xnor2 port map ( Y=>nx13676, A0=>PRI_OUT_1_15_EXMPLR, A1=> reg_25_q_c_15); REG_14_reg_q_15 : dff port map ( Q=>PRI_OUT_1_15_EXMPLR, QB=>OPEN, D=> nx16096, CLK=>CLK); ix16097 : xnor2 port map ( Y=>nx16096, A0=>nx15380, A1=>nx13681); ix15381 : oai22 port map ( Y=>nx15380, A0=>nx13149, A1=>nx13153, B0=> nx13635, B1=>nx13607); ix13682 : xnor2 port map ( Y=>nx13681, A0=>reg_7_q_c_15, A1=> reg_24_q_c_15); REG_7_reg_q_15 : dff port map ( Q=>reg_7_q_c_15, QB=>OPEN, D=>nx16086, CLK=>CLK); ix16087 : xnor2 port map ( Y=>nx16086, A0=>nx15388, A1=>nx13689); ix15389 : oai22 port map ( Y=>nx15388, A0=>nx13157, A1=>nx13161, B0=> nx13605, B1=>nx13687); ix13688 : inv02 port map ( Y=>nx13687, A=>PRI_IN_6(14)); ix13690 : xnor2 port map ( Y=>nx13689, A0=>PRI_IN_6(15), A1=> reg_41_q_c_15); REG_41_reg_q_15 : dff port map ( Q=>reg_41_q_c_15, QB=>OPEN, D=>nx16076, CLK=>CLK); ix16077 : xnor2 port map ( Y=>nx16076, A0=>nx15396, A1=>nx13699); ix15397 : mux21 port map ( Y=>nx15396, A0=>nx13165, A1=>nx13696, S0=> nx13173); ix13700 : xnor2 port map ( Y=>nx13699, A0=>PRI_IN_1(15), A1=>nx6547); ix16073 : ao21 port map ( Y=>nx6547, A0=>C_MUX2_21_SEL, A1=>reg_2_q_c_15, B0=>nx16068); ix16017 : ao21 port map ( Y=>PRI_OUT_0_15_EXMPLR, A0=>nx13711, A1=> nx15868, B0=>nx16014); ix13712 : inv02 port map ( Y=>nx13711, A=>C_MUX2_14_SEL); ix15869 : ao21 port map ( Y=>nx15868, A0=>C_MUX2_20_SEL, A1=>reg_1_q_c_15, B0=>nx15864); REG_1_reg_q_15 : dff port map ( Q=>reg_1_q_c_15, QB=>OPEN, D=>nx16270, CLK=>CLK); ix16271 : xnor2 port map ( Y=>nx16270, A0=>nx13719, A1=>nx16268); ix16269 : xor2 port map ( Y=>nx16268, A0=>nx6551, A1=>nx13983); ix16267 : ao21 port map ( Y=>nx6551, A0=>C_MUX2_3_SEL, A1=>reg_4_q_c_15, B0=>nx16244); REG_4_reg_q_15 : dff port map ( Q=>reg_4_q_c_15, QB=>OPEN, D=>nx16256, CLK=>CLK); ix16257 : xnor2 port map ( Y=>nx16256, A0=>nx16252, A1=>nx13734); ix16253 : oai22 port map ( Y=>nx16252, A0=>nx13271, A1=>nx13731, B0=> nx13444, B1=>nx13449); ix13735 : xor2 port map ( Y=>nx13734, A0=>reg_45_q_c_15, A1=>nx13975); REG_45_reg_q_15 : dff port map ( Q=>reg_45_q_c_15, QB=>OPEN, D=>nx15918, CLK=>CLK); ix15919 : xnor2 port map ( Y=>nx15918, A0=>nx15914, A1=>nx13739); ix15915 : mux21 port map ( Y=>nx15914, A0=>nx13281, A1=>nx6539, S0=> nx13283); ix13740 : xnor2 port map ( Y=>nx13739, A0=>nx13741, A1=>nx6545); ix13742 : mux21 port map ( Y=>nx13741, A0=>PRI_IN_8(15), A1=> reg_12_q_c_15, S0=>C_MUX2_7_SEL); REG_12_reg_q_15 : dff port map ( Q=>reg_12_q_c_15, QB=>OPEN, D=>nx15690, CLK=>CLK); ix15691 : xnor2 port map ( Y=>nx15690, A0=>nx15648, A1=>nx13749); ix15649 : mux21 port map ( Y=>nx15648, A0=>nx13291, A1=>nx6541, S0=> nx13295); ix13750 : xnor2 port map ( Y=>nx13749, A0=>reg_28_q_c_15, A1=>nx13975); REG_28_reg_q_15 : dff port map ( Q=>reg_28_q_c_15, QB=>OPEN, D=>nx15680, CLK=>CLK); ix15681 : xnor2 port map ( Y=>nx15680, A0=>nx15656, A1=>nx13755); ix15657 : mux21 port map ( Y=>nx15656, A0=>nx13301, A1=>nx13696, S0=> nx13305); ix13756 : xnor2 port map ( Y=>nx13755, A0=>PRI_OUT_3_15_EXMPLR, A1=> nx6547); REG_17_reg_q_15 : dff port map ( Q=>PRI_OUT_3_15_EXMPLR, QB=>OPEN, D=> nx15670, CLK=>CLK); ix15671 : xnor2 port map ( Y=>nx15670, A0=>nx15666, A1=>nx13761); ix15667 : mux21 port map ( Y=>nx15666, A0=>reg_38_q_c_14, A1=>nx13311, S0 =>nx14612); ix15755 : xnor2 port map ( Y=>nx15754, A0=>nx13767, A1=>nx15752); ix13768 : aoi22 port map ( Y=>nx13767, A0=>nx13323, A1=>PRI_IN_4(14), B0 =>nx14418, B1=>nx14696); ix15753 : xor2 port map ( Y=>nx15752, A0=>PRI_IN_4(15), A1=>nx13777); ix13778 : mux21 port map ( Y=>nx13777, A0=>reg_15_q_c_15, A1=>nx6544, S0 =>C_MUX2_11_SEL); REG_15_reg_q_15 : dff port map ( Q=>reg_15_q_c_15, QB=>OPEN, D=>nx16202, CLK=>CLK); ix16203 : xnor2 port map ( Y=>nx16202, A0=>nx15338, A1=>nx13785); ix15339 : mux21 port map ( Y=>nx15338, A0=>nx13229, A1=>nx13643, S0=> nx13233); ix13786 : xnor2 port map ( Y=>nx13785, A0=>PRI_OUT_8_15_EXMPLR, A1=> reg_22_q_c_15); REG_21_reg_q_15 : dff port map ( Q=>PRI_OUT_8_15_EXMPLR, QB=>OPEN, D=> nx16328, CLK=>CLK); ix16329 : xnor2 port map ( Y=>nx16328, A0=>nx15286, A1=>nx13793); ix15287 : oai22 port map ( Y=>nx15286, A0=>nx13239, A1=>nx13243, B0=> nx13595, B1=>nx13557); ix13794 : xnor2 port map ( Y=>nx13793, A0=>reg_44_q_c_15, A1=> reg_47_q_c_15); REG_44_reg_q_15 : dff port map ( Q=>reg_44_q_c_15, QB=>OPEN, D=>nx16300, CLK=>CLK); ix16301 : xnor2 port map ( Y=>nx16300, A0=>nx15296, A1=>nx13799); ix15297 : mux21 port map ( Y=>nx15296, A0=>reg_1_q_c_14, A1=>nx13249, S0 =>nx15242); REG_20_reg_q_15 : dff port map ( Q=>OPEN, QB=>nx13811, D=>nx16290, CLK=> CLK); ix16291 : xnor2 port map ( Y=>nx16290, A0=>nx16286, A1=>nx13807); ix16287 : mux21 port map ( Y=>nx16286, A0=>reg_2_q_c_14, A1=>nx13457, S0 =>nx15232); REG_2_reg_q_15 : dff port map ( Q=>reg_2_q_c_15, QB=>OPEN, D=>nx16020, CLK=>CLK); REG_47_reg_q_15 : dff port map ( Q=>reg_47_q_c_15, QB=>OPEN, D=>nx16318, CLK=>CLK); ix16319 : xnor2 port map ( Y=>nx16318, A0=>nx16314, A1=>nx13817); ix16315 : mux21 port map ( Y=>nx16314, A0=>nx13561, A1=>nx13593, S0=> nx13564); ix13818 : xnor2 port map ( Y=>nx13817, A0=>PRI_IN_3(15), A1=> reg_36_q_c_15); REG_36_reg_q_15 : dff port map ( Q=>reg_36_q_c_15, QB=>OPEN, D=>nx15784, CLK=>CLK); ix15785 : ao21 port map ( Y=>nx15784, A0=>C_MUX2_1_SEL, A1=>nx15780, B0=> nx15768); ix15781 : xnor2 port map ( Y=>nx15780, A0=>nx15776, A1=>nx13825); ix15777 : oai22 port map ( Y=>nx15776, A0=>nx13587, A1=>nx13591, B0=> nx13557, B1=>nx13823); ix13824 : inv02 port map ( Y=>nx13823, A=>PRI_IN_7(14)); ix13826 : xnor2 port map ( Y=>nx13825, A0=>PRI_IN_7(15), A1=> reg_44_q_c_15); ix15769 : nor02 port map ( Y=>nx15768, A0=>C_MUX2_1_SEL, A1=>nx13829); ix13830 : xnor2 port map ( Y=>nx13829, A0=>nx15446, A1=>nx15762); ix15447 : mux21 port map ( Y=>nx15446, A0=>reg_38_q_c_14, A1=>nx13571, S0 =>nx14706); REG_37_reg_q_15 : dff port map ( Q=>reg_37_q_c_15, QB=>OPEN, D=>nx15458, CLK=>CLK); ix15459 : xnor2 port map ( Y=>nx15458, A0=>nx15454, A1=>nx13841); ix15455 : oai22 port map ( Y=>nx15454, A0=>nx13577, A1=>nx13581, B0=> nx13327, B1=>nx13381); ix16227 : xnor2 port map ( Y=>nx16226, A0=>nx13847, A1=>nx16224); ix13848 : mux21 port map ( Y=>nx13847, A0=>nx14260, A1=>nx13393, S0=> nx13391); ix16225 : xor2 port map ( Y=>nx16224, A0=>nx13850, A1=>nx16178); ix13851 : mux21 port map ( Y=>nx13850, A0=>PRI_IN_6(15), A1=> reg_25_q_c_15, S0=>C_MUX2_24_SEL); REG_25_reg_q_15 : dff port map ( Q=>reg_25_q_c_15, QB=>OPEN, D=>nx16212, CLK=>CLK); ix16213 : xnor2 port map ( Y=>nx16212, A0=>nx13855, A1=>nx16210); ix13856 : aoi22 port map ( Y=>nx13855, A0=>nx13323, A1=>reg_15_q_c_14, B0 =>nx14274, B1=>nx15154); ix16211 : xor2 port map ( Y=>nx16210, A0=>reg_15_q_c_15, A1=>nx13777); ix16179 : ao21 port map ( Y=>nx16178, A0=>C_MUX2_19_SEL, A1=> reg_23_q_c_15, B0=>nx16174); REG_23_reg_q_15 : dff port map ( Q=>reg_23_q_c_15, QB=>OPEN, D=>nx15928, CLK=>CLK); ix15929 : xnor2 port map ( Y=>nx15928, A0=>nx15904, A1=>nx13865); ix15905 : oai22 port map ( Y=>nx15904, A0=>nx13401, A1=>nx13405, B0=> nx13449, B1=>nx13823); ix13866 : xnor2 port map ( Y=>nx13865, A0=>PRI_IN_7(15), A1=> reg_45_q_c_15); ix16175 : nor02 port map ( Y=>nx16174, A0=>C_MUX2_19_SEL, A1=>nx13869); ix13870 : mux21 port map ( Y=>nx13869, A0=>reg_26_q_c_15, A1=> reg_27_q_c_15, S0=>C_MUX2_12_SEL); REG_26_reg_q_15 : dff port map ( Q=>reg_26_q_c_15, QB=>OPEN, D=>nx15814, CLK=>CLK); ix15815 : xnor2 port map ( Y=>nx15814, A0=>nx15416, A1=>nx13877); ix15417 : mux21 port map ( Y=>nx15416, A0=>reg_29_q_c_14, A1=>nx13193, S0 =>nx14756); ix13878 : xor2 port map ( Y=>nx13877, A0=>PRI_IN_5(15), A1=>reg_29_q_c_15 ); REG_29_reg_q_15 : dff port map ( Q=>reg_29_q_c_15, QB=>OPEN, D=>nx15804, CLK=>CLK); ix15805 : xnor2 port map ( Y=>nx15804, A0=>nx15426, A1=>nx13885); ix15427 : oai22 port map ( Y=>nx15426, A0=>nx13201, A1=>nx13883, B0=> PRI_IN_4(14), B1=>nx13600); ix13886 : xor2 port map ( Y=>nx13885, A0=>PRI_IN_4(15), A1=>reg_30_q_c_15 ); REG_30_reg_q_15 : dff port map ( Q=>reg_30_q_c_15, QB=>OPEN, D=>nx15794, CLK=>CLK); ix15795 : xnor2 port map ( Y=>nx15794, A0=>nx15436, A1=>nx13893); ix13894 : xor2 port map ( Y=>nx13893, A0=>reg_25_q_c_15, A1=> reg_36_q_c_15); REG_27_reg_q_15 : dff port map ( Q=>reg_27_q_c_15, QB=>OPEN, D=>nx16156, CLK=>CLK); ix16157 : xnor2 port map ( Y=>nx16156, A0=>nx16132, A1=>nx13901); ix16133 : mux21 port map ( Y=>nx16132, A0=>nx13415, A1=>reg_32_q_c_14, S0 =>nx13417); ix13902 : xnor2 port map ( Y=>nx13901, A0=>reg_32_q_c_15, A1=>nx13741); REG_32_reg_q_15 : dff port map ( Q=>reg_32_q_c_15, QB=>OPEN, D=>nx16146, CLK=>CLK); ix16147 : xnor2 port map ( Y=>nx16146, A0=>nx13907, A1=>nx16144); ix13908 : mux21 port map ( Y=>nx13907, A0=>nx15086, A1=>nx13111, S0=> nx13429); REG_39_reg_q_15 : dff port map ( Q=>reg_39_q_c_15, QB=>OPEN, D=>nx15708, CLK=>CLK); ix15709 : xnor2 port map ( Y=>nx15708, A0=>nx15508, A1=>nx13919); ix15509 : oai22 port map ( Y=>nx15508, A0=>nx13351, A1=>nx13917, B0=> nx13356, B1=>nx13437); ix13920 : xnor2 port map ( Y=>nx13919, A0=>reg_39_q_c_15, A1=>nx15704); ix15705 : ao21 port map ( Y=>nx15704, A0=>nx7513, A1=>PRI_OUT_4_15_EXMPLR, B0=>nx15702); ix15631 : ao21 port map ( Y=>PRI_OUT_4_15_EXMPLR, A0=>nx6658, A1=> reg_6_q_c_15, B0=>nx15628); REG_6_reg_q_15 : dff port map ( Q=>reg_6_q_c_15, QB=>OPEN, D=>nx15616, CLK=>CLK); ix15617 : xnor2 port map ( Y=>nx15616, A0=>nx13927, A1=>nx15614); ix13928 : mux21 port map ( Y=>nx13927, A0=>nx14460, A1=> PRI_OUT_9_14_EXMPLR, S0=>nx13371); REG_10_reg_q_15 : dff port map ( Q=>reg_10_q_c_15, QB=>nx13936, D=> nx16226, CLK=>CLK); ix15703 : nor02 port map ( Y=>nx15702, A0=>nx7513, A1=>nx13741); ix15601 : nor02 port map ( Y=>nx15600, A0=>C_MUX2_18_SEL, A1=>nx13911); REG_38_reg_q_15 : dff port map ( Q=>reg_38_q_c_15, QB=>nx13763, D=> nx15754, CLK=>CLK); ix15747 : mux21 port map ( Y=>nx6544, A0=>nx13951, A1=>nx13953, S0=> C_MUX2_4_SEL); REG_13_reg_q_15 : dff port map ( Q=>OPEN, QB=>nx13951, D=>nx16116, CLK=> CLK); ix13954 : mux21 port map ( Y=>nx13953, A0=>reg_16_q_c_15, A1=> PRI_OUT_1_15_EXMPLR, S0=>C_MUX2_9_SEL); REG_16_reg_q_15 : dff port map ( Q=>reg_16_q_c_15, QB=>OPEN, D=>nx15728, CLK=>CLK); ix15729 : xnor2 port map ( Y=>nx15728, A0=>nx15492, A1=>nx13959); ix15493 : mux21 port map ( Y=>nx15492, A0=>reg_25_q_c_14, A1=>nx13335, S0 =>nx14670); ix13960 : xor2 port map ( Y=>nx13959, A0=>reg_25_q_c_15, A1=> reg_42_q_c_15); REG_42_reg_q_15 : dff port map ( Q=>reg_42_q_c_15, QB=>OPEN, D=>nx15718, CLK=>CLK); ix15719 : xnor2 port map ( Y=>nx15718, A0=>nx15500, A1=>nx13967); ix15501 : oai22 port map ( Y=>nx15500, A0=>nx13343, A1=>nx13347, B0=> nx13437, B1=>nx13643); ix13968 : xnor2 port map ( Y=>nx13967, A0=>reg_22_q_c_15, A1=> reg_39_q_c_15); ix13976 : mux21 port map ( Y=>nx13975, A0=>reg_10_q_c_15, A1=>nx6547, S0 =>C_MUX2_16_SEL); ix15605 : ao21 port map ( Y=>nx6545, A0=>C_MUX2_18_SEL, A1=>reg_39_q_c_15, B0=>nx15600); ix16245 : nor02 port map ( Y=>nx16244, A0=>C_MUX2_3_SEL, A1=>nx13975); ix13984 : mux21 port map ( Y=>nx13983, A0=>reg_26_q_c_15, A1=> PRI_IN_6(15), S0=>C_MUX2_23_SEL); ix15865 : nor02 port map ( Y=>nx15864, A0=>C_MUX2_20_SEL, A1=>nx13741); ix16015 : and02 port map ( Y=>nx16014, A0=>C_MUX2_14_SEL, A1=> reg_8_q_c_15); REG_8_reg_q_15 : dff port map ( Q=>reg_8_q_c_15, QB=>OPEN, D=>nx16006, CLK=>CLK); ix16007 : xnor2 port map ( Y=>nx16006, A0=>nx15880, A1=>nx13991); ix15881 : oai22 port map ( Y=>nx15880, A0=>nx13473, A1=>nx13477, B0=> nx13548, B1=>nx13549); ix13992 : xnor2 port map ( Y=>nx13991, A0=>reg_8_q_c_15, A1=> reg_49_q_c_15); REG_49_reg_q_15 : dff port map ( Q=>reg_49_q_c_15, QB=>OPEN, D=>nx15996, CLK=>CLK); REG_46_reg_q_15 : dff port map ( Q=>reg_46_q_c_15, QB=>OPEN, D=>nx15986, CLK=>CLK); ix15987 : xnor2 port map ( Y=>nx15986, A0=>nx15896, A1=>nx14005); ix15897 : mux21 port map ( Y=>nx15896, A0=>nx13501, A1=>nx13493, S0=> nx14928); ix14006 : xor2 port map ( Y=>nx14005, A0=>reg_23_q_c_15, A1=>nx14007); ix14008 : mux21 port map ( Y=>nx14007, A0=>reg_9_q_c_15, A1=>PRI_IN_5(15), S0=>C_MUX2_17_SEL); REG_9_reg_q_15 : dff port map ( Q=>reg_9_q_c_15, QB=>OPEN, D=>nx15968, CLK=>CLK); ix15969 : xnor2 port map ( Y=>nx15968, A0=>nx15944, A1=>nx14012); ix15945 : mux21 port map ( Y=>nx15944, A0=>reg_30_q_c_14, A1=>nx13507, S0 =>nx14910); ix14014 : xor2 port map ( Y=>nx14012, A0=>reg_30_q_c_15, A1=> reg_31_q_c_15); REG_31_reg_q_15 : dff port map ( Q=>reg_31_q_c_15, QB=>OPEN, D=>nx15958, CLK=>CLK); ix15959 : xnor2 port map ( Y=>nx15958, A0=>nx15954, A1=>nx14021); ix15955 : mux21 port map ( Y=>nx15954, A0=>reg_34_q_c_14, A1=>nx13512, S0 =>nx14900); ix14022 : xor2 port map ( Y=>nx14021, A0=>reg_33_q_c_15, A1=> reg_34_q_c_15); REG_34_reg_q_15 : dff port map ( Q=>reg_34_q_c_15, QB=>OPEN, D=>nx16042, CLK=>CLK); ix16043 : xnor2 port map ( Y=>nx16042, A0=>nx15830, A1=>nx14031); ix15831 : oai22 port map ( Y=>nx15830, A0=>nx13519, A1=>nx14029, B0=> nx14982, B1=>nx13605); ix14032 : xnor2 port map ( Y=>nx14031, A0=>reg_41_q_c_15, A1=>nx14033); ix14034 : aoi21 port map ( Y=>nx14033, A0=>C_MUX2_22_SEL, A1=> PRI_IN_5(15), B0=>nx16034); ix16035 : nor02 port map ( Y=>nx16034, A0=>C_MUX2_22_SEL, A1=>nx14037); ix14038 : mux21 port map ( Y=>nx14037, A0=>reg_3_q_c_15, A1=>reg_2_q_c_15, S0=>C_MUX2_2_SEL); REG_3_reg_q_15 : dff port map ( Q=>reg_3_q_c_15, QB=>OPEN, D=>nx15842, CLK=>CLK); ix15843 : xnor2 port map ( Y=>nx15842, A0=>nx15838, A1=>nx14043); ix15839 : mux21 port map ( Y=>nx15838, A0=>nx13393, A1=>nx13537, S0=> nx14784); ix14044 : xor2 port map ( Y=>nx14043, A0=>reg_12_q_c_15, A1=>nx13850); ix16069 : nor02 port map ( Y=>nx16068, A0=>C_MUX2_21_SEL, A1=>nx14053); ix14054 : mux21 port map ( Y=>nx14053, A0=>reg_5_q_c_15, A1=>reg_7_q_c_15, S0=>C_MUX2_8_SEL); REG_5_reg_q_15 : dff port map ( Q=>reg_5_q_c_15, QB=>OPEN, D=>nx16052, CLK=>CLK); ix16053 : xnor2 port map ( Y=>nx16052, A0=>nx15406, A1=>nx14059); ix15407 : oai22 port map ( Y=>nx15406, A0=>nx13183, A1=>nx13187, B0=> nx13543, B1=>nx13602); ix14060 : xnor2 port map ( Y=>nx14059, A0=>reg_26_q_c_15, A1=> reg_34_q_c_15); REG_24_reg_q_15 : dff port map ( Q=>reg_24_q_c_15, QB=>OPEN, D=>nx15570, CLK=>CLK); REG_48_reg_q_15 : dff port map ( Q=>reg_48_q_c_15, QB=>OPEN, D=>nx15560, CLK=>CLK); REG_50_reg_q_15 : dff port map ( Q=>reg_50_q_c_15, QB=>OPEN, D=>nx15550, CLK=>CLK); ix15551 : xnor2 port map ( Y=>nx15550, A0=>nx15546, A1=>nx14085); ix15547 : mux21 port map ( Y=>nx15546, A0=>PRI_IN_0(14), A1=>nx13627, S0 =>nx14492); ix14086 : xor2 port map ( Y=>nx14085, A0=>PRI_IN_0(15), A1=>reg_5_q_c_15 ); REG_11_reg_q_0 : dff port map ( Q=>PRI_OUT_7_0_EXMPLR, QB=>OPEN, D=> nx17402, CLK=>CLK); ix17403 : ao21 port map ( Y=>nx17402, A0=>PRI_OUT_3_0_EXMPLR, A1=>nx6758, B0=>nx14099); ix14100 : nor02 port map ( Y=>nx14099, A0=>nx6758, A1=>PRI_OUT_3_dup0_0); REG_11_reg_q_1 : dff port map ( Q=>PRI_OUT_7_1_EXMPLR, QB=>OPEN, D=> nx17420, CLK=>CLK); ix17421 : xor2 port map ( Y=>nx17420, A0=>nx14099, A1=>nx14104); ix14105 : xnor2 port map ( Y=>nx14104, A0=>PRI_OUT_3_1_EXMPLR, A1=>nx7181 ); REG_11_reg_q_2 : dff port map ( Q=>PRI_OUT_7_2_EXMPLR, QB=>OPEN, D=> nx17448, CLK=>CLK); ix17449 : xor2 port map ( Y=>nx17448, A0=>nx14109, A1=>nx14115); ix14110 : aoi22 port map ( Y=>nx14109, A0=>nx7181, A1=>PRI_OUT_3_1_EXMPLR, B0=>nx17394, B1=>nx17418); ix14116 : xnor2 port map ( Y=>nx14115, A0=>PRI_OUT_3_2_EXMPLR, A1=>nx7687 ); REG_11_reg_q_3 : dff port map ( Q=>PRI_OUT_7_3_EXMPLR, QB=>OPEN, D=> nx17476, CLK=>CLK); ix17477 : xnor2 port map ( Y=>nx17476, A0=>nx17472, A1=>nx14122); ix17473 : ao21 port map ( Y=>nx17472, A0=>nx7687, A1=>PRI_OUT_3_2_EXMPLR, B0=>nx17470); ix17471 : nor02 port map ( Y=>nx17470, A0=>nx14109, A1=>nx14115); ix14123 : xnor2 port map ( Y=>nx14122, A0=>PRI_OUT_3_3_EXMPLR, A1=>nx8174 ); REG_11_reg_q_4 : dff port map ( Q=>PRI_OUT_7_4_EXMPLR, QB=>OPEN, D=> nx17504, CLK=>CLK); ix17505 : xor2 port map ( Y=>nx17504, A0=>nx14129, A1=>nx14133); ix14130 : aoi22 port map ( Y=>nx14129, A0=>nx8174, A1=>PRI_OUT_3_3_EXMPLR, B0=>nx17472, B1=>nx17474); ix14134 : xnor2 port map ( Y=>nx14133, A0=>PRI_OUT_3_4_EXMPLR, A1=>nx8671 ); REG_11_reg_q_5 : dff port map ( Q=>PRI_OUT_7_5_EXMPLR, QB=>OPEN, D=> nx17532, CLK=>CLK); ix17533 : xnor2 port map ( Y=>nx17532, A0=>nx17528, A1=>nx14143); ix17529 : ao21 port map ( Y=>nx17528, A0=>nx8671, A1=>PRI_OUT_3_4_EXMPLR, B0=>nx17526); ix17527 : nor02 port map ( Y=>nx17526, A0=>nx14129, A1=>nx14133); ix14144 : xnor2 port map ( Y=>nx14143, A0=>PRI_OUT_3_dup0_5, A1=>nx9145); REG_11_reg_q_6 : dff port map ( Q=>PRI_OUT_7_6_EXMPLR, QB=>OPEN, D=> nx17560, CLK=>CLK); ix17561 : xor2 port map ( Y=>nx17560, A0=>nx14148, A1=>nx14151); ix14149 : aoi22 port map ( Y=>nx14148, A0=>nx9145, A1=>PRI_OUT_3_dup0_5, B0=>nx17528, B1=>nx17530); ix14152 : xnor2 port map ( Y=>nx14151, A0=>PRI_OUT_3_6_EXMPLR, A1=>nx9651 ); REG_11_reg_q_7 : dff port map ( Q=>PRI_OUT_7_7_EXMPLR, QB=>OPEN, D=> nx17588, CLK=>CLK); ix17589 : xnor2 port map ( Y=>nx17588, A0=>nx17584, A1=>nx14161); ix17585 : ao21 port map ( Y=>nx17584, A0=>nx9651, A1=>PRI_OUT_3_6_EXMPLR, B0=>nx17582); ix17583 : nor02 port map ( Y=>nx17582, A0=>nx14148, A1=>nx14151); ix14162 : xnor2 port map ( Y=>nx14161, A0=>PRI_OUT_3_7_EXMPLR, A1=> nx10117); REG_11_reg_q_8 : dff port map ( Q=>PRI_OUT_7_8_EXMPLR, QB=>OPEN, D=> nx17616, CLK=>CLK); ix17617 : xor2 port map ( Y=>nx17616, A0=>nx14166, A1=>nx14171); ix14168 : aoi22 port map ( Y=>nx14166, A0=>nx10117, A1=> PRI_OUT_3_7_EXMPLR, B0=>nx17584, B1=>nx17586); ix14172 : xnor2 port map ( Y=>nx14171, A0=>PRI_OUT_3_8_EXMPLR, A1=> nx16035); REG_11_reg_q_9 : dff port map ( Q=>PRI_OUT_7_9_EXMPLR, QB=>OPEN, D=> nx17644, CLK=>CLK); ix17645 : xnor2 port map ( Y=>nx17644, A0=>nx17640, A1=>nx14181); ix17639 : nor02 port map ( Y=>nx17638, A0=>nx14166, A1=>nx14171); ix14182 : xnor2 port map ( Y=>nx14181, A0=>PRI_OUT_3_9_EXMPLR, A1=> nx11069); REG_11_reg_q_10 : dff port map ( Q=>PRI_OUT_7_10_EXMPLR, QB=>OPEN, D=> nx17672, CLK=>CLK); ix17673 : xor2 port map ( Y=>nx17672, A0=>nx16031, A1=>nx14191); REG_11_reg_q_11 : dff port map ( Q=>PRI_OUT_7_11_EXMPLR, QB=>OPEN, D=> nx17700, CLK=>CLK); ix17701 : xnor2 port map ( Y=>nx17700, A0=>nx17696, A1=>nx14199); ix14200 : xnor2 port map ( Y=>nx14199, A0=>PRI_OUT_3_11_EXMPLR, A1=> nx12043); REG_11_reg_q_12 : dff port map ( Q=>PRI_OUT_7_12_EXMPLR, QB=>OPEN, D=> nx17728, CLK=>CLK); ix17729 : xor2 port map ( Y=>nx17728, A0=>nx14205, A1=>nx14209); ix14210 : xnor2 port map ( Y=>nx14209, A0=>PRI_OUT_3_12_EXMPLR, A1=> nx15906); REG_11_reg_q_13 : dff port map ( Q=>PRI_OUT_7_13_EXMPLR, QB=>OPEN, D=> nx17756, CLK=>CLK); ix17757 : xnor2 port map ( Y=>nx17756, A0=>nx17752, A1=>nx14217); ix17751 : nor02 port map ( Y=>nx17750, A0=>nx16030, A1=>nx14209); ix14218 : xnor2 port map ( Y=>nx14217, A0=>PRI_OUT_3_13_EXMPLR, A1=> nx13017); REG_11_reg_q_14 : dff port map ( Q=>PRI_OUT_7_14_EXMPLR, QB=>OPEN, D=> nx17784, CLK=>CLK); ix17785 : xor2 port map ( Y=>nx17784, A0=>nx15903, A1=>nx14225); REG_11_reg_q_15 : dff port map ( Q=>PRI_OUT_7_15_EXMPLR, QB=>OPEN, D=> nx17812, CLK=>CLK); ix16977 : ao21 port map ( Y=>PRI_OUT_6_0_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_19_q_c_0, B0=>nx16954); REG_19_reg_q_0 : dff port map ( Q=>reg_19_q_c_0, QB=>OPEN, D=>nx16966, CLK=>CLK); ix16967 : ao21 port map ( Y=>nx16966, A0=>nx6699, A1=>PRI_OUT_6_0_EXMPLR, B0=>nx14243); ix14244 : nor02 port map ( Y=>nx14243, A0=>PRI_OUT_6_0_EXMPLR, A1=>nx6699 ); ix16955 : nor02 port map ( Y=>nx16954, A0=>C_MUX2_10_SEL, A1=>nx6788); ix16995 : ao21 port map ( Y=>PRI_OUT_6_1_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_19_q_c_1, B0=>nx16980); REG_19_reg_q_1 : dff port map ( Q=>reg_19_q_c_1, QB=>OPEN, D=>nx16984, CLK=>CLK); ix16985 : xnor2 port map ( Y=>nx16984, A0=>nx14243, A1=>nx16982); ix16981 : nor02 port map ( Y=>nx16980, A0=>C_MUX2_10_SEL, A1=>nx7202); ix17023 : ao21 port map ( Y=>PRI_OUT_6_2_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_19_q_c_2, B0=>nx16998); REG_19_reg_q_2 : dff port map ( Q=>reg_19_q_c_2, QB=>OPEN, D=>nx17012, CLK=>CLK); ix17013 : xnor2 port map ( Y=>nx17012, A0=>nx14263, A1=>nx17010); ix14264 : aoi22 port map ( Y=>nx14263, A0=>nx7106, A1=>PRI_OUT_6_1_EXMPLR, B0=>nx16958, B1=>nx16982); ix17011 : xnor2 port map ( Y=>nx17010, A0=>reg_27_q_c_2, A1=> PRI_OUT_6_2_EXMPLR); ix16999 : nor02 port map ( Y=>nx16998, A0=>C_MUX2_10_SEL, A1=>nx7710); ix17051 : ao21 port map ( Y=>PRI_OUT_6_3_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_19_q_c_3, B0=>nx17026); REG_19_reg_q_3 : dff port map ( Q=>reg_19_q_c_3, QB=>OPEN, D=>nx17040, CLK=>CLK); ix17041 : xor2 port map ( Y=>nx17040, A0=>nx17036, A1=>nx17038); ix17037 : mux21 port map ( Y=>nx17036, A0=>reg_27_q_c_2, A1=>nx14263, S0 =>nx17010); ix17027 : nor02 port map ( Y=>nx17026, A0=>C_MUX2_10_SEL, A1=>nx8197); ix17079 : ao21 port map ( Y=>PRI_OUT_6_4_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_19_q_c_4, B0=>nx17054); REG_19_reg_q_4 : dff port map ( Q=>reg_19_q_c_4, QB=>OPEN, D=>nx17068, CLK=>CLK); ix17069 : xnor2 port map ( Y=>nx17068, A0=>nx14289, A1=>nx17066); ix14290 : aoi22 port map ( Y=>nx14289, A0=>nx8088, A1=>PRI_OUT_6_3_EXMPLR, B0=>nx17036, B1=>nx17038); ix17067 : xnor2 port map ( Y=>nx17066, A0=>reg_27_q_c_4, A1=> PRI_OUT_6_4_EXMPLR); ix17055 : nor02 port map ( Y=>nx17054, A0=>C_MUX2_10_SEL, A1=>nx8695); ix17107 : ao21 port map ( Y=>PRI_OUT_6_5_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_19_q_c_5, B0=>nx17082); REG_19_reg_q_5 : dff port map ( Q=>reg_19_q_c_5, QB=>OPEN, D=>nx17096, CLK=>CLK); ix17097 : xor2 port map ( Y=>nx17096, A0=>nx17092, A1=>nx17094); ix17093 : mux21 port map ( Y=>nx17092, A0=>reg_27_q_c_4, A1=>nx14289, S0 =>nx17066); ix17083 : nor02 port map ( Y=>nx17082, A0=>C_MUX2_10_SEL, A1=>nx9171); ix17135 : ao21 port map ( Y=>PRI_OUT_6_6_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_19_q_c_6, B0=>nx17110); REG_19_reg_q_6 : dff port map ( Q=>reg_19_q_c_6, QB=>OPEN, D=>nx17124, CLK=>CLK); ix17125 : xnor2 port map ( Y=>nx17124, A0=>nx14313, A1=>nx17122); ix14314 : aoi22 port map ( Y=>nx14313, A0=>nx9053, A1=>PRI_OUT_6_5_EXMPLR, B0=>nx17092, B1=>nx17094); ix17123 : xnor2 port map ( Y=>nx17122, A0=>reg_27_q_c_6, A1=> PRI_OUT_6_6_EXMPLR); ix17111 : nor02 port map ( Y=>nx17110, A0=>C_MUX2_10_SEL, A1=>nx9672); ix17163 : ao21 port map ( Y=>PRI_OUT_6_7_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_19_q_c_7, B0=>nx17138); REG_19_reg_q_7 : dff port map ( Q=>reg_19_q_c_7, QB=>OPEN, D=>nx17152, CLK=>CLK); ix17153 : xor2 port map ( Y=>nx17152, A0=>nx17148, A1=>nx17150); ix17149 : mux21 port map ( Y=>nx17148, A0=>reg_27_q_c_6, A1=>nx14313, S0 =>nx17122); ix17139 : nor02 port map ( Y=>nx17138, A0=>C_MUX2_10_SEL, A1=>nx10140); ix17191 : ao21 port map ( Y=>PRI_OUT_6_8_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_19_q_c_8, B0=>nx17166); REG_19_reg_q_8 : dff port map ( Q=>reg_19_q_c_8, QB=>OPEN, D=>nx17180, CLK=>CLK); ix17181 : xnor2 port map ( Y=>nx17180, A0=>nx14337, A1=>nx17178); ix14338 : aoi22 port map ( Y=>nx14337, A0=>nx10015, A1=> PRI_OUT_6_7_EXMPLR, B0=>nx17148, B1=>nx17150); ix17179 : xnor2 port map ( Y=>nx17178, A0=>reg_27_q_c_8, A1=> PRI_OUT_6_8_EXMPLR); ix17167 : nor02 port map ( Y=>nx17166, A0=>C_MUX2_10_SEL, A1=>nx10650); ix17219 : ao21 port map ( Y=>PRI_OUT_6_9_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_19_q_c_9, B0=>nx17194); REG_19_reg_q_9 : dff port map ( Q=>reg_19_q_c_9, QB=>OPEN, D=>nx17208, CLK=>CLK); ix17209 : xor2 port map ( Y=>nx17208, A0=>nx17204, A1=>nx17206); ix17205 : mux21 port map ( Y=>nx17204, A0=>reg_27_q_c_8, A1=>nx14337, S0 =>nx17178); ix17195 : nor02 port map ( Y=>nx17194, A0=>C_MUX2_10_SEL, A1=>nx11093); ix17247 : ao21 port map ( Y=>PRI_OUT_6_10_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_19_q_c_10, B0=>nx17222); REG_19_reg_q_10 : dff port map ( Q=>reg_19_q_c_10, QB=>OPEN, D=>nx17236, CLK=>CLK); ix17237 : xnor2 port map ( Y=>nx17236, A0=>nx14361, A1=>nx17234); ix14362 : aoi22 port map ( Y=>nx14361, A0=>nx10991, A1=> PRI_OUT_6_9_EXMPLR, B0=>nx17204, B1=>nx17206); ix17235 : xnor2 port map ( Y=>nx17234, A0=>reg_27_q_c_10, A1=> PRI_OUT_6_10_EXMPLR); ix17223 : nor02 port map ( Y=>nx17222, A0=>C_MUX2_10_SEL, A1=>nx11611); ix17275 : ao21 port map ( Y=>PRI_OUT_6_11_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_19_q_c_11, B0=>nx17250); REG_19_reg_q_11 : dff port map ( Q=>reg_19_q_c_11, QB=>OPEN, D=>nx17264, CLK=>CLK); ix17265 : xor2 port map ( Y=>nx17264, A0=>nx17260, A1=>nx17262); ix17261 : mux21 port map ( Y=>nx17260, A0=>reg_27_q_c_10, A1=>nx14361, S0 =>nx17234); ix17251 : nor02 port map ( Y=>nx17250, A0=>C_MUX2_10_SEL, A1=>nx12063); ix17303 : ao21 port map ( Y=>PRI_OUT_6_12_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_19_q_c_12, B0=>nx17278); REG_19_reg_q_12 : dff port map ( Q=>reg_19_q_c_12, QB=>OPEN, D=>nx17292, CLK=>CLK); ix17293 : xnor2 port map ( Y=>nx17292, A0=>nx14385, A1=>nx17290); ix14386 : aoi22 port map ( Y=>nx14385, A0=>nx11962, A1=> PRI_OUT_6_11_EXMPLR, B0=>nx17260, B1=>nx17262); ix17291 : xnor2 port map ( Y=>nx17290, A0=>reg_27_q_c_12, A1=> PRI_OUT_6_12_EXMPLR); ix17279 : nor02 port map ( Y=>nx17278, A0=>C_MUX2_10_SEL, A1=>nx12573); ix17331 : ao21 port map ( Y=>PRI_OUT_6_13_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_19_q_c_13, B0=>nx17306); REG_19_reg_q_13 : dff port map ( Q=>reg_19_q_c_13, QB=>OPEN, D=>nx17320, CLK=>CLK); ix17321 : xor2 port map ( Y=>nx17320, A0=>nx17316, A1=>nx17318); ix17317 : mux21 port map ( Y=>nx17316, A0=>reg_27_q_c_12, A1=>nx14385, S0 =>nx17290); ix17307 : nor02 port map ( Y=>nx17306, A0=>C_MUX2_10_SEL, A1=>nx13036); ix17359 : ao21 port map ( Y=>PRI_OUT_6_14_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_19_q_c_14, B0=>nx17334); REG_19_reg_q_14 : dff port map ( Q=>reg_19_q_c_14, QB=>OPEN, D=>nx17348, CLK=>CLK); ix17349 : xnor2 port map ( Y=>nx17348, A0=>nx14407, A1=>nx17346); ix14408 : aoi22 port map ( Y=>nx14407, A0=>nx12932, A1=> PRI_OUT_6_13_EXMPLR, B0=>nx17316, B1=>nx17318); ix17347 : xnor2 port map ( Y=>nx17346, A0=>reg_27_q_c_14, A1=> PRI_OUT_6_14_EXMPLR); ix17335 : nor02 port map ( Y=>nx17334, A0=>C_MUX2_10_SEL, A1=>nx13555); ix17387 : ao21 port map ( Y=>PRI_OUT_6_15_EXMPLR, A0=>C_MUX2_10_SEL, A1=> reg_19_q_c_15, B0=>nx17362); REG_19_reg_q_15 : dff port map ( Q=>reg_19_q_c_15, QB=>OPEN, D=>nx17376, CLK=>CLK); ix17377 : xnor2 port map ( Y=>nx17376, A0=>nx17372, A1=>nx14419); ix17373 : mux21 port map ( Y=>nx17372, A0=>reg_27_q_c_14, A1=>nx14407, S0 =>nx17346); ix14420 : xor2 port map ( Y=>nx14419, A0=>reg_27_q_c_15, A1=> PRI_OUT_6_15_EXMPLR); ix17363 : nor02 port map ( Y=>nx17362, A0=>C_MUX2_10_SEL, A1=>nx13811); REG_18_reg_q_0 : dff port map ( Q=>PRI_OUT_5(0), QB=>OPEN, D=>nx16364, CLK=>CLK); ix16365 : ao21 port map ( Y=>nx16364, A0=>reg_40_q_c_0, A1=>nx6775, B0=> nx14433); REG_40_reg_q_0 : dff port map ( Q=>reg_40_q_c_0, QB=>OPEN, D=>nx16346, CLK=>CLK); ix16347 : ao21 port map ( Y=>nx16346, A0=>PRI_IN_9(0), A1=>nx6643, B0=> nx14429); ix14430 : nor02 port map ( Y=>nx14429, A0=>nx6643, A1=>PRI_IN_9(0)); ix14434 : nor02 port map ( Y=>nx14433, A0=>nx6775, A1=>reg_40_q_c_0); REG_18_reg_q_1 : dff port map ( Q=>PRI_OUT_5(1), QB=>OPEN, D=>nx16384, CLK=>CLK); ix16385 : xnor2 port map ( Y=>nx16384, A0=>nx14433, A1=>nx16382); ix16383 : xnor2 port map ( Y=>nx16382, A0=>reg_40_q_c_1, A1=>nx1192); REG_40_reg_q_1 : dff port map ( Q=>reg_40_q_c_1, QB=>OPEN, D=>nx16374, CLK=>CLK); ix16375 : xor2 port map ( Y=>nx16374, A0=>nx14429, A1=>nx14445); ix14446 : xnor2 port map ( Y=>nx14445, A0=>PRI_IN_9(1), A1=>nx7029); REG_18_reg_q_2 : dff port map ( Q=>PRI_OUT_5(2), QB=>OPEN, D=>nx16424, CLK=>CLK); ix16425 : xor2 port map ( Y=>nx16424, A0=>nx16400, A1=>nx16422); ix16401 : mux21 port map ( Y=>nx16400, A0=>nx1192, A1=>nx14433, S0=> nx16382); ix16423 : xnor2 port map ( Y=>nx16422, A0=>reg_40_q_c_2, A1=>nx2140); REG_40_reg_q_2 : dff port map ( Q=>reg_40_q_c_2, QB=>OPEN, D=>nx16414, CLK=>CLK); ix16415 : xor2 port map ( Y=>nx16414, A0=>nx14463, A1=>nx14469); ix14464 : aoi22 port map ( Y=>nx14463, A0=>nx7029, A1=>PRI_IN_9(1), B0=> nx16338, B1=>nx16372); ix14470 : xnor2 port map ( Y=>nx14469, A0=>PRI_IN_9(2), A1=>nx7485); ix2141 : ao21 port map ( Y=>nx2140, A0=>C_MUX2_20_SEL, A1=>reg_1_q_c_2, B0=>nx2136); ix2137 : nor02 port map ( Y=>nx2136, A0=>C_MUX2_20_SEL, A1=> nx7439_XX0_XREP7); REG_18_reg_q_3 : dff port map ( Q=>PRI_OUT_5(3), QB=>OPEN, D=>nx16464, CLK=>CLK); ix16465 : xnor2 port map ( Y=>nx16464, A0=>nx14479, A1=>nx16462); ix14480 : aoi22 port map ( Y=>nx14479, A0=>nx7707, A1=>reg_40_q_c_2, B0=> nx16400, B1=>nx16422); ix16463 : xnor2 port map ( Y=>nx16462, A0=>reg_40_q_c_3, A1=>nx3196); REG_40_reg_q_3 : dff port map ( Q=>reg_40_q_c_3, QB=>OPEN, D=>nx16454, CLK=>CLK); ix16455 : xnor2 port map ( Y=>nx16454, A0=>nx16450, A1=>nx14491); ix16451 : ao21 port map ( Y=>nx16450, A0=>nx7485, A1=>PRI_IN_9(2), B0=> nx16448); ix16449 : nor02 port map ( Y=>nx16448, A0=>nx14463, A1=>nx14469); ix14492 : xnor2 port map ( Y=>nx14491, A0=>PRI_IN_9(3), A1=>nx7995); ix3197 : ao21 port map ( Y=>nx3196, A0=>C_MUX2_20_SEL, A1=>reg_1_q_c_3, B0=>nx3192); ix3193 : nor02 port map ( Y=>nx3192, A0=>C_MUX2_20_SEL, A1=> nx7953_XX0_XREP5); REG_18_reg_q_4 : dff port map ( Q=>PRI_OUT_5(4), QB=>OPEN, D=>nx16504, CLK=>CLK); ix16505 : xor2 port map ( Y=>nx16504, A0=>nx16480, A1=>nx16502); ix16481 : mux21 port map ( Y=>nx16480, A0=>nx3196, A1=>nx14479, S0=> nx16462); ix16503 : xnor2 port map ( Y=>nx16502, A0=>reg_40_q_c_4, A1=>nx4252); REG_40_reg_q_4 : dff port map ( Q=>reg_40_q_c_4, QB=>OPEN, D=>nx16494, CLK=>CLK); ix16495 : xor2 port map ( Y=>nx16494, A0=>nx14505, A1=>nx14508); ix14506 : aoi22 port map ( Y=>nx14505, A0=>nx7995, A1=>PRI_IN_9(3), B0=> nx16450, B1=>nx16452); ix14509 : xnor2 port map ( Y=>nx14508, A0=>PRI_IN_9(4), A1=>nx8479); ix4253 : ao21 port map ( Y=>nx4252, A0=>C_MUX2_20_SEL, A1=>reg_1_q_c_4, B0=>nx4248); ix4249 : nor02 port map ( Y=>nx4248, A0=>C_MUX2_20_SEL, A1=>nx8439); REG_18_reg_q_5 : dff port map ( Q=>PRI_OUT_5(5), QB=>OPEN, D=>nx16544, CLK=>CLK); ix16545 : xnor2 port map ( Y=>nx16544, A0=>nx14517, A1=>nx16542); ix14518 : aoi22 port map ( Y=>nx14517, A0=>nx8691, A1=>reg_40_q_c_4, B0=> nx16480, B1=>nx16502); ix16543 : xnor2 port map ( Y=>nx16542, A0=>reg_40_q_c_5, A1=>nx5308); REG_40_reg_q_5 : dff port map ( Q=>reg_40_q_c_5, QB=>OPEN, D=>nx16534, CLK=>CLK); ix16535 : xnor2 port map ( Y=>nx16534, A0=>nx16530, A1=>nx14525); ix16531 : ao21 port map ( Y=>nx16530, A0=>nx8479, A1=>PRI_IN_9(4), B0=> nx16528); ix16529 : nor02 port map ( Y=>nx16528, A0=>nx14505, A1=>nx14508); ix14526 : xnor2 port map ( Y=>nx14525, A0=>PRI_IN_9(5), A1=>nx8957); ix5309 : ao21 port map ( Y=>nx5308, A0=>C_MUX2_20_SEL, A1=>reg_1_q_c_5, B0=>nx5304); ix5305 : nor02 port map ( Y=>nx5304, A0=>C_MUX2_20_SEL, A1=>nx8923); REG_18_reg_q_6 : dff port map ( Q=>PRI_OUT_5(6), QB=>OPEN, D=>nx16584, CLK=>CLK); ix16585 : xor2 port map ( Y=>nx16584, A0=>nx16560, A1=>nx16582); ix16561 : mux21 port map ( Y=>nx16560, A0=>nx5308, A1=>nx14517, S0=> nx16542); ix16583 : xnor2 port map ( Y=>nx16582, A0=>reg_40_q_c_6, A1=>nx6364); REG_40_reg_q_6 : dff port map ( Q=>reg_40_q_c_6, QB=>OPEN, D=>nx16574, CLK=>CLK); ix16575 : xor2 port map ( Y=>nx16574, A0=>nx14543, A1=>nx14547); ix14544 : aoi22 port map ( Y=>nx14543, A0=>nx8957, A1=>PRI_IN_9(5), B0=> nx16530, B1=>nx16532); ix14548 : xnor2 port map ( Y=>nx14547, A0=>PRI_IN_9(6), A1=>nx9457); ix6365 : ao21 port map ( Y=>nx6364, A0=>C_MUX2_20_SEL, A1=>reg_1_q_c_6, B0=>nx6360); ix6361 : nor02 port map ( Y=>nx6360, A0=>C_MUX2_20_SEL, A1=>nx9415); REG_18_reg_q_7 : dff port map ( Q=>PRI_OUT_5(7), QB=>OPEN, D=>nx16624, CLK=>CLK); ix16625 : xnor2 port map ( Y=>nx16624, A0=>nx14557, A1=>nx16622); ix14558 : aoi22 port map ( Y=>nx14557, A0=>nx9669, A1=>reg_40_q_c_6, B0=> nx16560, B1=>nx16582); ix16623 : xnor2 port map ( Y=>nx16622, A0=>reg_40_q_c_7, A1=>nx7420); REG_40_reg_q_7 : dff port map ( Q=>reg_40_q_c_7, QB=>OPEN, D=>nx16614, CLK=>CLK); ix16615 : xnor2 port map ( Y=>nx16614, A0=>nx16610, A1=>nx14566); ix16611 : ao21 port map ( Y=>nx16610, A0=>nx9457, A1=>PRI_IN_9(6), B0=> nx16608); ix16609 : nor02 port map ( Y=>nx16608, A0=>nx14543, A1=>nx14547); ix14567 : xnor2 port map ( Y=>nx14566, A0=>PRI_IN_9(7), A1=>nx9935); REG_18_reg_q_8 : dff port map ( Q=>PRI_OUT_5(8), QB=>OPEN, D=>nx16664, CLK=>CLK); ix16665 : xor2 port map ( Y=>nx16664, A0=>nx16640, A1=>nx16662); ix16641 : mux21 port map ( Y=>nx16640, A0=>nx7420, A1=>nx14557, S0=> nx16622); ix16663 : xnor2 port map ( Y=>nx16662, A0=>reg_40_q_c_8, A1=>nx8476); REG_40_reg_q_8 : dff port map ( Q=>reg_40_q_c_8, QB=>OPEN, D=>nx16654, CLK=>CLK); ix16655 : xor2 port map ( Y=>nx16654, A0=>nx14581, A1=>nx14585); ix14582 : aoi22 port map ( Y=>nx14581, A0=>nx9935, A1=>PRI_IN_9(7), B0=> nx16610, B1=>nx16612); ix14586 : xnor2 port map ( Y=>nx14585, A0=>PRI_IN_9(8), A1=>nx10437); ix8477 : ao21 port map ( Y=>nx8476, A0=>C_MUX2_20_SEL, A1=>reg_1_q_c_8, B0=>nx8472); ix8473 : nor02 port map ( Y=>nx8472, A0=>C_MUX2_20_SEL, A1=> nx10397_XX0_XREP1); REG_18_reg_q_9 : dff port map ( Q=>PRI_OUT_5(9), QB=>OPEN, D=>nx16704, CLK=>CLK); ix16705 : xnor2 port map ( Y=>nx16704, A0=>nx14595, A1=>nx16702); ix14596 : aoi22 port map ( Y=>nx14595, A0=>nx10647, A1=>reg_40_q_c_8, B0 =>nx16640, B1=>nx16662); ix16703 : xnor2 port map ( Y=>nx16702, A0=>reg_40_q_c_9, A1=>nx9532); REG_40_reg_q_9 : dff port map ( Q=>reg_40_q_c_9, QB=>OPEN, D=>nx16694, CLK=>CLK); ix16695 : xnor2 port map ( Y=>nx16694, A0=>nx16690, A1=>nx14605); ix16691 : ao21 port map ( Y=>nx16690, A0=>nx10437, A1=>PRI_IN_9(8), B0=> nx16688); ix16689 : nor02 port map ( Y=>nx16688, A0=>nx14581, A1=>nx14585); ix14606 : xnor2 port map ( Y=>nx14605, A0=>PRI_IN_9(9), A1=>nx10913); ix9533 : ao21 port map ( Y=>nx9532, A0=>C_MUX2_20_SEL, A1=>reg_1_q_c_9, B0=>nx9528); ix9529 : nor02 port map ( Y=>nx9528, A0=>C_MUX2_20_SEL, A1=>nx10877); REG_18_reg_q_10 : dff port map ( Q=>PRI_OUT_5(10), QB=>OPEN, D=>nx16744, CLK=>CLK); ix16745 : xor2 port map ( Y=>nx16744, A0=>nx16720, A1=>nx16742); ix16721 : mux21 port map ( Y=>nx16720, A0=>nx9532, A1=>nx14595, S0=> nx16702); ix16743 : xnor2 port map ( Y=>nx16742, A0=>reg_40_q_c_10, A1=>nx10588); REG_40_reg_q_10 : dff port map ( Q=>reg_40_q_c_10, QB=>OPEN, D=>nx16734, CLK=>CLK); ix16735 : xor2 port map ( Y=>nx16734, A0=>nx15964, A1=>nx14623); ix10589 : ao21 port map ( Y=>nx10588, A0=>C_MUX2_20_SEL, A1=>reg_1_q_c_10, B0=>nx10584); ix10585 : nor02 port map ( Y=>nx10584, A0=>C_MUX2_20_SEL, A1=>nx11357); REG_18_reg_q_11 : dff port map ( Q=>PRI_OUT_5(11), QB=>OPEN, D=>nx16784, CLK=>CLK); ix16785 : xnor2 port map ( Y=>nx16784, A0=>nx14631, A1=>nx16782); ix14632 : aoi22 port map ( Y=>nx14631, A0=>nx11607, A1=>reg_40_q_c_10, B0 =>nx16720, B1=>nx16742); ix16783 : xnor2 port map ( Y=>nx16782, A0=>reg_40_q_c_11, A1=>nx11644); REG_40_reg_q_11 : dff port map ( Q=>reg_40_q_c_11, QB=>OPEN, D=>nx16774, CLK=>CLK); ix16775 : xnor2 port map ( Y=>nx16774, A0=>nx16770, A1=>nx14640); ix14641 : xnor2 port map ( Y=>nx14640, A0=>PRI_IN_9(11), A1=>nx11878); ix11645 : ao21 port map ( Y=>nx11644, A0=>C_MUX2_20_SEL, A1=>reg_1_q_c_11, B0=>nx11640); ix11641 : nor02 port map ( Y=>nx11640, A0=>C_MUX2_20_SEL, A1=>nx11843); REG_18_reg_q_12 : dff port map ( Q=>PRI_OUT_5(12), QB=>OPEN, D=>nx16824, CLK=>CLK); ix16825 : xor2 port map ( Y=>nx16824, A0=>nx16800, A1=>nx16822); ix16801 : mux21 port map ( Y=>nx16800, A0=>nx11644, A1=>nx14631, S0=> nx16782); ix16823 : xnor2 port map ( Y=>nx16822, A0=>reg_40_q_c_12, A1=>nx12700); REG_40_reg_q_12 : dff port map ( Q=>reg_40_q_c_12, QB=>OPEN, D=>nx16814, CLK=>CLK); ix16815 : xor2 port map ( Y=>nx16814, A0=>nx14657, A1=>nx14661); ix12701 : ao21 port map ( Y=>nx12700, A0=>C_MUX2_20_SEL, A1=>reg_1_q_c_12, B0=>nx12696); ix12697 : nor02 port map ( Y=>nx12696, A0=>C_MUX2_20_SEL, A1=>nx12321); REG_18_reg_q_13 : dff port map ( Q=>PRI_OUT_5(13), QB=>OPEN, D=>nx16864, CLK=>CLK); ix16865 : xnor2 port map ( Y=>nx16864, A0=>nx14669, A1=>nx16862); ix14670 : aoi22 port map ( Y=>nx14669, A0=>nx12568, A1=>reg_40_q_c_12, B0 =>nx16800, B1=>nx16822); ix16863 : xnor2 port map ( Y=>nx16862, A0=>reg_40_q_c_13, A1=>nx13756); REG_40_reg_q_13 : dff port map ( Q=>reg_40_q_c_13, QB=>OPEN, D=>nx16854, CLK=>CLK); ix16855 : xnor2 port map ( Y=>nx16854, A0=>nx16850, A1=>nx14678); ix14679 : xnor2 port map ( Y=>nx14678, A0=>PRI_IN_9(13), A1=>nx12849); ix13757 : ao21 port map ( Y=>nx13756, A0=>C_MUX2_20_SEL, A1=>reg_1_q_c_13, B0=>nx13752); ix13753 : nor02 port map ( Y=>nx13752, A0=>C_MUX2_20_SEL, A1=>nx12813); REG_18_reg_q_14 : dff port map ( Q=>PRI_OUT_5(14), QB=>OPEN, D=>nx16904, CLK=>CLK); ix16905 : xor2 port map ( Y=>nx16904, A0=>nx16880, A1=>nx16902); ix16881 : mux21 port map ( Y=>nx16880, A0=>nx13756, A1=>nx14669, S0=> nx16862); ix16903 : xnor2 port map ( Y=>nx16902, A0=>reg_40_q_c_14, A1=>nx14812); REG_40_reg_q_14 : dff port map ( Q=>reg_40_q_c_14, QB=>OPEN, D=>nx16894, CLK=>CLK); ix16895 : xor2 port map ( Y=>nx16894, A0=>nx15828, A1=>nx14699); ix14813 : ao21 port map ( Y=>nx14812, A0=>C_MUX2_20_SEL, A1=>reg_1_q_c_14, B0=>nx14808); ix14809 : nor02 port map ( Y=>nx14808, A0=>C_MUX2_20_SEL, A1=>nx13285); REG_18_reg_q_15 : dff port map ( Q=>PRI_OUT_5(15), QB=>OPEN, D=>nx16944, CLK=>CLK); ix16945 : xnor2 port map ( Y=>nx16944, A0=>nx14707, A1=>nx16942); ix14708 : aoi22 port map ( Y=>nx14707, A0=>nx13551, A1=>reg_40_q_c_14, B0 =>nx16880, B1=>nx16902); ix16943 : xnor2 port map ( Y=>nx16942, A0=>reg_40_q_c_15, A1=>nx15868); REG_40_reg_q_15 : dff port map ( Q=>reg_40_q_c_15, QB=>OPEN, D=>nx16934, CLK=>CLK); ix17413 : ao21 port map ( Y=>PRI_OUT_2(0), A0=>C_MUX2_6_SEL, A1=> PRI_OUT_7_0_EXMPLR, B0=>nx17390); ix17391 : nor02 port map ( Y=>nx17390, A0=>C_MUX2_6_SEL, A1=>nx6671); ix17431 : ao21 port map ( Y=>PRI_OUT_2(1), A0=>C_MUX2_6_SEL, A1=> PRI_OUT_7_1_EXMPLR, B0=>nx17416); ix17417 : nor02 port map ( Y=>nx17416, A0=>C_MUX2_6_SEL, A1=>nx7071); ix17459 : ao21 port map ( Y=>PRI_OUT_2(2), A0=>C_MUX2_6_SEL, A1=> PRI_OUT_7_2_EXMPLR, B0=>nx17434); ix17435 : nor02 port map ( Y=>nx17434, A0=>C_MUX2_6_SEL, A1=>nx7535); ix17487 : ao21 port map ( Y=>PRI_OUT_2(3), A0=>C_MUX2_6_SEL, A1=> PRI_OUT_7_3_EXMPLR, B0=>nx17462); ix17463 : nor02 port map ( Y=>nx17462, A0=>C_MUX2_6_SEL, A1=>nx8047); ix17515 : ao21 port map ( Y=>PRI_OUT_2(4), A0=>C_MUX2_6_SEL, A1=> PRI_OUT_7_4_EXMPLR, B0=>nx17490); ix17491 : nor02 port map ( Y=>nx17490, A0=>C_MUX2_6_SEL, A1=>nx8533); ix17543 : ao21 port map ( Y=>PRI_OUT_2(5), A0=>C_MUX2_6_SEL, A1=> PRI_OUT_7_5_EXMPLR, B0=>nx17518); ix17519 : nor02 port map ( Y=>nx17518, A0=>C_MUX2_6_SEL, A1=>nx9009); ix17571 : ao21 port map ( Y=>PRI_OUT_2(6), A0=>C_MUX2_6_SEL, A1=> PRI_OUT_7_6_EXMPLR, B0=>nx17546); ix17547 : nor02 port map ( Y=>nx17546, A0=>C_MUX2_6_SEL, A1=>nx9503); ix17599 : ao21 port map ( Y=>PRI_OUT_2(7), A0=>C_MUX2_6_SEL, A1=> PRI_OUT_7_7_EXMPLR, B0=>nx17574); ix17575 : nor02 port map ( Y=>nx17574, A0=>C_MUX2_6_SEL, A1=>nx9977); ix17627 : ao21 port map ( Y=>PRI_OUT_2(8), A0=>C_MUX2_6_SEL, A1=> PRI_OUT_7_8_EXMPLR, B0=>nx17602); ix17603 : nor02 port map ( Y=>nx17602, A0=>C_MUX2_6_SEL, A1=>nx10483); ix17655 : ao21 port map ( Y=>PRI_OUT_2(9), A0=>C_MUX2_6_SEL, A1=> PRI_OUT_7_9_EXMPLR, B0=>nx17630); ix17631 : nor02 port map ( Y=>nx17630, A0=>C_MUX2_6_SEL, A1=>nx10951); ix17683 : ao21 port map ( Y=>PRI_OUT_2(10), A0=>C_MUX2_6_SEL, A1=> PRI_OUT_7_10_EXMPLR, B0=>nx17658); ix17659 : nor02 port map ( Y=>nx17658, A0=>C_MUX2_6_SEL, A1=>nx11453); ix17711 : ao21 port map ( Y=>PRI_OUT_2(11), A0=>C_MUX2_6_SEL, A1=> PRI_OUT_7_11_EXMPLR, B0=>nx17686); ix17687 : nor02 port map ( Y=>nx17686, A0=>C_MUX2_6_SEL, A1=>nx11923); ix17739 : ao21 port map ( Y=>PRI_OUT_2(12), A0=>C_MUX2_6_SEL, A1=> PRI_OUT_7_12_EXMPLR, B0=>nx17714); ix17715 : nor02 port map ( Y=>nx17714, A0=>C_MUX2_6_SEL, A1=>nx12411); ix17767 : ao21 port map ( Y=>PRI_OUT_2(13), A0=>C_MUX2_6_SEL, A1=> PRI_OUT_7_13_EXMPLR, B0=>nx17742); ix17743 : nor02 port map ( Y=>nx17742, A0=>C_MUX2_6_SEL, A1=>nx12892); ix17795 : ao21 port map ( Y=>PRI_OUT_2(14), A0=>C_MUX2_6_SEL, A1=> PRI_OUT_7_14_EXMPLR, B0=>nx17770); ix17771 : nor02 port map ( Y=>nx17770, A0=>C_MUX2_6_SEL, A1=>nx13381); ix17823 : ao21 port map ( Y=>PRI_OUT_2(15), A0=>C_MUX2_6_SEL, A1=> PRI_OUT_7_15_EXMPLR, B0=>nx17798); ix17799 : nor02 port map ( Y=>nx17798, A0=>C_MUX2_6_SEL, A1=>nx13936); ix17587 : inv02 port map ( Y=>nx17586, A=>nx14161); ix17531 : inv02 port map ( Y=>nx17530, A=>nx14143); ix17475 : inv02 port map ( Y=>nx17474, A=>nx14122); ix17419 : inv02 port map ( Y=>nx17418, A=>nx14104); ix17395 : inv02 port map ( Y=>nx17394, A=>nx14099); ix16959 : inv02 port map ( Y=>nx16958, A=>nx14243); ix16613 : inv02 port map ( Y=>nx16612, A=>nx14566); ix16533 : inv02 port map ( Y=>nx16532, A=>nx14525); ix16453 : inv02 port map ( Y=>nx16452, A=>nx14491); ix16373 : inv02 port map ( Y=>nx16372, A=>nx14445); ix16339 : inv02 port map ( Y=>nx16338, A=>nx14429); ix13912 : inv02 port map ( Y=>nx13911, A=>nx6545); ix13732 : inv02 port map ( Y=>nx13731, A=>nx15198); ix15155 : inv02 port map ( Y=>nx15154, A=>nx13223); ix14030 : inv02 port map ( Y=>nx14029, A=>nx14984); ix13552 : inv02 port map ( Y=>nx13551, A=>nx14812); ix13884 : inv02 port map ( Y=>nx13883, A=>nx14746); ix14697 : inv02 port map ( Y=>nx14696, A=>nx13321); ix13918 : inv02 port map ( Y=>nx13917, A=>nx14650); ix14645 : inv02 port map ( Y=>nx14644, A=>nx13285); ix15185 : inv02 port map ( Y=>nx6541, A=>nx13444); ix13697 : inv02 port map ( Y=>nx13696, A=>nx6540); ix13378 : inv02 port map ( Y=>nx13377, A=>nx6539); ix14215 : inv02 port map ( Y=>nx14214, A=>nx12779); ix14205 : inv02 port map ( Y=>nx14204, A=>nx13041); ix14089 : inv02 port map ( Y=>nx14088, A=>nx12771); ix14079 : inv02 port map ( Y=>nx14078, A=>nx12667); ix14003 : inv02 port map ( Y=>nx14002, A=>nx12681); ix13993 : inv02 port map ( Y=>nx13992, A=>nx12687); ix13983 : inv02 port map ( Y=>nx13982, A=>nx12695); ix13973 : inv02 port map ( Y=>nx13972, A=>nx12705); ix13963 : inv02 port map ( Y=>nx13962, A=>nx12717); ix13939 : inv02 port map ( Y=>nx13938, A=>nx12729); ix13522 : inv02 port map ( Y=>nx13521, A=>nx13926); ix13907 : inv02 port map ( Y=>nx13906, A=>nx12967); ix13893 : inv02 port map ( Y=>nx13892, A=>nx12977); ix13871 : inv02 port map ( Y=>nx13870, A=>nx12992); ix13815 : inv02 port map ( Y=>nx13814, A=>nx12911); ix13034 : inv02 port map ( Y=>nx13033, A=>nx13756); ix14111 : inv02 port map ( Y=>nx6533, A=>nx12899); ix13667 : inv02 port map ( Y=>nx13666, A=>nx13049); ix13639 : inv02 port map ( Y=>nx13638, A=>nx12843); ix13605 : inv02 port map ( Y=>nx13604, A=>nx12861); ix13593 : inv02 port map ( Y=>nx13592, A=>nx12871); ix13589 : inv02 port map ( Y=>nx13588, A=>nx12813); ix13577 : inv02 port map ( Y=>nx13576, A=>nx12821); ix14129 : inv02 port map ( Y=>nx6531, A=>nx12943); ix13567 : inv02 port map ( Y=>nx13566, A=>nx12827); ix12889 : inv02 port map ( Y=>nx12888, A=>nx16225); ix13469 : inv02 port map ( Y=>nx13468, A=>nx12659); ix13345 : inv02 port map ( Y=>nx13344, A=>nx13063); ix12804 : inv02 port map ( Y=>nx12803, A=>nx13086); ix13043 : inv02 port map ( Y=>nx13042, A=>nx12267); ix13010 : inv02 port map ( Y=>nx13009, A=>nx12872); ix12569 : inv02 port map ( Y=>nx12568, A=>nx12700); ix12744 : inv02 port map ( Y=>nx12743, A=>nx12634); ix12585 : inv02 port map ( Y=>nx12584, A=>nx12355); ix12868 : inv02 port map ( Y=>nx12867, A=>nx12538); ix12533 : inv02 port map ( Y=>nx12532, A=>nx12321); ix13073 : inv02 port map ( Y=>nx6521, A=>nx12468); ix12714 : inv02 port map ( Y=>nx12713, A=>nx6520); ix12407 : inv02 port map ( Y=>nx12406, A=>nx16221); ix12103 : inv02 port map ( Y=>nx12102, A=>nx11811); ix12093 : inv02 port map ( Y=>nx12092, A=>nx12070); ix11977 : inv02 port map ( Y=>nx11976, A=>nx11805); ix11967 : inv02 port map ( Y=>nx11966, A=>nx11709); ix11891 : inv02 port map ( Y=>nx11890, A=>nx11725); ix11881 : inv02 port map ( Y=>nx11880, A=>nx11733); ix11871 : inv02 port map ( Y=>nx11870, A=>nx11739); ix11861 : inv02 port map ( Y=>nx11860, A=>nx11747); ix11851 : inv02 port map ( Y=>nx11850, A=>nx11755); ix11827 : inv02 port map ( Y=>nx11826, A=>nx11766); ix12544 : inv02 port map ( Y=>nx12543, A=>nx11814); ix11795 : inv02 port map ( Y=>nx11794, A=>nx11994); ix11781 : inv02 port map ( Y=>nx11780, A=>nx12003); ix11759 : inv02 port map ( Y=>nx11758, A=>nx12017); ix11703 : inv02 port map ( Y=>nx11702, A=>nx11941); ix12060 : inv02 port map ( Y=>nx12059, A=>nx11644); ix11999 : inv02 port map ( Y=>nx6515, A=>nx11931); ix11555 : inv02 port map ( Y=>nx11554, A=>nx12077); ix11527 : inv02 port map ( Y=>nx11526, A=>nx11873); ix11493 : inv02 port map ( Y=>nx11492, A=>nx11889); ix11481 : inv02 port map ( Y=>nx11480, A=>nx11899); ix11477 : inv02 port map ( Y=>nx11476, A=>nx11843); ix11465 : inv02 port map ( Y=>nx11464, A=>nx11851); ix12017 : inv02 port map ( Y=>nx6513, A=>nx11973); ix11455 : inv02 port map ( Y=>nx11454, A=>nx11857); ix11920 : inv02 port map ( Y=>nx11919, A=>nx16218); ix11357 : inv02 port map ( Y=>nx11356, A=>nx11703); ix11345 : inv02 port map ( Y=>nx11344, A=>nx12111); ix11233 : inv02 port map ( Y=>nx11232, A=>nx12089); ix11834 : inv02 port map ( Y=>nx11833, A=>nx10974); ix10931 : inv02 port map ( Y=>nx10930, A=>nx11303); ix12038 : inv02 port map ( Y=>nx12037, A=>nx10760); ix11608 : inv02 port map ( Y=>nx11607, A=>nx10588); ix11778 : inv02 port map ( Y=>nx11777, A=>nx10522); ix10473 : inv02 port map ( Y=>nx10472, A=>nx11391); ix11897 : inv02 port map ( Y=>nx11896, A=>nx10426); ix10421 : inv02 port map ( Y=>nx10420, A=>nx11357); ix10961 : inv02 port map ( Y=>nx6506, A=>nx11507); ix11753 : inv02 port map ( Y=>nx11752, A=>nx6505); ix11450 : inv02 port map ( Y=>nx11449, A=>nx16213); ix9991 : inv02 port map ( Y=>nx9990, A=>nx10842); ix9981 : inv02 port map ( Y=>nx9980, A=>nx11099); ix9865 : inv02 port map ( Y=>nx9864, A=>nx10837); ix9855 : inv02 port map ( Y=>nx9854, A=>nx10743); ix9779 : inv02 port map ( Y=>nx9778, A=>nx10757); ix9769 : inv02 port map ( Y=>nx9768, A=>nx10765); ix9759 : inv02 port map ( Y=>nx9758, A=>nx10771); ix9749 : inv02 port map ( Y=>nx9748, A=>nx10779); ix9739 : inv02 port map ( Y=>nx9738, A=>nx10789); ix9715 : inv02 port map ( Y=>nx9714, A=>nx10800); ix11582 : inv02 port map ( Y=>nx11581, A=>nx9702); ix9683 : inv02 port map ( Y=>nx9682, A=>nx11021); ix9669 : inv02 port map ( Y=>nx9668, A=>nx11027); ix9647 : inv02 port map ( Y=>nx9646, A=>nx11044); ix9591 : inv02 port map ( Y=>nx9590, A=>nx10969); ix11090 : inv02 port map ( Y=>nx11089, A=>nx9532); ix9887 : inv02 port map ( Y=>nx6499, A=>nx10959); ix9443 : inv02 port map ( Y=>nx9442, A=>nx11109); ix9415 : inv02 port map ( Y=>nx9414, A=>nx10907); ix9381 : inv02 port map ( Y=>nx9380, A=>nx10923); ix9369 : inv02 port map ( Y=>nx9368, A=>nx10931); ix9365 : inv02 port map ( Y=>nx9364, A=>nx10877); ix9353 : inv02 port map ( Y=>nx9352, A=>nx10882); ix9905 : inv02 port map ( Y=>nx6498, A=>nx11000); ix9343 : inv02 port map ( Y=>nx9342, A=>nx10889); ix10948 : inv02 port map ( Y=>nx10947, A=>nx16208); ix9245 : inv02 port map ( Y=>nx9244, A=>nx10735); ix9233 : inv02 port map ( Y=>nx9232, A=>nx11153); ix9181 : inv02 port map ( Y=>nx9180, A=>nx10937); ix9121 : inv02 port map ( Y=>nx9120, A=>nx11125); ix10868 : inv02 port map ( Y=>nx10867, A=>nx8862); ix8819 : inv02 port map ( Y=>nx8818, A=>nx10340); ix11062 : inv02 port map ( Y=>nx11061, A=>nx8648); ix10648 : inv02 port map ( Y=>nx10647, A=>nx8476); ix10812 : inv02 port map ( Y=>nx10811, A=>nx8410); ix8361 : inv02 port map ( Y=>nx8360, A=>nx10429); ix10929 : inv02 port map ( Y=>nx10928, A=>nx8314); ix8309 : inv02 port map ( Y=>nx8308, A=>nx10397); ix8849 : inv02 port map ( Y=>nx6491, A=>nx15493); ix10786 : inv02 port map ( Y=>nx10785, A=>nx15495); ix10480 : inv02 port map ( Y=>nx10479, A=>nx6488); ix8125 : inv02 port map ( Y=>nx8124, A=>nx10469); ix7879 : inv02 port map ( Y=>nx7878, A=>nx9862); ix7869 : inv02 port map ( Y=>nx7868, A=>nx10147); ix7753 : inv02 port map ( Y=>nx7752, A=>nx9857); ix7743 : inv02 port map ( Y=>nx7742, A=>nx9765); ix7667 : inv02 port map ( Y=>nx7666, A=>nx9777); ix7657 : inv02 port map ( Y=>nx7656, A=>nx9784); ix7647 : inv02 port map ( Y=>nx7646, A=>nx9789); ix7637 : inv02 port map ( Y=>nx7636, A=>nx9799); ix7627 : inv02 port map ( Y=>nx7626, A=>nx9811); ix7603 : inv02 port map ( Y=>nx7602, A=>nx9819); ix10618 : inv02 port map ( Y=>nx10617, A=>nx7590); ix7571 : inv02 port map ( Y=>nx7570, A=>nx10055); ix7557 : inv02 port map ( Y=>nx7556, A=>nx10065); ix7535 : inv02 port map ( Y=>nx7534, A=>nx10085); ix7479 : inv02 port map ( Y=>nx7478, A=>nx9993); ix10138 : inv02 port map ( Y=>nx10137, A=>nx7420_XX0_XREP3); ix7775 : inv02 port map ( Y=>nx6485, A=>nx9985); ix7331 : inv02 port map ( Y=>nx7330, A=>nx10157); ix7303 : inv02 port map ( Y=>nx7302, A=>nx9929); ix7269 : inv02 port map ( Y=>nx7268, A=>nx9945); ix7257 : inv02 port map ( Y=>nx7256, A=>nx9957); ix7253 : inv02 port map ( Y=>nx7252, A=>nx9895); ix7241 : inv02 port map ( Y=>nx7240, A=>nx9901); ix7793 : inv02 port map ( Y=>nx6484, A=>nx15491); ix7231 : inv02 port map ( Y=>nx7230, A=>nx9909); ix9974 : inv02 port map ( Y=>nx9972, A=>nx6481); ix7133 : inv02 port map ( Y=>nx7132, A=>nx9759); ix7121 : inv02 port map ( Y=>nx7120, A=>nx10197); ix7111 : inv02 port map ( Y=>nx7110, A=>nx10205); ix7069 : inv02 port map ( Y=>nx7068, A=>nx9963); ix7009 : inv02 port map ( Y=>nx7008, A=>nx10173); ix9883 : inv02 port map ( Y=>nx9882, A=>nx6750); ix6707 : inv02 port map ( Y=>nx6706, A=>nx9363); ix10110 : inv02 port map ( Y=>nx10109, A=>nx6536); ix9670 : inv02 port map ( Y=>nx9669, A=>nx6364); ix9830 : inv02 port map ( Y=>nx9829, A=>nx6298); ix6249 : inv02 port map ( Y=>nx6248, A=>nx9449); ix9954 : inv02 port map ( Y=>nx9953, A=>nx6202); ix6197 : inv02 port map ( Y=>nx6196, A=>nx9415); ix6737 : inv02 port map ( Y=>nx6473, A=>nx9565); ix9808 : inv02 port map ( Y=>nx9807, A=>nx6471); ix9500 : inv02 port map ( Y=>nx9499, A=>nx6470); ix6013 : inv02 port map ( Y=>nx6012, A=>nx9487); ix5767 : inv02 port map ( Y=>nx5766, A=>nx8889); ix5757 : inv02 port map ( Y=>nx5756, A=>nx9179); ix5641 : inv02 port map ( Y=>nx5640, A=>nx8883); ix5631 : inv02 port map ( Y=>nx5630, A=>nx8789); ix5555 : inv02 port map ( Y=>nx5554, A=>nx8803); ix5545 : inv02 port map ( Y=>nx5544, A=>nx8809); ix5535 : inv02 port map ( Y=>nx5534, A=>nx8815); ix5525 : inv02 port map ( Y=>nx5524, A=>nx8823); ix5515 : inv02 port map ( Y=>nx5514, A=>nx8833); ix5491 : inv02 port map ( Y=>nx5490, A=>nx8842); ix9641 : inv02 port map ( Y=>nx9640, A=>nx5478); ix5459 : inv02 port map ( Y=>nx5458, A=>nx9093); ix5445 : inv02 port map ( Y=>nx5444, A=>nx9103); ix5423 : inv02 port map ( Y=>nx5422, A=>nx9123); ix5367 : inv02 port map ( Y=>nx5366, A=>nx9029); ix9168 : inv02 port map ( Y=>nx9167, A=>nx5308); ix5663 : inv02 port map ( Y=>nx6467, A=>nx9017); ix5219 : inv02 port map ( Y=>nx5218, A=>nx9187); ix5191 : inv02 port map ( Y=>nx5190, A=>nx8951); ix5157 : inv02 port map ( Y=>nx5156, A=>nx8973); ix5145 : inv02 port map ( Y=>nx5144, A=>nx8985); ix5141 : inv02 port map ( Y=>nx5140, A=>nx8923); ix5129 : inv02 port map ( Y=>nx5128, A=>nx8930); ix5681 : inv02 port map ( Y=>nx6466, A=>nx15488); ix5119 : inv02 port map ( Y=>nx5118, A=>nx8935); ix9006 : inv02 port map ( Y=>nx9005, A=>nx6463); ix5021 : inv02 port map ( Y=>nx5020, A=>nx8781); ix5009 : inv02 port map ( Y=>nx5008, A=>nx9228); ix4999 : inv02 port map ( Y=>nx4998, A=>nx9235); ix4957 : inv02 port map ( Y=>nx4956, A=>nx8993); ix4897 : inv02 port map ( Y=>nx4896, A=>nx9203); ix8913 : inv02 port map ( Y=>nx8912, A=>nx4638); ix4595 : inv02 port map ( Y=>nx4594, A=>nx8391); ix9140 : inv02 port map ( Y=>nx9139, A=>nx4424); ix8692 : inv02 port map ( Y=>nx8691, A=>nx4252); ix8856 : inv02 port map ( Y=>nx8855, A=>nx4186); ix4137 : inv02 port map ( Y=>nx4136, A=>nx8471); ix8982 : inv02 port map ( Y=>nx8981, A=>nx4090); ix4085 : inv02 port map ( Y=>nx4084, A=>nx8439); ix4625 : inv02 port map ( Y=>nx6458, A=>\[65125__XX0_XREP13\); ix8830 : inv02 port map ( Y=>nx8829, A=>nx6457); ix8530 : inv02 port map ( Y=>nx8529, A=>nx6456); ix3901 : inv02 port map ( Y=>nx3900, A=>nx8517); ix3655 : inv02 port map ( Y=>nx3654, A=>nx7913); ix3645 : inv02 port map ( Y=>nx3644, A=>nx8205); ix3529 : inv02 port map ( Y=>nx3528, A=>nx7905); ix3519 : inv02 port map ( Y=>nx3518, A=>nx7805); ix3433 : inv02 port map ( Y=>nx3432, A=>nx7826); ix3423 : inv02 port map ( Y=>nx3422, A=>nx7831); ix3413 : inv02 port map ( Y=>nx3412, A=>nx7841); ix3403 : inv02 port map ( Y=>nx3402, A=>nx7851); ix3379 : inv02 port map ( Y=>nx3378, A=>nx7860); ix8664 : inv02 port map ( Y=>nx8663, A=>nx3366); ix3347 : inv02 port map ( Y=>nx3346, A=>nx8123); ix3333 : inv02 port map ( Y=>nx3332, A=>nx8131); ix3323 : inv02 port map ( Y=>nx3322, A=>nx8141); ix3311 : inv02 port map ( Y=>nx3310, A=>nx8151); ix3255 : inv02 port map ( Y=>nx3254, A=>nx8067); ix8194 : inv02 port map ( Y=>nx8193, A=>nx3196); ix3551 : inv02 port map ( Y=>nx6451, A=>nx8055); ix3107 : inv02 port map ( Y=>nx3106, A=>nx8213); ix3079 : inv02 port map ( Y=>nx3078, A=>nx7989); ix3045 : inv02 port map ( Y=>nx3044, A=>nx8011); ix3033 : inv02 port map ( Y=>nx3032, A=>nx8023); ix3029 : inv02 port map ( Y=>nx3028, A=>nx7953_XX0_XREP5); ix3017 : inv02 port map ( Y=>nx3016, A=>nx7961); ix3569 : inv02 port map ( Y=>nx6449, A=>nx15485); ix3007 : inv02 port map ( Y=>nx3006, A=>nx7969); ix8044 : inv02 port map ( Y=>nx8043, A=>nx6445); ix2909 : inv02 port map ( Y=>nx2908, A=>nx7797); ix2897 : inv02 port map ( Y=>nx2896, A=>nx8251); ix2887 : inv02 port map ( Y=>nx2886, A=>nx8261); ix2845 : inv02 port map ( Y=>nx2844, A=>nx8031); ix2785 : inv02 port map ( Y=>nx2784, A=>nx8228); ix7940 : inv02 port map ( Y=>nx7939, A=>nx2526); ix2483 : inv02 port map ( Y=>nx2482, A=>nx7383); ix8170 : inv02 port map ( Y=>nx8169, A=>nx2312); ix7708 : inv02 port map ( Y=>nx7707, A=>nx2140); ix7876 : inv02 port map ( Y=>nx7875, A=>nx2074); ix2025 : inv02 port map ( Y=>nx2024, A=>nx7477); ix8020 : inv02 port map ( Y=>nx8019, A=>nx1978); ix1973 : inv02 port map ( Y=>nx1972, A=>nx7439_XX0_XREP7); ix2513 : inv02 port map ( Y=>nx6441, A=>nx7596); ix7848 : inv02 port map ( Y=>nx7847, A=>nx15481); ix7532 : inv02 port map ( Y=>nx7531, A=>nx6437); ix1789 : inv02 port map ( Y=>nx1788, A=>nx7524); ix1543 : inv02 port map ( Y=>nx1542, A=>nx6969); ix1533 : inv02 port map ( Y=>nx1532, A=>nx7209); ix1443 : inv02 port map ( Y=>nx1442, A=>nx6961); ix1433 : inv02 port map ( Y=>nx1432, A=>nx6871); ix1367 : inv02 port map ( Y=>nx1366, A=>nx6893); ix1357 : inv02 port map ( Y=>nx1356, A=>nx6901); ix1347 : inv02 port map ( Y=>nx1346, A=>nx6909); ix1337 : inv02 port map ( Y=>nx1336, A=>nx6917); ix1313 : inv02 port map ( Y=>nx1312, A=>nx6929); ix7674 : inv02 port map ( Y=>nx7673, A=>nx1300); ix1281 : inv02 port map ( Y=>nx1280, A=>nx7137); ix1267 : inv02 port map ( Y=>nx1266, A=>nx7145); ix1257 : inv02 port map ( Y=>nx1256, A=>nx7153); ix1245 : inv02 port map ( Y=>nx1244, A=>nx7163); ix1209 : inv02 port map ( Y=>nx1208, A=>nx7087); ix1465 : inv02 port map ( Y=>nx6433, A=>nx7077); ix1129 : inv02 port map ( Y=>nx1128, A=>nx7231); ix1109 : inv02 port map ( Y=>nx1108, A=>nx7023); ix1075 : inv02 port map ( Y=>nx1074, A=>nx7041); ix1063 : inv02 port map ( Y=>nx1062, A=>nx7051); ix1059 : inv02 port map ( Y=>nx1058, A=>nx6999_XX0_XREP9); ix1047 : inv02 port map ( Y=>nx1046, A=>nx7005); ix1483 : inv02 port map ( Y=>nx6432, A=>nx7117); ix1037 : inv02 port map ( Y=>nx1036, A=>nx7013); ix7068 : inv02 port map ( Y=>nx7067, A=>nx6430); ix979 : inv02 port map ( Y=>nx978, A=>nx7125); ix967 : inv02 port map ( Y=>nx966, A=>nx6863); ix955 : inv02 port map ( Y=>nx954, A=>nx7251); ix945 : inv02 port map ( Y=>nx944, A=>nx7259); ix913 : inv02 port map ( Y=>nx912, A=>nx7223); ix845 : inv02 port map ( Y=>nx844, A=>nx6791); ix587 : inv02 port map ( Y=>nx586, A=>nx6915); ix445 : inv02 port map ( Y=>nx444, A=>nx6768); ix287 : inv02 port map ( Y=>nx286, A=>nx6811); ix235 : inv02 port map ( Y=>nx234, A=>nx6710); ix199 : inv02 port map ( Y=>nx198, A=>nx6620_XX0_XREP11); ix165 : inv02 port map ( Y=>nx164, A=>nx7011); ix149 : inv02 port map ( Y=>nx148, A=>nx6715); ix117 : inv02 port map ( Y=>nx116, A=>nx7059); ix6664 : inv02 port map ( Y=>nx6663, A=>nx6423); ix61 : inv02 port map ( Y=>nx60, A=>nx7257); ix9 : inv02 port map ( Y=>nx8, A=>nx7229); ix14769 : inv02 port map ( Y=>nx14770, A=>C_MUX2_25_SEL); ix14771 : inv02 port map ( Y=>nx14772, A=>C_MUX2_25_SEL); ix14773 : inv02 port map ( Y=>nx14774, A=>C_MUX2_25_SEL); ix14775 : inv02 port map ( Y=>nx14776, A=>C_MUX2_25_SEL); ix14777 : inv02 port map ( Y=>nx14778, A=>C_MUX2_25_SEL); ix14779 : inv02 port map ( Y=>nx14780, A=>C_MUX2_25_SEL); ix14781 : inv02 port map ( Y=>nx14782, A=>C_MUX2_1_SEL); ix14783 : inv02 port map ( Y=>nx14785, A=>C_MUX2_1_SEL); ix14786 : inv02 port map ( Y=>nx14787, A=>C_MUX2_1_SEL); ix733 : xnor2 port map ( Y=>nx732, A0=>nx6749, A1=>reg_38_q_c_0); ix649 : xor2 port map ( Y=>nx648, A0=>reg_43_q_c_0, A1=>nx15557); ix635 : xor2 port map ( Y=>nx634, A0=>PRI_OUT_1_0_EXMPLR, A1=> reg_25_q_c_0); ix621 : xor2 port map ( Y=>nx620, A0=>nx16193, A1=>reg_24_q_c_0); ix607 : xor2 port map ( Y=>nx606, A0=>PRI_IN_6(0), A1=>reg_41_q_c_0); ix593 : xor2 port map ( Y=>nx592, A0=>PRI_IN_1(0), A1=>nx6425); ix565 : xor2 port map ( Y=>nx564, A0=>reg_26_q_c_0, A1=>reg_34_q_c_0); ix319 : ao21 port map ( Y=>nx318, A0=>reg_25_q_c_0, A1=>nx6799, B0=> nx14793); ix14792 : inv02 port map ( Y=>nx14793, A=>nx310); ix747 : xor2 port map ( Y=>nx746, A0=>PRI_OUT_8_0_EXMPLR, A1=> reg_22_q_c_0); ix813 : xor2 port map ( Y=>nx812, A0=>nx6683, A1=>nx6719); ix171 : xor2 port map ( Y=>nx170, A0=>PRI_OUT_3_0_EXMPLR, A1=>nx6425); ix225 : xnor2 port map ( Y=>nx224, A0=>nx6820, A1=>reg_39_q_c_0); ix211 : xor2 port map ( Y=>nx210, A0=>nx6649, A1=>nx6655); ix123 : xor2 port map ( Y=>nx122, A0=>PRI_OUT_9_0_EXMPLR, A1=>nx6423); ix135 : and02 port map ( Y=>nx134, A0=>C_MUX2_25_SEL, A1=>reg_10_q_c_0); ix417 : xor2 port map ( Y=>nx416, A0=>PRI_IN_7(0), A1=>reg_45_q_c_0); ix475 : xor2 port map ( Y=>nx474, A0=>nx6685, A1=>nx6739); ix435 : ao21 port map ( Y=>nx434, A0=>reg_33_q_c_0, A1=>nx6765, B0=> nx14795); ix14794 : inv02 port map ( Y=>nx14795, A=>nx426); ix551 : xnor2 port map ( Y=>nx550, A0=>nx6579, A1=>nx538); ix369 : xor2 port map ( Y=>nx368, A0=>nx6707, A1=>nx6675); ix427 : or02 port map ( Y=>nx426, A0=>nx6765, A1=>reg_33_q_c_0); ix885 : xor2 port map ( Y=>nx884, A0=>PRI_IN_3(0), A1=>reg_36_q_c_0); ix23 : xnor2 port map ( Y=>nx22, A0=>nx6671, A1=>reg_13_q_c_0); ix311 : or02 port map ( Y=>nx310, A0=>nx6799, A1=>reg_25_q_c_0); ix6870 : or02 port map ( Y=>nx6869, A0=>nx6749, A1=>nx6635); ix6872 : xor2 port map ( Y=>nx6871, A0=>reg_33_q_c_1, A1=>nx7114); ix1423 : xor2 port map ( Y=>nx1422, A0=>nx7027, A1=>nx1420); ix6894 : xor2 port map ( Y=>nx6893, A0=>PRI_OUT_1_1_EXMPLR, A1=>nx7237); ix6930 : xor2 port map ( Y=>nx6929, A0=>reg_26_q_c_1, A1=>nx7191); ix1163 : xor2 port map ( Y=>nx1162, A0=>PRI_IN_5(1), A1=>nx7239); ix1153 : xor2 port map ( Y=>nx1152, A0=>PRI_IN_4(1), A1=>nx7238); ix1143 : xnor2 port map ( Y=>nx1142, A0=>nx7237, A1=>nx7233); ix6954 : xor2 port map ( Y=>nx6953, A0=>nx7236, A1=>nx7023); ix1523 : xnor2 port map ( Y=>nx1522, A0=>nx7127, A1=>nx7202); ix1027 : xor2 port map ( Y=>nx1026, A0=>PRI_IN_0(1), A1=>nx7114); ix1085 : xor2 port map ( Y=>nx1084, A0=>nx7237, A1=>reg_42_q_c_1); ix7040 : or02 port map ( Y=>nx7039, A0=>nx6820, A1=>nx6649); ix1015 : and02 port map ( Y=>nx1014, A0=>C_MUX2_25_SEL, A1=>nx16197); ix7098 : xor2 port map ( Y=>nx7097, A0=>nx7105, A1=>nx6999); ix1513 : xor2 port map ( Y=>nx1512, A0=>PRI_IN_4(1), A1=>nx7201); ix1229 : xor2 port map ( Y=>nx1228, A0=>nx7238, A1=>reg_31_q_c_1); ix1219 : xor2 port map ( Y=>nx1218, A0=>reg_33_q_c_1, A1=>nx7191); ix7210 : xor2 port map ( Y=>nx7209, A0=>PRI_IN_3(1), A1=>nx7233); ix1121 : xor2 port map ( Y=>nx1120, A0=>reg_37_q_c_1, A1=>nx7114); ix7222 : or02 port map ( Y=>nx7221, A0=>nx6671, A1=>nx6567); ix7224 : xnor2 port map ( Y=>nx7223, A0=>nx7071, A1=>nx7027); ix7284 : xnor2 port map ( Y=>nx7283, A0=>nx7794, A1=>nx7591); ix2453 : xor2 port map ( Y=>nx2452, A0=>nx7483, A1=>nx2450); ix7308 : xor2 port map ( Y=>nx7307, A0=>PRI_OUT_1_2_EXMPLR, A1=>nx7751); ix7316 : xnor2 port map ( Y=>nx7315, A0=>nx7759, A1=>nx7791); ix7326 : xor2 port map ( Y=>nx7325, A0=>PRI_IN_6(2), A1=>nx7758); ix7353 : xnor2 port map ( Y=>nx7352, A0=>nx7756, A1=>nx7699); ix2075 : xor2 port map ( Y=>nx2074, A0=>PRI_IN_4(2), A1=>nx7753); ix2065 : xnor2 port map ( Y=>nx2064, A0=>nx7751, A1=>nx7747); ix7394 : xor2 port map ( Y=>nx7393, A0=>PRI_OUT_8_2_EXMPLR, A1=>nx7795); ix7402 : xnor2 port map ( Y=>nx7401, A0=>nx15482, A1=>nx7748); ix2571 : xor2 port map ( Y=>nx2570, A0=>reg_1_q_c_2, A1=>nx7710); ix2527 : xor2 port map ( Y=>nx2526, A0=>nx7599, A1=>nx7596_XX0_XREP53); ix1941 : xor2 port map ( Y=>nx1940, A0=>PRI_IN_0(2), A1=>nx7591); ix1999 : xor2 port map ( Y=>nx1998, A0=>nx7751, A1=>reg_42_q_c_2); ix7504 : xnor2 port map ( Y=>nx7503, A0=>nx7795, A1=>nx15483); ix1979 : xor2 port map ( Y=>nx1978, A0=>nx7585, A1=>nx7517); ix1901 : and02 port map ( Y=>nx1900, A0=>C_MUX2_25_SEL, A1=>reg_10_q_c_2 ); ix7558 : xor2 port map ( Y=>nx7557, A0=>PRI_IN_7(2), A1=>nx7599); ix2561 : xor2 port map ( Y=>nx2560, A0=>PRI_IN_4(2), A1=>nx7709); ix7633 : xnor2 port map ( Y=>nx7632, A0=>nx7704, A1=>nx7703); ix2239 : xor2 port map ( Y=>nx2238, A0=>nx7753, A1=>reg_31_q_c_2); ix2229 : xnor2 port map ( Y=>nx2228, A0=>nx7794, A1=>nx7699); ix2313 : xor2 port map ( Y=>nx2312, A0=>nx7758, A1=>nx2310); ix7718 : xor2 port map ( Y=>nx7717, A0=>PRI_IN_3(2), A1=>nx7747); ix2035 : xor2 port map ( Y=>nx2034, A0=>reg_37_q_c_2, A1=>nx7591); ix7736 : xnor2 port map ( Y=>nx7735, A0=>nx7535, A1=>nx7483); ix7746 : xor2 port map ( Y=>nx7745, A0=>PRI_IN_7(2), A1=>nx7711); ix7806 : xor2 port map ( Y=>nx7805, A0=>reg_33_q_c_3, A1=>nx8094); ix3509 : xor2 port map ( Y=>nx3508, A0=>nx7993, A1=>nx3506); ix7827 : xor2 port map ( Y=>nx7826, A0=>PRI_OUT_1_3_EXMPLR, A1=>nx8237); ix7862 : xor2 port map ( Y=>nx7860, A0=>reg_26_q_c_3, A1=>nx8183); ix3141 : xor2 port map ( Y=>nx3140, A0=>PRI_IN_5(3), A1=>nx8239); ix3131 : xor2 port map ( Y=>nx3130, A0=>PRI_IN_4(3), A1=>nx8238); ix2765 : ao22 port map ( Y=>nx2764, A0=>nx7747, A1=>reg_25_q_c_2, B0=> nx14797, B1=>nx2064); ix14796 : inv02 port map ( Y=>nx14797, A=>nx7371); ix3121 : xnor2 port map ( Y=>nx3120, A0=>nx8237, A1=>nx8231); ix7898 : xor2 port map ( Y=>nx7897, A0=>nx8235, A1=>nx7989); ix3627 : xnor2 port map ( Y=>nx3626, A0=>nx8107, A1=>nx8197); ix2997 : xor2 port map ( Y=>nx2996, A0=>PRI_IN_0(3), A1=>nx8094); ix3055 : xor2 port map ( Y=>nx3054, A0=>nx8237, A1=>reg_42_q_c_3); ix2957 : and02 port map ( Y=>nx2956, A0=>C_MUX2_25_SEL, A1=>reg_10_q_c_3 ); ix8078 : xor2 port map ( Y=>nx8076, A0=>nx8087, A1=>nx7953); ix3617 : xor2 port map ( Y=>nx3616, A0=>PRI_IN_4(3), A1=>nx8195); ix3295 : xor2 port map ( Y=>nx3294, A0=>nx8238, A1=>reg_31_q_c_3); ix3285 : xor2 port map ( Y=>nx3284, A0=>reg_33_q_c_3, A1=>nx8183); ix8206 : xor2 port map ( Y=>nx8205, A0=>PRI_IN_3(3), A1=>nx8231); ix3091 : xor2 port map ( Y=>nx3090, A0=>reg_37_q_c_3, A1=>nx8094); ix8229 : xnor2 port map ( Y=>nx8228, A0=>nx8047, A1=>nx7993); ix8292 : xnor2 port map ( Y=>nx8291, A0=>nx8777, A1=>nx8584); ix4565 : xor2 port map ( Y=>nx4564, A0=>nx8477, A1=>nx4562); ix8314 : xor2 port map ( Y=>nx8313, A0=>PRI_OUT_1_4_EXMPLR, A1=>nx8734); ix8323 : xnor2 port map ( Y=>nx8322, A0=>nx8743, A1=>nx8773); ix8331 : xor2 port map ( Y=>nx8330, A0=>PRI_IN_6(4), A1=>nx8742); ix8356 : xnor2 port map ( Y=>nx8355, A0=>nx8739, A1=>nx8683); ix4187 : xor2 port map ( Y=>nx4186, A0=>PRI_IN_4(4), A1=>nx8735); ix4177 : xnor2 port map ( Y=>nx4176, A0=>nx8734, A1=>nx8730); ix8400 : xor2 port map ( Y=>nx8399, A0=>PRI_OUT_8_4_EXMPLR, A1=>nx8779); ix8409 : xnor2 port map ( Y=>nx8408, A0=>nx8696, A1=>nx8731); ix4683 : xor2 port map ( Y=>nx4682, A0=>reg_1_q_c_4, A1=>nx8695); ix4639 : xor2 port map ( Y=>nx4638, A0=>nx8593, A1=>\[65125__XX0_XREP13\ ); ix4053 : xor2 port map ( Y=>nx4052, A0=>PRI_IN_0(4), A1=>nx8584); ix4111 : xor2 port map ( Y=>nx4110, A0=>nx8734, A1=>reg_42_q_c_4); ix8498 : xnor2 port map ( Y=>nx8497, A0=>nx8779, A1=>nx8581); ix4091 : xor2 port map ( Y=>nx4090, A0=>nx8581, A1=>nx8511); ix4013 : and02 port map ( Y=>nx4012, A0=>C_MUX2_25_SEL, A1=>reg_10_q_c_4 ); ix8556 : xor2 port map ( Y=>nx8555, A0=>PRI_IN_7(4), A1=>nx8593); ix4673 : xor2 port map ( Y=>nx4672, A0=>PRI_IN_4(4), A1=>nx8693); ix8624 : xnor2 port map ( Y=>nx8623, A0=>nx8689, A1=>nx8688); ix4351 : xor2 port map ( Y=>nx4350, A0=>nx8735, A1=>reg_31_q_c_4); ix4341 : xnor2 port map ( Y=>nx4340, A0=>nx8777, A1=>nx8683); ix4425 : xor2 port map ( Y=>nx4424, A0=>nx8742, A1=>nx4422); ix8704 : xor2 port map ( Y=>nx8703, A0=>PRI_IN_3(4), A1=>nx8730); ix4147 : xor2 port map ( Y=>nx4146, A0=>reg_37_q_c_4, A1=>nx8584); ix8719 : xnor2 port map ( Y=>nx8718, A0=>nx8533, A1=>nx8477); ix8729 : xor2 port map ( Y=>nx8728, A0=>PRI_IN_7(4), A1=>nx8696); ix8790 : xor2 port map ( Y=>nx8789, A0=>reg_33_q_c_5, A1=>nx9063); ix5621 : xor2 port map ( Y=>nx5620, A0=>nx8955, A1=>nx5618); ix8810 : xor2 port map ( Y=>nx8809, A0=>PRI_OUT_1_5_EXMPLR, A1=>nx9215); ix8843 : xor2 port map ( Y=>nx8842, A0=>reg_26_q_c_5, A1=>nx9157); ix5253 : xor2 port map ( Y=>nx5252, A0=>PRI_IN_5(5), A1=>nx9217); ix5243 : xor2 port map ( Y=>nx5242, A0=>PRI_IN_4(5), A1=>nx9216); ix4877 : ao22 port map ( Y=>nx4876, A0=>nx8730, A1=>reg_25_q_c_4, B0=> nx14799, B1=>nx4176); ix14798 : inv02 port map ( Y=>nx14799, A=>nx8377); ix5233 : xnor2 port map ( Y=>nx5232, A0=>nx9215, A1=>nx9207); ix8878 : xor2 port map ( Y=>nx8877, A0=>nx9213, A1=>nx8951); ix5739 : xnor2 port map ( Y=>nx5738, A0=>nx9077, A1=>nx9171); ix5109 : xor2 port map ( Y=>nx5108, A0=>PRI_IN_0(5), A1=>nx9063); ix5167 : xor2 port map ( Y=>nx5166, A0=>nx9215, A1=>reg_42_q_c_5); ix5069 : and02 port map ( Y=>nx5068, A0=>C_MUX2_25_SEL, A1=>reg_10_q_c_5 ); ix9042 : xor2 port map ( Y=>nx9041, A0=>nx9051, A1=>nx8923); ix5729 : xor2 port map ( Y=>nx5728, A0=>PRI_IN_4(5), A1=>nx9169); ix5407 : xor2 port map ( Y=>nx5406, A0=>nx9216, A1=>reg_31_q_c_5); ix5397 : xor2 port map ( Y=>nx5396, A0=>reg_33_q_c_5, A1=>nx9157); ix9180 : xor2 port map ( Y=>nx9179, A0=>PRI_IN_3(5), A1=>nx9207); ix5203 : xor2 port map ( Y=>nx5202, A0=>reg_37_q_c_5, A1=>nx9063); ix9204 : xnor2 port map ( Y=>nx9203, A0=>nx9009, A1=>nx8955); ix9264 : xnor2 port map ( Y=>nx9263, A0=>nx9755, A1=>nx9561); ix6677 : xor2 port map ( Y=>nx6676, A0=>nx9454, A1=>nx6674); ix9289 : xor2 port map ( Y=>nx9288, A0=>PRI_OUT_1_6_EXMPLR, A1=>nx9713); ix9298 : xnor2 port map ( Y=>nx9297, A0=>nx9721, A1=>nx9752); ix9308 : xor2 port map ( Y=>nx9307, A0=>PRI_IN_6(6), A1=>nx9720); ix9336 : xnor2 port map ( Y=>nx9335, A0=>nx9718, A1=>nx9661); ix6299 : xor2 port map ( Y=>nx6298, A0=>PRI_IN_4(6), A1=>nx9715); ix6289 : xnor2 port map ( Y=>nx6288, A0=>nx9713, A1=>nx9709); ix9374 : xor2 port map ( Y=>nx9373, A0=>PRI_OUT_8_6_EXMPLR, A1=>nx9756); ix9382 : xnor2 port map ( Y=>nx9381, A0=>nx9673, A1=>nx9710); ix6795 : xor2 port map ( Y=>nx6794, A0=>reg_1_q_c_6, A1=>nx9672); ix6751 : xor2 port map ( Y=>nx6750, A0=>nx9569, A1=>nx9565); ix6165 : xor2 port map ( Y=>nx6164, A0=>PRI_IN_0(6), A1=>nx9561); ix6223 : xor2 port map ( Y=>nx6222, A0=>nx9713, A1=>reg_42_q_c_6); ix9472 : xnor2 port map ( Y=>nx9471, A0=>nx9756, A1=>nx9555); ix6203 : xor2 port map ( Y=>nx6202, A0=>nx9555, A1=>nx9482); ix6125 : and02 port map ( Y=>nx6124, A0=>C_MUX2_25_SEL, A1=>reg_10_q_c_6 ); ix9524 : xor2 port map ( Y=>nx9523, A0=>PRI_IN_7(6), A1=>nx9569); ix6785 : xor2 port map ( Y=>nx6784, A0=>PRI_IN_4(6), A1=>nx9671); ix9600 : xnor2 port map ( Y=>nx9599, A0=>nx9666, A1=>nx9665); ix6463 : xor2 port map ( Y=>nx6462, A0=>nx9715, A1=>reg_31_q_c_6); ix6453 : xnor2 port map ( Y=>nx6452, A0=>nx9755, A1=>nx9661); ix6537 : xor2 port map ( Y=>nx6536, A0=>nx9720, A1=>nx6534); ix9680 : xor2 port map ( Y=>nx9679, A0=>PRI_IN_3(6), A1=>nx9709); ix6259 : xor2 port map ( Y=>nx6258, A0=>reg_37_q_c_6, A1=>nx9561); ix9698 : xnor2 port map ( Y=>nx9697, A0=>nx9503, A1=>nx9454); ix9708 : xor2 port map ( Y=>nx9707, A0=>PRI_IN_7(6), A1=>nx9673); ix9766 : xor2 port map ( Y=>nx9765, A0=>reg_33_q_c_7, A1=>nx10023); ix7733 : xor2 port map ( Y=>nx7732, A0=>nx9933, A1=>nx7730); ix9785 : xor2 port map ( Y=>nx9784, A0=>PRI_OUT_1_7_EXMPLR, A1=>nx10182); ix9820 : xor2 port map ( Y=>nx9819, A0=>reg_26_q_c_7, A1=>nx10128); ix7365 : xor2 port map ( Y=>nx7364, A0=>PRI_IN_5(7), A1=>nx10184); ix7355 : xor2 port map ( Y=>nx7354, A0=>PRI_IN_4(7), A1=>nx10183); ix6989 : ao22 port map ( Y=>nx6988, A0=>nx9709, A1=>reg_25_q_c_6, B0=> nx14801, B1=>nx6288); ix14800 : inv02 port map ( Y=>nx14801, A=>nx9353); ix7345 : xnor2 port map ( Y=>nx7344, A0=>nx10182, A1=>nx10177); ix9852 : xor2 port map ( Y=>nx9851, A0=>nx10181, A1=>nx9929); ix7851 : xnor2 port map ( Y=>nx7850, A0=>nx10039, A1=>nx10140); ix7221 : xor2 port map ( Y=>nx7220, A0=>PRI_IN_0(7), A1=>nx10023); ix7279 : xor2 port map ( Y=>nx7278, A0=>nx10182, A1=>reg_42_q_c_7); ix7181 : and02 port map ( Y=>nx7180, A0=>C_MUX2_25_SEL, A1=>reg_10_q_c_7 ); ix10004 : xor2 port map ( Y=>nx10003, A0=>nx10013, A1=>nx9895); ix7841 : xor2 port map ( Y=>nx7840, A0=>PRI_IN_4(7), A1=>nx10139); ix7519 : xor2 port map ( Y=>nx7518, A0=>nx10183, A1=>reg_31_q_c_7); ix7509 : xor2 port map ( Y=>nx7508, A0=>reg_33_q_c_7, A1=>nx10128); ix10148 : xor2 port map ( Y=>nx10147, A0=>PRI_IN_3(7), A1=>nx10177); ix7315 : xor2 port map ( Y=>nx7314, A0=>reg_37_q_c_7, A1=>nx10023); ix10174 : xnor2 port map ( Y=>nx10173, A0=>nx9977, A1=>nx9933); ix10238 : xnor2 port map ( Y=>nx10237, A0=>nx10732, A1=>nx10533); ix8789 : xor2 port map ( Y=>nx8788, A0=>nx10434, A1=>nx8786); ix10264 : xor2 port map ( Y=>nx10263, A0=>PRI_OUT_1_8_EXMPLR, A1=>nx10693 ); ix10274 : xnor2 port map ( Y=>nx10273, A0=>nx10701, A1=>nx10729); ix10282 : xor2 port map ( Y=>nx10281, A0=>PRI_IN_6(8), A1=>nx10699); ix10306 : xnor2 port map ( Y=>nx10305, A0=>nx10696, A1=>nx10640); ix8411 : xor2 port map ( Y=>nx8410, A0=>PRI_IN_4(8), A1=>nx10694); ix8401 : xnor2 port map ( Y=>nx8400, A0=>nx10693, A1=>nx10689); ix10348 : xor2 port map ( Y=>nx10347, A0=>PRI_OUT_8_8_EXMPLR, A1=>nx10733 ); ix10358 : xnor2 port map ( Y=>nx10357, A0=>nx10651, A1=>nx10690); ix8907 : xor2 port map ( Y=>nx8906, A0=>reg_1_q_c_8, A1=>nx10650); ix8863 : xor2 port map ( Y=>nx8862, A0=>nx10540, A1=>nx15493); ix8277 : xor2 port map ( Y=>nx8276, A0=>PRI_IN_0(8), A1=>nx10533); ix8335 : xor2 port map ( Y=>nx8334, A0=>nx10693, A1=>reg_42_q_c_8); ix10452 : xnor2 port map ( Y=>nx10451, A0=>nx10733, A1=>nx10529); ix8315 : xor2 port map ( Y=>nx8314, A0=>nx10529, A1=>nx10461); ix8237 : and02 port map ( Y=>nx8236, A0=>C_MUX2_25_SEL, A1=>reg_10_q_c_8 ); ix10506 : xor2 port map ( Y=>nx10505, A0=>PRI_IN_7(8), A1=>nx10540); ix8897 : xor2 port map ( Y=>nx8896, A0=>PRI_IN_4(8), A1=>nx10649); ix10571 : xnor2 port map ( Y=>nx10570, A0=>nx10645, A1=>nx10644); ix8575 : xor2 port map ( Y=>nx8574, A0=>nx10694, A1=>reg_31_q_c_8); ix8565 : xnor2 port map ( Y=>nx8564, A0=>nx10732, A1=>nx10640); ix8649 : xor2 port map ( Y=>nx8648, A0=>nx10699, A1=>nx8646); ix10658 : xor2 port map ( Y=>nx10657, A0=>PRI_IN_3(8), A1=>nx10689); ix8371 : xor2 port map ( Y=>nx8370, A0=>reg_37_q_c_8, A1=>nx10533); ix10678 : xnor2 port map ( Y=>nx10677, A0=>nx10483, A1=>nx10434); ix10688 : xor2 port map ( Y=>nx10687, A0=>PRI_IN_7(8), A1=>nx10651); ix10744 : xor2 port map ( Y=>nx10743, A0=>reg_33_q_c_9, A1=>nx10997); ix9845 : xor2 port map ( Y=>nx9844, A0=>nx10911, A1=>nx9842); ix10766 : xor2 port map ( Y=>nx10765, A0=>PRI_OUT_1_9_EXMPLR, A1=>nx11135 ); ix10801 : xor2 port map ( Y=>nx10800, A0=>reg_26_q_c_9, A1=>nx11081); ix9477 : xor2 port map ( Y=>nx9476, A0=>PRI_IN_5(9), A1=>nx11139); ix9467 : xor2 port map ( Y=>nx9466, A0=>PRI_IN_4(9), A1=>nx11137); ix9101 : ao22 port map ( Y=>nx9100, A0=>nx10689, A1=>reg_25_q_c_8, B0=> nx14803, B1=>nx8400); ix14802 : inv02 port map ( Y=>nx14803, A=>nx10327); ix9457 : xnor2 port map ( Y=>nx9456, A0=>nx11135, A1=>nx11129); ix10832 : xor2 port map ( Y=>nx10831, A0=>nx11134, A1=>nx10907); ix9963 : xnor2 port map ( Y=>nx9962, A0=>nx11011, A1=>nx11093); ix9333 : xor2 port map ( Y=>nx9332, A0=>PRI_IN_0(9), A1=>nx10997); ix9391 : xor2 port map ( Y=>nx9390, A0=>nx11135, A1=>reg_42_q_c_9); ix9293 : and02 port map ( Y=>nx9292, A0=>C_MUX2_25_SEL, A1=>reg_10_q_c_9 ); ix10981 : xor2 port map ( Y=>nx10980, A0=>nx10989, A1=>nx10877); ix9953 : xor2 port map ( Y=>nx9952, A0=>PRI_IN_4(9), A1=>nx11091); ix9631 : xor2 port map ( Y=>nx9630, A0=>nx11137, A1=>reg_31_q_c_9); ix9621 : xor2 port map ( Y=>nx9620, A0=>reg_33_q_c_9, A1=>nx11081); ix11100 : xor2 port map ( Y=>nx11099, A0=>PRI_IN_3(9), A1=>nx11129); ix9427 : xor2 port map ( Y=>nx9426, A0=>reg_37_q_c_9, A1=>nx10997); ix11126 : xnor2 port map ( Y=>nx11125, A0=>nx10951, A1=>nx10911); ix11196 : xnor2 port map ( Y=>nx11195, A0=>nx11700, A1=>nx11503); ix10901 : xor2 port map ( Y=>nx10900, A0=>nx16214, A1=>nx10898); ix11224 : xor2 port map ( Y=>nx11223, A0=>PRI_OUT_1_10_EXMPLR, A1=> nx11655); ix11234 : xnor2 port map ( Y=>nx11233, A0=>nx11665, A1=>nx11697); ix11242 : xor2 port map ( Y=>nx11241, A0=>PRI_IN_6(10), A1=>nx11663); ix11268 : xnor2 port map ( Y=>nx11267, A0=>nx11659, A1=>nx11598); ix10523 : xor2 port map ( Y=>nx10522, A0=>PRI_IN_4(10), A1=>nx11657); ix10513 : xnor2 port map ( Y=>nx10512, A0=>nx11655, A1=>nx11649); ix11314 : xor2 port map ( Y=>nx11313, A0=>PRI_OUT_8_10_EXMPLR, A1=> nx11701); ix11324 : xnor2 port map ( Y=>nx11323, A0=>nx11613, A1=>nx11650); ix11019 : xor2 port map ( Y=>nx11018, A0=>reg_1_q_c_10, A1=>nx11611); ix10975 : xor2 port map ( Y=>nx10974, A0=>nx11510, A1=>nx11507); ix10389 : xor2 port map ( Y=>nx10388, A0=>PRI_IN_0(10), A1=>nx11503); ix10447 : xor2 port map ( Y=>nx10446, A0=>nx11655, A1=>reg_42_q_c_10); ix11416 : xnor2 port map ( Y=>nx11415, A0=>nx11701, A1=>nx11499); ix10427 : xor2 port map ( Y=>nx10426, A0=>nx11499, A1=>nx11429); ix10349 : and02 port map ( Y=>nx10348, A0=>C_MUX2_25_SEL, A1=> reg_10_q_c_10); ix11474 : xor2 port map ( Y=>nx11473, A0=>PRI_IN_7(10), A1=>nx11510); ix11009 : xor2 port map ( Y=>nx11008, A0=>PRI_IN_4(10), A1=>nx11609); ix11540 : xnor2 port map ( Y=>nx11539, A0=>nx11605, A1=>nx11603); ix10687 : xor2 port map ( Y=>nx10686, A0=>nx11657, A1=>reg_31_q_c_10); ix10677 : xnor2 port map ( Y=>nx10676, A0=>nx11700, A1=>nx11598); ix10761 : xor2 port map ( Y=>nx10760, A0=>nx11663, A1=>nx10758); ix11622 : xor2 port map ( Y=>nx11621, A0=>PRI_IN_3(10), A1=>nx11649); ix10483 : xor2 port map ( Y=>nx10482, A0=>reg_37_q_c_10, A1=>nx11503); ix11638 : xnor2 port map ( Y=>nx11637, A0=>nx11453, A1=>nx16215); ix11648 : xor2 port map ( Y=>nx11647, A0=>PRI_IN_7(10), A1=>nx11613); ix11710 : xor2 port map ( Y=>nx11709, A0=>reg_33_q_c_11, A1=>nx11970); ix11957 : xor2 port map ( Y=>nx11956, A0=>nx11876, A1=>nx11954); ix11734 : xor2 port map ( Y=>nx11733, A0=>PRI_OUT_1_11_EXMPLR, A1=> nx12098); ix11767 : xor2 port map ( Y=>nx11766, A0=>reg_26_q_c_11, A1=>nx12052); ix11589 : xor2 port map ( Y=>nx11588, A0=>PRI_IN_5(11), A1=>nx12100); ix11579 : xor2 port map ( Y=>nx11578, A0=>PRI_IN_4(11), A1=>nx12099); ix11213 : ao22 port map ( Y=>nx11212, A0=>nx11649, A1=>reg_25_q_c_10, B0 =>nx14805, B1=>nx10512); ix14804 : inv02 port map ( Y=>nx14805, A=>nx11291); ix11569 : xnor2 port map ( Y=>nx11568, A0=>nx12098, A1=>nx12093); ix11800 : xor2 port map ( Y=>nx11799, A0=>nx12097, A1=>nx11873); ix12075 : xnor2 port map ( Y=>nx12074, A0=>nx11983, A1=>nx12063); ix11445 : xor2 port map ( Y=>nx11444, A0=>PRI_IN_0(11), A1=>nx11970); ix11503 : xor2 port map ( Y=>nx11502, A0=>nx12098, A1=>reg_42_q_c_11); ix11405 : and02 port map ( Y=>nx11404, A0=>C_MUX2_25_SEL, A1=> reg_10_q_c_11); ix11952 : xor2 port map ( Y=>nx11951, A0=>nx11961, A1=>nx11843); ix12065 : xor2 port map ( Y=>nx12064, A0=>PRI_IN_4(11), A1=>nx12061); ix11743 : xor2 port map ( Y=>nx11742, A0=>nx12099, A1=>reg_31_q_c_11); ix11733 : xor2 port map ( Y=>nx11732, A0=>reg_33_q_c_11, A1=>nx12052); ix12071 : xor2 port map ( Y=>nx12070, A0=>PRI_IN_3(11), A1=>nx12093); ix11539 : xor2 port map ( Y=>nx11538, A0=>reg_37_q_c_11, A1=>nx11970); ix12090 : xnor2 port map ( Y=>nx12089, A0=>nx11923, A1=>nx11876); ix12154 : xnor2 port map ( Y=>nx12153, A0=>nx12655, A1=>nx12464); ix13013 : xor2 port map ( Y=>nx13012, A0=>nx12361, A1=>nx13010); ix12182 : xor2 port map ( Y=>nx12181, A0=>PRI_OUT_1_12_EXMPLR, A1=> nx12618); ix12192 : xnor2 port map ( Y=>nx12191, A0=>nx12625, A1=>nx12652); ix12202 : xor2 port map ( Y=>nx12201, A0=>PRI_IN_6(12), A1=>nx12623); ix12230 : xnor2 port map ( Y=>nx12229, A0=>nx12621, A1=>nx12561); ix12635 : xor2 port map ( Y=>nx12634, A0=>PRI_IN_4(12), A1=>nx12619); ix12625 : xnor2 port map ( Y=>nx12624, A0=>nx12618, A1=>nx12611); ix12278 : xor2 port map ( Y=>nx12277, A0=>PRI_OUT_8_12_EXMPLR, A1=> nx12656); ix12288 : xnor2 port map ( Y=>nx12287, A0=>nx12575, A1=>nx12613); ix13131 : xor2 port map ( Y=>nx13130, A0=>reg_1_q_c_12, A1=>nx12573); ix13087 : xor2 port map ( Y=>nx13086, A0=>nx12472, A1=>nx12468); ix12501 : xor2 port map ( Y=>nx12500, A0=>PRI_IN_0(12), A1=>nx12464); ix12559 : xor2 port map ( Y=>nx12558, A0=>nx12618, A1=>reg_42_q_c_12); ix12382 : xnor2 port map ( Y=>nx12381, A0=>nx12656, A1=>nx12461); ix12539 : xor2 port map ( Y=>nx12538, A0=>nx12461, A1=>nx12391); ix12461 : and02 port map ( Y=>nx12460, A0=>C_MUX2_25_SEL, A1=> reg_10_q_c_12); ix12434 : xor2 port map ( Y=>nx12433, A0=>PRI_IN_7(12), A1=>nx12472); ix13121 : xor2 port map ( Y=>nx13120, A0=>PRI_IN_4(12), A1=>nx12571); ix12506 : xnor2 port map ( Y=>nx12505, A0=>nx12566, A1=>nx12565); ix12799 : xor2 port map ( Y=>nx12798, A0=>nx12619, A1=>reg_31_q_c_12); ix12789 : xnor2 port map ( Y=>nx12788, A0=>nx12655, A1=>nx12561); ix12873 : xor2 port map ( Y=>nx12872, A0=>nx12623, A1=>nx12870); ix12584 : xor2 port map ( Y=>nx12583, A0=>PRI_IN_3(12), A1=>nx12611); ix12595 : xor2 port map ( Y=>nx12594, A0=>reg_37_q_c_12, A1=>nx12464); ix12600 : xnor2 port map ( Y=>nx12599, A0=>nx12411, A1=>nx12361); ix12610 : xor2 port map ( Y=>nx12609, A0=>PRI_IN_7(12), A1=>nx12575); ix12668 : xor2 port map ( Y=>nx12667, A0=>reg_33_q_c_13, A1=>nx12940); ix14069 : xor2 port map ( Y=>nx14068, A0=>nx12847, A1=>nx14066); ix12688 : xor2 port map ( Y=>nx12687, A0=>PRI_OUT_1_13_EXMPLR, A1=> nx13072); ix12730 : xor2 port map ( Y=>nx12729, A0=>reg_26_q_c_13, A1=>nx13025); ix13701 : xor2 port map ( Y=>nx13700, A0=>PRI_IN_5(13), A1=>nx13074); ix13691 : xor2 port map ( Y=>nx13690, A0=>PRI_IN_4(13), A1=>nx13073); ix13325 : ao22 port map ( Y=>nx13324, A0=>nx12611, A1=>reg_25_q_c_12, B0 =>nx14807, B1=>nx12624); ix14806 : inv02 port map ( Y=>nx14807, A=>nx12253); ix13681 : xnor2 port map ( Y=>nx13680, A0=>nx13072, A1=>nx13066); ix12766 : xor2 port map ( Y=>nx12765, A0=>nx13071, A1=>nx12843); ix14187 : xnor2 port map ( Y=>nx14186, A0=>nx12953, A1=>nx13036); ix13557 : xor2 port map ( Y=>nx13556, A0=>PRI_IN_0(13), A1=>nx12940); ix13615 : xor2 port map ( Y=>nx13614, A0=>nx13072, A1=>reg_42_q_c_13); ix13517 : and02 port map ( Y=>nx13516, A0=>C_MUX2_25_SEL, A1=> reg_10_q_c_13); ix12923 : xor2 port map ( Y=>nx12922, A0=>nx12931, A1=>nx12813); ix14177 : xor2 port map ( Y=>nx14176, A0=>PRI_IN_4(13), A1=>nx13035); ix13855 : xor2 port map ( Y=>nx13854, A0=>nx13073, A1=>reg_31_q_c_13); ix13845 : xor2 port map ( Y=>nx13844, A0=>reg_33_q_c_13, A1=>nx13025); ix13042 : xor2 port map ( Y=>nx13041, A0=>PRI_IN_3(13), A1=>nx13066); ix13651 : xor2 port map ( Y=>nx13650, A0=>reg_37_q_c_13, A1=>nx12940); ix13064 : xnor2 port map ( Y=>nx13063, A0=>nx12892, A1=>nx12847); ix13122 : xnor2 port map ( Y=>nx13121, A0=>nx13641, A1=>nx13441); ix15125 : xor2 port map ( Y=>nx15124, A0=>nx13327, A1=>nx15122); ix13144 : xor2 port map ( Y=>nx13143, A0=>PRI_OUT_1_14_EXMPLR, A1=> nx13599); ix13154 : xnor2 port map ( Y=>nx13153, A0=>nx13607, A1=>nx13635); ix13162 : xor2 port map ( Y=>nx13161, A0=>PRI_IN_6(14), A1=>nx13605); ix13188 : xnor2 port map ( Y=>nx13187, A0=>nx13602, A1=>nx13543); ix14747 : xor2 port map ( Y=>nx14746, A0=>PRI_IN_4(14), A1=>nx13600); ix14737 : xnor2 port map ( Y=>nx14736, A0=>nx13599, A1=>nx13593); ix13234 : xor2 port map ( Y=>nx13233, A0=>PRI_OUT_8_14_EXMPLR, A1=> nx13643); ix13244 : xnor2 port map ( Y=>nx13243, A0=>nx13557, A1=>nx13595); ix15243 : xor2 port map ( Y=>nx15242, A0=>reg_1_q_c_14, A1=>nx13555); ix15199 : xor2 port map ( Y=>nx15198, A0=>nx13449, A1=>nx13444); ix14613 : xor2 port map ( Y=>nx14612, A0=>PRI_IN_0(14), A1=>nx13441); ix14671 : xor2 port map ( Y=>nx14670, A0=>nx13599, A1=>reg_42_q_c_14); ix13348 : xnor2 port map ( Y=>nx13347, A0=>nx13643, A1=>nx13437); ix14651 : xor2 port map ( Y=>nx14650, A0=>nx13437, A1=>nx13356); ix14573 : and02 port map ( Y=>nx14572, A0=>C_MUX2_25_SEL, A1=> reg_10_q_c_14); ix13406 : xor2 port map ( Y=>nx13405, A0=>PRI_IN_7(14), A1=>nx13449); ix15233 : xor2 port map ( Y=>nx15232, A0=>PRI_IN_4(14), A1=>nx13553); ix13478 : xnor2 port map ( Y=>nx13477, A0=>nx13549, A1=>nx13548); ix14911 : xor2 port map ( Y=>nx14910, A0=>nx13600, A1=>reg_31_q_c_14); ix14901 : xnor2 port map ( Y=>nx14900, A0=>nx13641, A1=>nx13543); ix14985 : xor2 port map ( Y=>nx14984, A0=>nx13605, A1=>nx14982); ix13565 : xor2 port map ( Y=>nx13564, A0=>PRI_IN_3(14), A1=>nx13593); ix14707 : xor2 port map ( Y=>nx14706, A0=>reg_37_q_c_14, A1=>nx13441); ix13582 : xnor2 port map ( Y=>nx13581, A0=>nx13381, A1=>nx13327); ix13592 : xor2 port map ( Y=>nx13591, A0=>PRI_IN_7(14), A1=>nx13557); ix13652 : xor2 port map ( Y=>nx13651, A0=>reg_33_q_c_15, A1=>nx13763); ix16181 : xor2 port map ( Y=>nx16180, A0=>nx13951, A1=>nx16178); ix13762 : xor2 port map ( Y=>nx13761, A0=>PRI_IN_0(15), A1=>reg_38_q_c_15 ); ix13800 : xnor2 port map ( Y=>nx13799, A0=>reg_1_q_c_15, A1=>nx13811); ix13808 : xor2 port map ( Y=>nx13807, A0=>PRI_IN_4(15), A1=>reg_2_q_c_15 ); ix15763 : xor2 port map ( Y=>nx15762, A0=>reg_37_q_c_15, A1=>nx13763); ix13842 : xnor2 port map ( Y=>nx13841, A0=>nx13936, A1=>nx13951); ix15437 : ao22 port map ( Y=>nx15436, A0=>nx13593, A1=>reg_25_q_c_14, B0 =>nx14809, B1=>nx14736); ix14808 : inv02 port map ( Y=>nx14809, A=>nx13209); ix16145 : xor2 port map ( Y=>nx16144, A0=>nx13645, A1=>nx6545); ix15615 : xor2 port map ( Y=>nx15614, A0=>PRI_OUT_9_15_EXMPLR, A1=>nx6545 ); ix15629 : and02 port map ( Y=>nx15628, A0=>C_MUX2_25_SEL, A1=> reg_10_q_c_15); ix16983 : xor2 port map ( Y=>nx16982, A0=>nx7106, A1=>PRI_OUT_6_1_EXMPLR ); ix17039 : xor2 port map ( Y=>nx17038, A0=>nx8088, A1=>PRI_OUT_6_3_EXMPLR ); ix17095 : xor2 port map ( Y=>nx17094, A0=>nx9053, A1=>PRI_OUT_6_5_EXMPLR ); ix17151 : xor2 port map ( Y=>nx17150, A0=>nx10015, A1=>PRI_OUT_6_7_EXMPLR ); ix17207 : xor2 port map ( Y=>nx17206, A0=>nx10991, A1=>PRI_OUT_6_9_EXMPLR ); ix17263 : xor2 port map ( Y=>nx17262, A0=>nx11962, A1=> PRI_OUT_6_11_EXMPLR); ix17319 : xor2 port map ( Y=>nx17318, A0=>nx12932, A1=> PRI_OUT_6_13_EXMPLR); ix14810 : ao21 port map ( Y=>nx14811, A0=>C_MUX2_18_SEL, A1=> reg_39_q_c_0_XX0_XREP37, B0=>nx110); ix10398 : mux21 port map ( Y=>nx10397, A0=>PRI_IN_8(8), A1=>reg_12_q_c_8, S0=>C_MUX2_7_SEL); REG_12_reg_q_8 : dff port map ( Q=>reg_12_q_c_8, QB=>OPEN, D=>nx8298, CLK =>CLK); ix10398_0_XREP1 : mux21 port map ( Y=>nx10397_XX0_XREP1, A0=>PRI_IN_8(8), A1=>reg_12_q_c_8, S0=>C_MUX2_7_SEL); ix7421 : ao21 port map ( Y=>nx7420, A0=>C_MUX2_20_SEL, A1=>reg_1_q_c_7, B0=>nx7416); REG_1_reg_q_7 : dff port map ( Q=>reg_1_q_c_7, QB=>nx10039, D=>nx7822, CLK=>CLK); ix7417 : nor02 port map ( Y=>nx7416, A0=>C_MUX2_20_SEL, A1=>nx9895); ix7421_0_XREP3 : ao21 port map ( Y=>nx7420_XX0_XREP3, A0=>C_MUX2_20_SEL, A1=>reg_1_q_c_7, B0=>nx7416); ix7954 : mux21 port map ( Y=>nx7953, A0=>PRI_IN_8(3), A1=>reg_12_q_c_3, S0=>C_MUX2_7_SEL); REG_12_reg_q_3 : dff port map ( Q=>reg_12_q_c_3, QB=>OPEN, D=>nx3018, CLK =>CLK); ix7954_0_XREP5 : mux21 port map ( Y=>nx7953_XX0_XREP5, A0=>PRI_IN_8(3), A1=>reg_12_q_c_3, S0=>C_MUX2_7_SEL); ix7440 : mux21 port map ( Y=>nx7439, A0=>PRI_IN_8(2), A1=>reg_12_q_c_2, S0=>C_MUX2_7_SEL); REG_12_reg_q_2 : dff port map ( Q=>reg_12_q_c_2, QB=>OPEN, D=>nx1962, CLK =>CLK); ix7440_0_XREP7 : mux21 port map ( Y=>nx7439_XX0_XREP7, A0=>PRI_IN_8(2), A1=>reg_12_q_c_2, S0=>C_MUX2_7_SEL); ix7000 : mux21 port map ( Y=>nx6999, A0=>PRI_IN_8(1), A1=>reg_12_q_c_1, S0=>C_MUX2_7_SEL); REG_12_reg_q_1 : dff port map ( Q=>reg_12_q_c_1, QB=>OPEN, D=>nx1048, CLK =>CLK); ix6622 : mux21 port map ( Y=>nx6620, A0=>PRI_IN_8(0), A1=>reg_12_q_c_0, S0=>C_MUX2_7_SEL); REG_12_reg_q_0 : dff port map ( Q=>reg_12_q_c_0, QB=>nx6707, D=>nx188, CLK=>CLK); ix6622_0_XREP11 : mux21 port map ( Y=>nx6620_XX0_XREP11, A0=>PRI_IN_8(0), A1=>reg_12_q_c_0, S0=>C_MUX2_7_SEL); REG_17_reg_q_5 : dff port map ( Q=>PRI_OUT_3_dup0_5, QB=>OPEN, D=>nx5110, CLK=>CLK); ix5111 : xor2 port map ( Y=>nx5110, A0=>nx5106, A1=>nx5108); REG_17_reg_q_0 : dff port map ( Q=>PRI_OUT_3_dup0_0, QB=>OPEN, D=>nx156, CLK=>CLK); ix157 : ao21 port map ( Y=>nx156, A0=>PRI_IN_0(0), A1=>nx6635, B0=>nx6715 ); REG_22_reg_q_5 : dff port map ( Q=>reg_22_q_c_5, QB=>OPEN, D=>nx5632, CLK =>CLK); ix5633 : xnor2 port map ( Y=>nx5632, A0=>nx4786, A1=>nx8789); REG_22_reg_q_3 : dff port map ( Q=>reg_22_q_c_3, QB=>OPEN, D=>nx3520, CLK =>CLK); ix3521 : xnor2 port map ( Y=>nx3520, A0=>nx2674, A1=>nx7805); ix585 : mux21 port map ( Y=>nx6425, A0=>nx6723, A1=>nx6726, S0=> C_MUX2_21_SEL); REG_2_reg_q_0 : dff port map ( Q=>reg_2_q_c_0, QB=>nx6726, D=>nx520, CLK =>CLK); REG_10_reg_q_0 : dff port map ( Q=>reg_10_q_c_0, QB=>nx6671, D=>nx786, CLK=>CLK); REG_39_reg_q_0 : dff port map ( Q=>reg_39_q_c_0, QB=>nx6649, D=>nx210, CLK=>CLK); REG_39_reg_q_0_0_XREP37 : dff port map ( Q=>reg_39_q_c_0_XX0_XREP37, QB=> nx6649_XX0_XREP37, D=>nx210, CLK=>CLK); ix6922 : mux21 port map ( Y=>nx6921, A0=>reg_5_q_c_1, A1=>reg_7_q_c_1, S0 =>C_MUX2_8_SEL); REG_2_reg_q_1 : dff port map ( Q=>reg_2_q_c_1, QB=>nx7201, D=>nx1282, CLK =>CLK); REG_10_reg_q_1 : dff port map ( Q=>reg_10_q_c_1, QB=>nx7071, D=>nx1468, CLK=>CLK); ix1335 : mux21 port map ( Y=>nx6431, A0=>nx6921, A1=>nx7201, S0=> C_MUX2_21_SEL); ix7344 : mux21 port map ( Y=>nx7343, A0=>reg_5_q_c_2, A1=>reg_7_q_c_2, S0 =>C_MUX2_8_SEL); REG_2_reg_q_2 : dff port map ( Q=>reg_2_q_c_2, QB=>nx7709, D=>nx2292, CLK =>CLK); REG_44_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7711, D=>nx2572, CLK=>CLK ); ix2573 : xnor2 port map ( Y=>nx2572, A0=>nx7405, A1=>nx2570); ix7597 : mux21 port map ( Y=>nx7596, A0=>reg_10_q_c_2, A1=>nx6439, S0=> C_MUX2_16_SEL); REG_10_reg_q_2 : dff port map ( Q=>reg_10_q_c_2, QB=>nx7535, D=>nx2498, CLK=>CLK); ix2345 : mux21 port map ( Y=>nx6439, A0=>nx7343, A1=>nx7709, S0=> C_MUX2_21_SEL); ix7597_0_XREP53 : mux21 port map ( Y=>nx7596_XX0_XREP53, A0=>reg_10_q_c_2, A1=>nx6439, S0=>C_MUX2_16_SEL); REG_39_reg_q_2 : dff port map ( Q=>reg_39_q_c_2, QB=>nx7585, D=>nx1980, CLK=>CLK); ix1981 : xnor2 port map ( Y=>nx1980, A0=>nx7509, A1=>nx1978); ix7856 : mux21 port map ( Y=>nx7855, A0=>reg_5_q_c_3, A1=>reg_7_q_c_3, S0 =>C_MUX2_8_SEL); REG_2_reg_q_3 : dff port map ( Q=>reg_2_q_c_3, QB=>nx8195, D=>nx3348, CLK =>CLK); ix8100 : mux21 port map ( Y=>nx8099, A0=>reg_10_q_c_3, A1=>nx6447, S0=> C_MUX2_16_SEL); REG_10_reg_q_3 : dff port map ( Q=>reg_10_q_c_3, QB=>nx8047, D=>nx3554, CLK=>CLK); ix3401 : mux21 port map ( Y=>nx6447, A0=>nx7855, A1=>nx8195, S0=> C_MUX2_21_SEL); REG_39_reg_q_3 : dff port map ( Q=>reg_39_q_c_3, QB=>OPEN, D=>nx3036, CLK =>CLK); ix3037 : xor2 port map ( Y=>nx3036, A0=>nx2836, A1=>nx3034); ix8590 : mux21 port map ( Y=>nx8589, A0=>reg_10_q_c_4, A1=>nx6457, S0=> C_MUX2_16_SEL); REG_10_reg_q_4 : dff port map ( Q=>reg_10_q_c_4, QB=>nx8533, D=>nx4610, CLK=>CLK); ix4457 : mux21 port map ( Y=>nx6457, A0=>nx8345, A1=>nx8693, S0=> C_MUX2_21_SEL); ix8838 : mux21 port map ( Y=>nx8837, A0=>reg_5_q_c_5, A1=>reg_7_q_c_5, S0 =>C_MUX2_8_SEL); REG_2_reg_q_5 : dff port map ( Q=>reg_2_q_c_5, QB=>nx9169, D=>nx5460, CLK =>CLK); ix9068 : mux21 port map ( Y=>nx9067, A0=>reg_10_q_c_5, A1=>nx6465, S0=> C_MUX2_16_SEL); REG_10_reg_q_5 : dff port map ( Q=>reg_10_q_c_5, QB=>nx9009, D=>nx5666, CLK=>CLK); ix5513 : mux21 port map ( Y=>nx6465, A0=>nx8837, A1=>nx9169, S0=> C_MUX2_21_SEL); REG_39_reg_q_5 : dff port map ( Q=>reg_39_q_c_5, QB=>OPEN, D=>nx5148, CLK =>CLK); ix5149 : xor2 port map ( Y=>nx5148, A0=>nx4948, A1=>nx5146); ix9815 : mux21 port map ( Y=>nx9814, A0=>reg_5_q_c_7, A1=>reg_7_q_c_7, S0 =>C_MUX2_8_SEL); REG_2_reg_q_7 : dff port map ( Q=>reg_2_q_c_7, QB=>nx10139, D=>nx7572, CLK=>CLK); ix10030 : mux21 port map ( Y=>nx10028, A0=>reg_10_q_c_7, A1=>nx6483, S0=> C_MUX2_16_SEL); REG_10_reg_q_7 : dff port map ( Q=>reg_10_q_c_7, QB=>nx9977, D=>nx7778, CLK=>CLK); ix7625 : mux21 port map ( Y=>nx6483, A0=>nx9814, A1=>nx10139, S0=> C_MUX2_21_SEL); ix10538 : mux21 port map ( Y=>nx10537, A0=>reg_10_q_c_8, A1=>nx6489, S0=> C_MUX2_16_SEL); REG_10_reg_q_8 : dff port map ( Q=>reg_10_q_c_8, QB=>nx10483, D=>nx8834, CLK=>CLK); ix8625 : ao21 port map ( Y=>PRI_OUT_0_8_EXMPLR, A0=>C_MUX2_14_SEL, A1=> reg_8_q_c_8, B0=>nx8480); REG_8_reg_q_8 : dff port map ( Q=>reg_8_q_c_8, QB=>nx10645, D=>nx8614, CLK=>CLK); ix8481 : nor02 port map ( Y=>nx8480, A0=>C_MUX2_14_SEL, A1=>nx10647); ix8681 : mux21 port map ( Y=>nx6489, A0=>nx10296, A1=>nx10649, S0=> C_MUX2_21_SEL); ix10297 : mux21 port map ( Y=>nx10296, A0=>reg_5_q_c_8, A1=>reg_7_q_c_8, S0=>C_MUX2_8_SEL); REG_2_reg_q_8 : dff port map ( Q=>reg_2_q_c_8, QB=>nx10649, D=>nx8628, CLK=>CLK); ix16228 : buf04 port map ( Y=>PRI_OUT_3_5_EXMPLR, A=>PRI_OUT_3_dup0_5); ix16229 : buf04 port map ( Y=>PRI_OUT_3_0_EXMPLR, A=>PRI_OUT_3_dup0_0); ix16230 : buf04 port map ( Y=>nx15477, A=>reg_22_q_c_5); ix16231 : buf04 port map ( Y=>nx15478, A=>reg_22_q_c_3); ix16232 : buf04 port map ( Y=>nx15479, A=>nx6431); ix16233 : buf04 port map ( Y=>nx15480, A=>nx7117); ix16234 : buf04 port map ( Y=>nx15481, A=>nx6439); ix16235 : buf04 port map ( Y=>nx15482, A=>nx7711); ix16236 : buf04 port map ( Y=>nx15483, A=>nx7585); ix16237 : buf04 port map ( Y=>nx15484, A=>nx6447); ix16238 : buf04 port map ( Y=>nx15485, A=>nx8099); ix16239 : buf04 port map ( Y=>nx15486, A=>reg_39_q_c_3); \[65125__XX0_XREP13\ : buf04 port map ( Y=>\[65125__XX0_XREP13\, A=> nx8589); ix16240 : buf04 port map ( Y=>nx15487, A=>nx6465); ix16241 : buf04 port map ( Y=>nx15488, A=>nx9067); ix16242 : buf04 port map ( Y=>nx15489, A=>reg_39_q_c_5); ix16243 : buf04 port map ( Y=>nx15490, A=>nx6483); ix16244 : buf04 port map ( Y=>nx15491, A=>nx10028); ix16246 : buf04 port map ( Y=>nx15493, A=>nx10537); ix16247 : buf04 port map ( Y=>nx15494, A=>PRI_OUT_0_8_EXMPLR); ix16248 : buf04 port map ( Y=>nx15495, A=>nx6489); ix16249 : and02 port map ( Y=>nx15496, A0=>PRI_OUT_0_13_EXMPLR, A1=> PRI_IN_2(13)); ix16250 : and02 port map ( Y=>nx15497, A0=>PRI_OUT_0_12_EXMPLR, A1=> PRI_IN_2(12)); ix16251 : inv02 port map ( Y=>nx15498, A=>PRI_IN_2(15)); ix16252 : inv02 port map ( Y=>nx15499, A=>PRI_OUT_0_15_EXMPLR); ix16254 : aoi22 port map ( Y=>nx15501, A0=>PRI_IN_2(15), A1=> PRI_OUT_0_15_EXMPLR, B0=>nx15498, B1=>nx15499); ix16255 : nor02 port map ( Y=>nx15502, A0=>nx15501, A1=>nx13467); ix16256 : inv02 port map ( Y=>nx15503, A=>PRI_OUT_0_14_EXMPLR); ix16258 : inv02 port map ( Y=>nx15504, A=>PRI_IN_2(14)); ix16259 : oai21 port map ( Y=>nx15505, A0=>nx15503, A1=>nx15504, B0=> nx15501); ix16260 : inv02 port map ( Y=>nx15506, A=>nx13467); ix16261 : or03 port map ( Y=>nx15507, A0=>nx15501, A1=>nx15503, A2=> nx15504); ix16262 : oai221 port map ( Y=>nx15509, A0=>nx15938, A1=>nx15505, B0=> nx15506, B1=>nx15505, C0=>nx15507); nx16020_EXMPLR : ao21 port map ( Y=>nx16020, A0=>nx15938, A1=>nx15502, B0 =>nx15509); nx13748_EXMPLR : or02 port map ( Y=>nx13748, A0=>nx15497, A1=>nx13746); ix16263 : inv02 port map ( Y=>nx15510, A=>reg_43_q_c_13); ix16264 : aoi21 port map ( Y=>nx15511, A0=>C_MUX2_3_SEL, A1=>reg_4_q_c_13, B0=>nx14132); ix16265 : nor02 port map ( Y=>nx15512, A0=>nx15510, A1=>nx15511); ix16266 : inv02 port map ( Y=>nx15513, A=>reg_43_q_c_12); ix16268 : aoi21 port map ( Y=>nx15514, A0=>C_MUX2_3_SEL, A1=>reg_4_q_c_12, B0=>nx13076); ix16270 : nor02 port map ( Y=>nx15515, A0=>nx15513, A1=>nx15514); ix16272 : inv01 port map ( Y=>nx15516, A=>nx13131); ix16273 : inv02 port map ( Y=>nx15517, A=>reg_43_q_c_14); ix16274 : aoi21 port map ( Y=>nx15518, A0=>C_MUX2_3_SEL, A1=>reg_4_q_c_14, B0=>nx15188); ix16275 : nor02 port map ( Y=>nx15519, A0=>nx15517, A1=>nx15518); ix16276 : or03 port map ( Y=>nx15520, A0=>nx15516, A1=>nx15519, A2=> nx13669); ix16277 : inv02 port map ( Y=>nx15521, A=>nx13135); ix16278 : nand03 port map ( Y=>nx15522, A0=>nx15516, A1=>nx13669, A2=> nx15521); ix16279 : nand02 port map ( Y=>nx15523, A0=>nx13669, A1=>nx15519); ix16280 : or03 port map ( Y=>nx15524, A0=>nx15519, A1=>nx15521, A2=> nx13669); nx16116_EXMPLR : nand04 port map ( Y=>nx16116, A0=>nx15520, A1=>nx15522, A2=>nx15523, A3=>nx15524); nx6543_EXMPLR : ao21 port map ( Y=>nx6543, A0=>C_MUX2_3_SEL, A1=> reg_4_q_c_14, B0=>nx15188); nx6535_EXMPLR : ao21 port map ( Y=>nx6535, A0=>C_MUX2_3_SEL, A1=> reg_4_q_c_13, B0=>nx14132); nx13252_EXMPLR : or02 port map ( Y=>nx13252, A0=>nx15515, A1=>nx13250); nx6523_EXMPLR : ao21 port map ( Y=>nx6523, A0=>C_MUX2_3_SEL, A1=> reg_4_q_c_12, B0=>nx13076); ix16281 : inv01 port map ( Y=>nx15525, A=>C_MUX2_20_SEL); ix16282 : inv01 port map ( Y=>nx15526, A=>C_MUX2_7_SEL); ix16283 : inv01 port map ( Y=>nx15527, A=>PRI_IN_8(1)); ix16284 : inv01 port map ( Y=>nx15528, A=>reg_12_q_c_1); ix16285 : aoi22 port map ( Y=>nx15529, A0=>nx15526, A1=>nx15527, B0=> C_MUX2_7_SEL, B1=>nx15528); nx7199_EXMPLR : oai22 port map ( Y=>nx7199, A0=>nx15525, A1=>reg_1_q_c_1, B0=>nx15529, B1=>C_MUX2_20_SEL); ix16286 : inv01 port map ( Y=>nx15530, A=>reg_1_q_c_1); ix16288 : aoi22 port map ( Y=>nx15531, A0=>PRI_IN_8(1), A1=>nx15526, B0=> reg_12_q_c_1, B1=>C_MUX2_7_SEL); nx1192_EXMPLR : oai22 port map ( Y=>nx1192, A0=>nx15525, A1=>nx15530, B0 =>nx15531, B1=>C_MUX2_20_SEL); nx6999_XX0_XREP9_EXMPLR : oai22 port map ( Y=>nx6999_XX0_XREP9, A0=> C_MUX2_7_SEL, A1=>PRI_IN_8(1), B0=>nx15526, B1=>reg_12_q_c_1); ix16289 : inv01 port map ( Y=>nx15532, A=>reg_10_q_c_0); ix16290 : inv01 port map ( Y=>nx15533, A=>C_MUX2_3_SEL); ix16292 : inv01 port map ( Y=>nx15534, A=>C_MUX2_21_SEL); ix16293 : inv01 port map ( Y=>nx15535, A=>C_MUX2_8_SEL); ix16294 : inv01 port map ( Y=>nx15536, A=>reg_5_q_c_0); ix16295 : inv01 port map ( Y=>nx15537, A=>nx16193); ix16296 : aoi22 port map ( Y=>nx15538, A0=>nx15535, A1=>nx15536, B0=> C_MUX2_8_SEL, B1=>nx15537); ix16297 : inv02 port map ( Y=>nx15539, A=>C_MUX2_16_SEL); ix16298 : inv01 port map ( Y=>nx15540, A=>nx6726); nx6719_XX0_XREP33_EXMPLR : oai332 port map ( Y=>nx6719_XX0_XREP33, A0=> nx15538, A1=>nx15539, A2=>C_MUX2_21_SEL, B0=>nx15540, B1=>nx15539, B2 =>nx16195, C0=>C_MUX2_16_SEL, C1=>reg_10_q_c_0); ix16299 : aoi332 port map ( Y=>nx15541, A0=>nx16195, A1=>nx16194, A2=> C_MUX2_8_SEL, B0=>nx16195, B1=>reg_5_q_c_0, B2=>nx15535, C0=> C_MUX2_21_SEL, C1=>nx15540); nx6425_XX0_XREP31_EXMPLR : inv01 port map ( Y=>nx6425_XX0_XREP31, A=> nx15541); ix16300 : inv01 port map ( Y=>nx15542, A=>nx15541); nx6723_EXMPLR : oai22 port map ( Y=>nx6723, A0=>C_MUX2_8_SEL, A1=> reg_5_q_c_0, B0=>nx15535, B1=>nx16194); ix16302 : inv01 port map ( Y=>nx15543, A=>nx16196); ix16303 : inv01 port map ( Y=>nx15544, A=>reg_5_q_c_0); ix16304 : inv01 port map ( Y=>nx15545, A=>nx15535); ix16305 : inv01 port map ( Y=>nx15547, A=>nx16194); ix16306 : inv01 port map ( Y=>nx15548, A=>C_MUX2_8_SEL); ix16307 : oai332 port map ( Y=>nx15549, A0=>nx15543, A1=>nx15544, A2=> nx15545, B0=>nx15543, B1=>nx15547, B2=>nx15548, C0=>nx16196, C1=> nx6726); ix16308 : inv01 port map ( Y=>nx15551, A=>C_MUX2_3_SEL); ix16309 : inv01 port map ( Y=>nx15552, A=>reg_4_q_c_0); ix16310 : oai32 port map ( Y=>nx15553, A0=>nx15532, A1=>C_MUX2_16_SEL, A2 =>C_MUX2_3_SEL, B0=>nx15551, B1=>nx15552); nx642_EXMPLR : ao32 port map ( Y=>nx642, A0=>nx15549, A1=>reg_43_q_c_0, A2=>nx15652, B0=>reg_43_q_c_0, B1=>nx15553); ix16311 : inv02 port map ( Y=>nx15554, A=>reg_43_q_c_0); ix16312 : nor02 port map ( Y=>nx15555, A0=>C_MUX2_16_SEL, A1=> C_MUX2_3_SEL); ix16313 : nor02 port map ( Y=>nx15556, A0=>nx16196, A1=>nx6726); nx6883_EXMPLR : or02 port map ( Y=>nx6883, A0=>nx15554, A1=>nx15655); nx6428_EXMPLR : inv02 port map ( Y=>nx6428, A=>nx15655); ix16314 : inv02 port map ( Y=>nx15557, A=>nx15655); ix16316 : inv01 port map ( Y=>nx15558, A=>nx15574); ix16317 : inv01 port map ( Y=>nx15559, A=>nx6431); ix16318 : aoi22 port map ( Y=>nx15561, A0=>nx6431, A1=>nx15558, B0=> nx15574, B1=>nx15559); ix16320 : inv01 port map ( Y=>nx15562, A=>C_MUX2_16_SEL); ix16321 : inv01 port map ( Y=>nx15563, A=>nx16197); ix16322 : aoi22 port map ( Y=>nx15564, A0=>nx16198, A1=>nx15558, B0=> nx15574, B1=>nx15563); ix16323 : inv01 port map ( Y=>nx15565, A=>C_MUX2_3_SEL); ix16324 : inv01 port map ( Y=>nx15566, A=>reg_4_q_c_1); ix16325 : aoi22 port map ( Y=>nx15567, A0=>reg_4_q_c_1, A1=>nx15558, B0=> reg_43_q_c_1, B1=>nx15566); ix16326 : aoi22 port map ( Y=>nx15568, A0=>reg_43_q_c_1, A1=>nx6431, B0=> nx15558, B1=>nx15559); ix16327 : aoi22 port map ( Y=>nx15569, A0=>reg_43_q_c_1, A1=>nx16198, B0 =>nx15558, B1=>nx15563); ix16328 : aoi22 port map ( Y=>nx15571, A0=>reg_43_q_c_1, A1=>reg_4_q_c_1, B0=>nx15558, B1=>nx15566); nx6885_EXMPLR : oai332 port map ( Y=>nx6885, A0=>nx15568, A1=>nx15562, A2 =>C_MUX2_3_SEL, B0=>nx15569, B1=>C_MUX2_3_SEL, B2=>C_MUX2_16_SEL, C0=> nx15565, C1=>nx15571); ix16330 : aoi332 port map ( Y=>nx15572, A0=>nx15565, A1=>nx16198, A2=> nx15562, B0=>nx15565, B1=>nx6431, B2=>C_MUX2_16_SEL, C0=>C_MUX2_3_SEL, C1=>reg_4_q_c_1); nx6434_EXMPLR : inv01 port map ( Y=>nx6434, A=>nx15572); ix16331 : inv01 port map ( Y=>nx15573, A=>nx15572); nx7117_EXMPLR : oai22 port map ( Y=>nx7117, A0=>C_MUX2_16_SEL, A1=> nx16198, B0=>nx15562, B1=>nx6431); ix16332 : buf04 port map ( Y=>nx15574, A=>reg_43_q_c_1); ix16333 : inv02 port map ( Y=>nx15575, A=>nx12492); ix16334 : and03 port map ( Y=>nx15576, A0=>nx11794, A1=>nx13906, A2=> nx15575); ix16335 : inv02 port map ( Y=>nx15577, A=>nx13906); ix16336 : and02 port map ( Y=>nx15578, A0=>PRI_OUT_0_10_EXMPLR, A1=> PRI_IN_2(10)); ix16337 : and02 port map ( Y=>nx15579, A0=>PRI_OUT_0_11_EXMPLR, A1=> PRI_IN_2(11)); ix16338 : aoi321 port map ( Y=>nx15580, A0=>nx11794, A1=>nx15575, A2=> nx15578, B0=>nx15575, B1=>nx15579, C0=>nx15497); ix16340 : inv02 port map ( Y=>nx15581, A=>nx15496); ix16341 : oai21 port map ( Y=>nx15582, A0=>nx15577, A1=>nx15580, B0=> nx15581); ix16342 : ao22 port map ( Y=>nx15583, A0=>PRI_OUT_0_11_EXMPLR, A1=> PRI_IN_2(11), B0=>nx11794, B1=>nx15578); nx13746_EXMPLR : ao32 port map ( Y=>nx13746, A0=>nx11634, A1=>nx11794, A2 =>nx15575, B0=>nx15583, B1=>nx15575); nx12489_EXMPLR : oai32 port map ( Y=>nx12489, A0=>nx11634, A1=>nx15579, A2=>nx15578, B0=>nx15579, B1=>nx11794); nx11636_EXMPLR : or02 port map ( Y=>nx11636, A0=>nx15578, A1=>nx11634); ix16343 : inv01 port map ( Y=>nx15584, A=>nx9589); ix16344 : and02 port map ( Y=>nx15585, A0=>PRI_OUT_0_6_EXMPLR, A1=> PRI_IN_2(6)); ix16345 : aoi422 port map ( Y=>nx15586, A0=>nx7570, A1=>nx15584, A2=> PRI_OUT_0_5_EXMPLR, A3=>PRI_IN_2(5), B0=>nx7570, B1=>nx15585, C0=> PRI_OUT_0_7_EXMPLR, C1=>PRI_IN_2(7)); ix16346 : nand04 port map ( Y=>nx15587, A0=>nx5300, A1=>nx5458, A2=> nx7570, A3=>nx15584); nx9522_EXMPLR : oai22 port map ( Y=>nx9522, A0=>nx15586, A1=>nx10561, B0 =>nx10561, B1=>nx15587); ix16348 : nand02 port map ( Y=>nx15588, A0=>PRI_OUT_0_7_EXMPLR, A1=> PRI_IN_2(7)); ix16349 : and02 port map ( Y=>nx15589, A0=>PRI_OUT_0_5_EXMPLR, A1=> PRI_IN_2(5)); ix16350 : aoi322 port map ( Y=>nx15590, A0=>nx5300, A1=>nx5458, A2=> nx15584, B0=>nx15584, B1=>nx15589, C0=>PRI_OUT_0_6_EXMPLR, C1=> PRI_IN_2(6)); ix16351 : and02 port map ( Y=>nx15591, A0=>PRI_OUT_0_7_EXMPLR, A1=> PRI_IN_2(7)); ix16352 : nor02 port map ( Y=>nx15592, A0=>nx15591, A1=>nx7570); nx10557_EXMPLR : ao21 port map ( Y=>nx10557, A0=>nx15588, A1=>nx15590, B0 =>nx15592); nx7412_EXMPLR : inv02 port map ( Y=>nx7412, A=>nx15590); nx9586_EXMPLR : oai22 port map ( Y=>nx9586, A0=>nx15589, A1=>nx5300, B0=> nx15589, B1=>nx5458); ix16353 : inv01 port map ( Y=>nx15593, A=>nx10253); ix16354 : and02 port map ( Y=>nx15594, A0=>C_MUX2_3_SEL, A1=>reg_4_q_c_7 ); ix16355 : ao22 port map ( Y=>nx15595, A0=>reg_43_q_c_7, A1=>nx15594, B0=> reg_43_q_c_7, B1=>nx7796); nx6494_EXMPLR : ao21 port map ( Y=>nx6494, A0=>C_MUX2_3_SEL, A1=> reg_4_q_c_8, B0=>nx8852); ix16356 : inv01 port map ( Y=>nx15596, A=>nx11213); ix16357 : nand02 port map ( Y=>nx15597, A0=>nx9778, A1=>nx15596); ix16358 : aoi32 port map ( Y=>nx15598, A0=>reg_43_q_c_9, A1=>C_MUX2_3_SEL, A2=>reg_4_q_c_9, B0=>reg_43_q_c_9, B1=>nx9908); ix16359 : and02 port map ( Y=>nx15599, A0=>C_MUX2_3_SEL, A1=>reg_4_q_c_9 ); ix16360 : oai21 port map ( Y=>nx15601, A0=>nx15599, A1=>nx9908, B0=> reg_43_q_c_9); ix16361 : inv02 port map ( Y=>nx15602, A=>reg_43_q_c_9); ix16362 : nor02 port map ( Y=>nx15603, A0=>nx15599, A1=>nx9908); ix16363 : nor02 port map ( Y=>nx15604, A0=>nx15602, A1=>nx15603); ix16364 : nor02 port map ( Y=>nx15605, A0=>nx15604, A1=>nx9778); nx11209_EXMPLR : ao21 port map ( Y=>nx11209, A0=>nx15601, A1=>nx16066, B0 =>nx15605); nx6501_EXMPLR : or02 port map ( Y=>nx6501, A0=>nx15599, A1=>nx9908); ix16366 : inv02 port map ( Y=>nx15606, A=>reg_43_q_c_7); ix16367 : nor02 port map ( Y=>nx15607, A0=>nx15594, A1=>nx7796); ix16368 : nor02 port map ( Y=>nx15608, A0=>nx15606, A1=>nx15607); nx10249_EXMPLR : oai22 port map ( Y=>nx10249, A0=>nx15608, A1=>nx16070, B0=>nx15608, B1=>nx7666); nx6486_EXMPLR : or02 port map ( Y=>nx6486, A0=>nx15594, A1=>nx7796); ix16369 : inv02 port map ( Y=>nx15609, A=>reg_46_q_c_13); ix16370 : aoi21 port map ( Y=>nx15610, A0=>nx14780, A1=>reg_6_q_c_13, B0 =>nx13516); ix16371 : nor02 port map ( Y=>nx15611, A0=>nx15609, A1=>nx15610); ix16372 : inv02 port map ( Y=>nx15612, A=>reg_46_q_c_12); ix16374 : aoi21 port map ( Y=>nx15613, A0=>nx15734, A1=>reg_6_q_c_12, B0 =>nx12460); ix16376 : nor02 port map ( Y=>nx15615, A0=>nx15612, A1=>nx15613); ix16377 : inv02 port map ( Y=>nx15617, A=>nx12984); nx13483_EXMPLR : oai32 port map ( Y=>nx13483, A0=>nx15611, A1=>nx15615, A2=>nx13774, B0=>nx15617, B1=>nx15611); ix16378 : inv01 port map ( Y=>nx15618, A=>nx13483); ix16379 : inv02 port map ( Y=>nx15619, A=>reg_46_q_c_15); ix16380 : inv02 port map ( Y=>nx15620, A=>PRI_OUT_4_15_EXMPLR); ix16381 : aoi22 port map ( Y=>nx15621, A0=>reg_46_q_c_15, A1=> PRI_OUT_4_15_EXMPLR, B0=>nx15619, B1=>nx15620); ix16382 : and02 port map ( Y=>nx15622, A0=>nx14780, A1=>reg_6_q_c_14); ix16384 : inv02 port map ( Y=>nx15623, A=>reg_46_q_c_14); ix16386 : aoi21 port map ( Y=>nx15624, A0=>nx14780, A1=>reg_6_q_c_14, B0 =>nx14572); ix16387 : oai32 port map ( Y=>nx15625, A0=>nx15622, A1=>reg_46_q_c_14, A2 =>nx14572, B0=>nx15623, B1=>nx15624); ix16388 : inv02 port map ( Y=>nx15626, A=>nx15625); nx13487_EXMPLR : inv02 port map ( Y=>nx13487, A=>nx15626); ix16389 : nor02 port map ( Y=>nx15627, A0=>nx15621, A1=>nx13487); ix16390 : aoi22 port map ( Y=>nx15629, A0=>nx15621, A1=>nx15623, B0=> nx15621, B1=>nx15624); ix16391 : oai322 port map ( Y=>nx15630, A0=>nx15621, A1=>nx15623, A2=> nx15624, B0=>nx15626, B1=>nx15629, C0=>nx15618, C1=>nx15629); nx15996_EXMPLR : ao21 port map ( Y=>nx15996, A0=>nx15618, A1=>nx15627, B0 =>nx15630); PRI_OUT_4_14_EXMPLR_EXMPLR : ao21 port map ( Y=>PRI_OUT_4_14_EXMPLR, A0=> nx14780, A1=>reg_6_q_c_14, B0=>nx14572); ix16392 : inv01 port map ( Y=>nx15631, A=>nx15618); ix16393 : inv02 port map ( Y=>nx15632, A=>nx15626); PRI_OUT_4_13_EXMPLR_EXMPLR : ao21 port map ( Y=>PRI_OUT_4_13_EXMPLR, A0=> nx14780, A1=>reg_6_q_c_13, B0=>nx13516); nx13776_EXMPLR : or02 port map ( Y=>nx13776, A0=>nx15615, A1=>nx13774); PRI_OUT_4_12_EXMPLR_EXMPLR : ao21 port map ( Y=>PRI_OUT_4_12_EXMPLR, A0=> nx15734, A1=>reg_6_q_c_12, B0=>nx12460); ix16394 : and02 port map ( Y=>nx15633, A0=>C_MUX2_3_SEL, A1=>reg_4_q_c_3 ); ix16395 : inv01 port map ( Y=>nx15634, A=>nx9281); ix16396 : nand02 port map ( Y=>nx15635, A0=>nx5554, A1=>nx15634); ix16397 : aoi32 port map ( Y=>nx15636, A0=>reg_43_q_c_5, A1=>C_MUX2_3_SEL, A2=>reg_4_q_c_5, B0=>reg_43_q_c_5, B1=>nx5684); ix16398 : and02 port map ( Y=>nx15637, A0=>C_MUX2_3_SEL, A1=>reg_4_q_c_5 ); ix16399 : oai21 port map ( Y=>nx15638, A0=>nx15637, A1=>nx5684, B0=> reg_43_q_c_5); ix16400 : inv02 port map ( Y=>nx15639, A=>reg_43_q_c_5); ix16402 : nor02 port map ( Y=>nx15640, A0=>nx15637, A1=>nx5684); ix16403 : nor02 port map ( Y=>nx15641, A0=>nx15639, A1=>nx15640); ix16404 : nor02 port map ( Y=>nx15642, A0=>nx15641, A1=>nx5554); nx9277_EXMPLR : ao21 port map ( Y=>nx9277, A0=>nx15638, A1=>nx15700, B0=> nx15642); nx6468_EXMPLR : or02 port map ( Y=>nx6468, A0=>nx15637, A1=>nx5684); ix16405 : inv02 port map ( Y=>nx15643, A=>nx15709); ix16406 : nor02 port map ( Y=>nx15644, A0=>nx16201, A1=>nx16199); ix16407 : nor02 port map ( Y=>nx15645, A0=>nx15643, A1=>nx15644); nx8301_EXMPLR : oai22 port map ( Y=>nx8301, A0=>nx15645, A1=>nx15701, B0 =>nx15645, B1=>nx3442); ix16408 : inv01 port map ( Y=>nx15646, A=>reg_26_q_c_0); ix16409 : inv01 port map ( Y=>nx15647, A=>PRI_IN_6(0)); ix16410 : inv01 port map ( Y=>nx15649, A=>C_MUX2_23_SEL); ix16411 : oai22 port map ( Y=>nx15650, A0=>nx15646, A1=>C_MUX2_23_SEL, B0 =>nx15647, B1=>nx15649); ix16412 : ao22 port map ( Y=>nx15651, A0=>reg_5_q_c_0, A1=>nx15535, B0=> nx16194, B1=>C_MUX2_8_SEL); ix16413 : and02 port map ( Y=>nx15652, A0=>C_MUX2_16_SEL, A1=>nx15533); ix16414 : inv01 port map ( Y=>nx15653, A=>nx15532); ix16416 : ao32 port map ( Y=>nx15654, A0=>nx15556, A1=>C_MUX2_16_SEL, A2 =>nx15533, B0=>C_MUX2_3_SEL, B1=>reg_4_q_c_0); ix16417 : aoi321 port map ( Y=>nx15655, A0=>nx15651, A1=>nx16196, A2=> nx15652, B0=>nx15555, B1=>nx15653, C0=>nx15654); nx6785_EXMPLR : and02 port map ( Y=>nx6785, A0=>nx15650, A1=>nx15655); nx6783_EXMPLR : oai22 port map ( Y=>nx6783, A0=>C_MUX2_23_SEL, A1=> reg_26_q_c_0, B0=>nx15649, B1=>PRI_IN_6(0)); ix16418 : inv02 port map ( Y=>nx15657, A=>nx13135); ix16419 : inv01 port map ( Y=>nx15658, A=>nx15516); nx15060_EXMPLR : oai22 port map ( Y=>nx15060, A0=>nx15657, A1=>nx15658, B0=>nx13135, B1=>nx15516); ix16420 : inv02 port map ( Y=>nx15659, A=>reg_43_q_c_10); ix16421 : aoi21 port map ( Y=>nx15660, A0=>C_MUX2_3_SEL, A1=>reg_4_q_c_10, B0=>nx10964); ix16422 : oai22 port map ( Y=>nx15661, A0=>nx15659, A1=>nx15660, B0=> nx15598, B1=>nx11213); ix16424 : inv01 port map ( Y=>nx15662, A=>nx12171); ix16426 : inv01 port map ( Y=>nx15663, A=>nx15597); ix16427 : and02 port map ( Y=>nx15664, A0=>nx11890, A1=>nx15662); ix16428 : inv02 port map ( Y=>nx15665, A=>reg_43_q_c_11); ix16429 : aoi21 port map ( Y=>nx15667, A0=>C_MUX2_3_SEL, A1=>reg_4_q_c_11, B0=>nx12020); ix16430 : nor02 port map ( Y=>nx15668, A0=>nx15665, A1=>nx15667); ix16431 : aoi332 port map ( Y=>nx15669, A0=>nx15661, A1=>nx11890, A2=> nx15662, B0=>nx16060, B1=>nx15663, B2=>nx15664, C0=>nx15662, C1=> nx15668); ix16432 : nor02 port map ( Y=>nx15671, A0=>nx15512, A1=>nx15515); ix16433 : nor02 port map ( Y=>nx15672, A0=>nx15512, A1=>nx14002); nx13131_EXMPLR : ao21 port map ( Y=>nx13131, A0=>nx15669, A1=>nx15671, B0 =>nx15672); nx13250_EXMPLR : inv01 port map ( Y=>nx13250, A=>nx15669); ix16434 : inv02 port map ( Y=>nx15673, A=>nx15661); nx11140_EXMPLR : oai21 port map ( Y=>nx11140, A0=>nx16067, A1=>nx15597, B0=>nx15673); nx12167_EXMPLR : oai22 port map ( Y=>nx12167, A0=>nx15668, A1=>nx11140, B0=>nx15668, B1=>nx11890); nx6517_EXMPLR : ao21 port map ( Y=>nx6517, A0=>C_MUX2_3_SEL, A1=> reg_4_q_c_11, B0=>nx12020); nx6508_EXMPLR : ao21 port map ( Y=>nx6508, A0=>C_MUX2_3_SEL, A1=> reg_4_q_c_10, B0=>nx10964); ix16435 : and02 port map ( Y=>nx15674, A0=>C_MUX2_3_SEL, A1=>reg_4_q_c_4 ); ix16436 : oai21 port map ( Y=>nx15675, A0=>nx15674, A1=>nx4628, B0=> reg_43_q_c_4); ix16437 : aoi22 port map ( Y=>nx15676, A0=>nx15707, A1=>nx16201, B0=> nx15707, B1=>nx16199); ix16438 : and02 port map ( Y=>nx15677, A0=>nx15675, A1=>nx15676); ix16439 : and02 port map ( Y=>nx15678, A0=>C_MUX2_3_SEL, A1=>reg_4_q_c_2 ); ix16440 : or03 port map ( Y=>nx15679, A0=>nx2516, A1=>nx15678, A2=> reg_43_q_c_2); ix16441 : and02 port map ( Y=>nx15681, A0=>nx15573, A1=>reg_43_q_c_1); nx1376_EXMPLR : oai332 port map ( Y=>nx1376, A0=>C_MUX2_3_SEL, A1=> nx15561, A2=>nx15562, B0=>C_MUX2_3_SEL, B1=>nx15564, B2=>C_MUX2_16_SEL, C0=>nx15565, C1=>nx15567); nx7291_EXMPLR : oai22 port map ( Y=>nx7291, A0=>nx15681, A1=>nx642, B0=> nx15681, B1=>nx1376); ix16442 : inv01 port map ( Y=>nx15682, A=>nx7291); ix16443 : inv01 port map ( Y=>nx15683, A=>reg_43_q_c_2); ix16444 : nor02 port map ( Y=>nx15684, A0=>nx15678, A1=>nx2516); ix16445 : nor02 port map ( Y=>nx15685, A0=>nx15683, A1=>nx15684); ix16446 : aoi21 port map ( Y=>nx15686, A0=>nx15679, A1=>nx15682, B0=> nx15685); ix16447 : inv01 port map ( Y=>nx15687, A=>nx16199); ix16448 : inv02 port map ( Y=>nx15688, A=>nx15707); ix16450 : inv01 port map ( Y=>nx15689, A=>nx16201); ix16452 : aoi322 port map ( Y=>nx15691, A0=>nx15687, A1=>nx15688, A2=> nx15689, B0=>nx15709, B1=>nx16200, C0=>nx15707, C1=>nx16203); ix16454 : inv02 port map ( Y=>nx15692, A=>reg_43_q_c_4); ix16456 : nor02 port map ( Y=>nx15693, A0=>nx15674, A1=>nx4628); ix16457 : oai21 port map ( Y=>nx15694, A0=>nx15692, A1=>nx15693, B0=> nx15676); ix16458 : inv01 port map ( Y=>nx15695, A=>nx4628); ix16459 : aoi21 port map ( Y=>nx15696, A0=>C_MUX2_3_SEL, A1=>reg_4_q_c_4, B0=>reg_43_q_c_4); ix16460 : aoi322 port map ( Y=>nx15697, A0=>reg_43_q_c_4, A1=> C_MUX2_3_SEL, A2=>reg_4_q_c_4, B0=>reg_43_q_c_4, B1=>nx4628, C0=> nx15695, C1=>nx15696); ix16461 : nor02 port map ( Y=>nx15698, A0=>nx15692, A1=>nx15693); ix16462 : oai22 port map ( Y=>nx15699, A0=>nx15691, A1=>nx15694, B0=> nx15697, B1=>nx15698); nx4804_EXMPLR : aoi21 port map ( Y=>nx4804, A0=>nx15677, A1=>nx15686, B0 =>nx15699); ix16464 : inv01 port map ( Y=>nx15700, A=>nx4804); nx2692_EXMPLR : inv02 port map ( Y=>nx2692, A=>nx15686); ix16466 : inv01 port map ( Y=>nx15701, A=>nx15686); nx3442_EXMPLR : oai322 port map ( Y=>nx3442, A0=>nx16200, A1=>nx15688, A2 =>nx16203, B0=>nx15687, B1=>nx15709, C0=>nx15689, C1=>nx15709); nx6460_EXMPLR : or02 port map ( Y=>nx6460, A0=>nx15674, A1=>nx4628); nx6443_EXMPLR : or02 port map ( Y=>nx6443, A0=>nx15678, A1=>nx2516); nx7819_EXMPLR : oai322 port map ( Y=>nx7819, A0=>nx16200, A1=>nx15709, A2 =>nx16203, B0=>nx15688, B1=>nx15687, C0=>nx15688, C1=>nx15689); nx8304_EXMPLR : inv02 port map ( Y=>nx8304, A=>nx15697); ix16467 : inv02 port map ( Y=>nx15703, A=>nx15682); ix16468 : inv02 port map ( Y=>nx15705, A=>nx2516); ix16469 : nand03 port map ( Y=>nx15706, A0=>reg_43_q_c_2, A1=> C_MUX2_3_SEL, A2=>reg_4_q_c_2); nx7297_EXMPLR : oai321 port map ( Y=>nx7297, A0=>nx2516, A1=>nx15678, A2 =>reg_43_q_c_2, B0=>nx15683, B1=>nx15705, C0=>nx15706); nx6453_EXMPLR : or02 port map ( Y=>nx6453, A0=>nx16200, A1=>nx16203); ix16470 : buf04 port map ( Y=>nx15707, A=>reg_43_q_c_3); ix16471 : buf04 port map ( Y=>nx15709, A=>reg_43_q_c_3); ix16472 : and02 port map ( Y=>nx15710, A0=>nx15733, A1=>reg_6_q_c_10); ix16473 : or03 port map ( Y=>nx15711, A0=>nx15710, A1=>reg_46_q_c_10, A2 =>nx10348); ix16474 : inv02 port map ( Y=>nx15712, A=>reg_46_q_c_9); ix16475 : aoi21 port map ( Y=>nx15713, A0=>nx14776, A1=>reg_6_q_c_9, B0=> nx9292); ix16476 : nor02 port map ( Y=>nx15714, A0=>nx15712, A1=>nx15713); ix16477 : inv02 port map ( Y=>nx15715, A=>nx11037); ix16478 : inv02 port map ( Y=>nx15716, A=>reg_46_q_c_10); ix16479 : aoi21 port map ( Y=>nx15717, A0=>nx15733, A1=>reg_6_q_c_10, B0 =>nx10348); ix16480 : nor02 port map ( Y=>nx15719, A0=>nx15716, A1=>nx15717); ix16482 : inv02 port map ( Y=>nx15720, A=>reg_46_q_c_12); ix16483 : inv02 port map ( Y=>nx15721, A=>PRI_OUT_4_12_EXMPLR); ix16484 : aoi22 port map ( Y=>nx15722, A0=>PRI_OUT_4_12_EXMPLR, A1=> nx15720, B0=>reg_46_q_c_12, B1=>nx15721); ix16485 : aoi32 port map ( Y=>nx15723, A0=>reg_46_q_c_11, A1=>nx15733, A2 =>reg_6_q_c_11, B0=>reg_46_q_c_11, B1=>nx11404); ix16486 : and02 port map ( Y=>nx15724, A0=>nx15734, A1=>reg_6_q_c_11); ix16487 : oai21 port map ( Y=>nx15725, A0=>nx15724, A1=>nx11404, B0=> reg_46_q_c_11); ix16488 : inv02 port map ( Y=>nx15726, A=>nx12009); ix16489 : inv02 port map ( Y=>nx15727, A=>reg_46_q_c_11); ix16490 : aoi21 port map ( Y=>nx15729, A0=>nx15734, A1=>reg_6_q_c_11, B0 =>nx11404); ix16491 : nor02 port map ( Y=>nx15730, A0=>nx15727, A1=>nx15729); ix16492 : nor02 port map ( Y=>nx15731, A0=>nx15726, A1=>nx15730); nx12509_EXMPLR : ao21 port map ( Y=>nx12509, A0=>nx15725, A1=>nx15745, B0 =>nx15731); nx12513_EXMPLR : oai22 port map ( Y=>nx12513, A0=>nx15720, A1=>nx15721, B0=>reg_46_q_c_12, B1=>PRI_OUT_4_12_EXMPLR); PRI_OUT_4_11_EXMPLR_EXMPLR : ao21 port map ( Y=>PRI_OUT_4_11_EXMPLR, A0=> nx15734, A1=>reg_6_q_c_11, B0=>nx11404); nx11664_EXMPLR : inv01 port map ( Y=>nx11664, A=>nx15745); PRI_OUT_4_10_EXMPLR_EXMPLR : ao21 port map ( Y=>PRI_OUT_4_10_EXMPLR, A0=> nx15734, A1=>reg_6_q_c_10, B0=>nx10348); ix16493 : inv01 port map ( Y=>nx15732, A=>nx15746); nx11549_EXMPLR : oai32 port map ( Y=>nx11549, A0=>nx15710, A1=> reg_46_q_c_10, A2=>nx10348, B0=>nx15716, B1=>nx15717); PRI_OUT_4_9_EXMPLR_EXMPLR : ao21 port map ( Y=>PRI_OUT_4_9_EXMPLR, A0=> nx14776, A1=>reg_6_q_c_9, B0=>nx9292); ix16494 : buf04 port map ( Y=>nx15733, A=>nx14778); ix16496 : buf04 port map ( Y=>nx15734, A=>nx14778); ix16497 : inv01 port map ( Y=>nx15735, A=>nx9552); ix16498 : inv02 port map ( Y=>nx15736, A=>nx15723); ix16499 : nor03 port map ( Y=>nx15737, A0=>nx15719, A1=>nx15736, A2=> nx15714); ix16500 : inv02 port map ( Y=>nx15738, A=>nx15715); ix16501 : inv02 port map ( Y=>nx15739, A=>nx12009); ix16502 : oai21 port map ( Y=>nx15740, A0=>nx15719, A1=>nx15711, B0=> nx15739); ix16504 : ao221 port map ( Y=>nx15741, A0=>nx15737, A1=>nx15738, B0=> nx15723, B1=>nx15740, C0=>nx15722); nx13774_EXMPLR : aoi21 port map ( Y=>nx13774, A0=>nx15735, A1=>nx15737, B0=>nx15741); ix16506 : inv02 port map ( Y=>nx15742, A=>nx15719); ix16507 : inv02 port map ( Y=>nx15743, A=>nx15714); ix16508 : nand03 port map ( Y=>nx15744, A0=>nx15738, A1=>nx15742, A2=> nx15743); ix16509 : oai321 port map ( Y=>nx15745, A0=>nx9552, A1=>nx15719, A2=> nx15714, B0=>nx15719, B1=>nx15711, C0=>nx15744); ix16510 : ao21 port map ( Y=>nx15746, A0=>nx9552, A1=>nx15715, B0=> nx15714); ix16511 : inv02 port map ( Y=>nx15747, A=>C_MUX2_4_SEL); ix16512 : inv02 port map ( Y=>nx15748, A=>reg_50_q_c_13); ix16513 : aoi221 port map ( Y=>nx15749, A0=>C_MUX2_4_SEL, A1=>nx12849, B0 =>nx12847, B1=>nx15771, C0=>nx15748); ix16514 : inv02 port map ( Y=>nx15750, A=>reg_50_q_c_12); ix16515 : inv02 port map ( Y=>nx15751, A=>nx12361); ix16516 : aoi22 port map ( Y=>nx15753, A0=>nx15771, A1=>nx15751, B0=> C_MUX2_4_SEL, B1=>nx15810); ix16517 : nor02 port map ( Y=>nx15755, A0=>nx15750, A1=>nx15753); ix16518 : inv02 port map ( Y=>nx15756, A=>nx13094); ix16519 : inv02 port map ( Y=>nx15757, A=>reg_50_q_c_15); ix16520 : inv02 port map ( Y=>nx15758, A=>nx6544); nx14079_EXMPLR : oai22 port map ( Y=>nx14079, A0=>nx15757, A1=>nx15758, B0=>reg_50_q_c_15, B1=>nx6544); nx6537_EXMPLR : oai22 port map ( Y=>nx6537, A0=>C_MUX2_4_SEL, A1=>nx13327, B0=>nx15771, B1=>nx13329); ix16521 : inv02 port map ( Y=>nx15759, A=>nx13327); ix16522 : inv02 port map ( Y=>nx15760, A=>nx13329); ix16523 : oai22 port map ( Y=>nx15761, A0=>nx15759, A1=>C_MUX2_4_SEL, B0 =>nx15760, B1=>nx15772); ix16524 : inv02 port map ( Y=>nx15763, A=>reg_50_q_c_14); ix16525 : aoi22 port map ( Y=>nx15764, A0=>reg_50_q_c_14, A1=>nx6537, B0 =>nx15761, B1=>nx15763); ix16526 : and02 port map ( Y=>nx15765, A0=>nx14079, A1=>nx15764); ix16527 : aoi22 port map ( Y=>nx15766, A0=>reg_50_q_c_15, A1=>nx6544, B0 =>nx15757, B1=>nx15758); ix16528 : aoi22 port map ( Y=>nx15767, A0=>nx15772, A1=>nx15759, B0=> C_MUX2_4_SEL, B1=>nx15760); ix16530 : aoi22 port map ( Y=>nx15769, A0=>nx15766, A1=>nx15763, B0=> nx15766, B1=>nx15767); ix16532 : oai322 port map ( Y=>nx15770, A0=>nx15766, A1=>nx15763, A2=> nx15767, B0=>nx15764, B1=>nx15769, C0=>nx16046, C1=>nx15769); nx15560_EXMPLR : ao21 port map ( Y=>nx15560, A0=>nx15850, A1=>nx15765, B0 =>nx15770); nx6525_EXMPLR : oai22 port map ( Y=>nx6525, A0=>C_MUX2_4_SEL, A1=>nx12847, B0=>nx15772, B1=>nx12849); nx13424_EXMPLR : or02 port map ( Y=>nx13424, A0=>nx15755, A1=>nx13422); nx6518_EXMPLR : oai22 port map ( Y=>nx6518, A0=>C_MUX2_4_SEL, A1=>nx12361, B0=>nx15772, B1=>nx15831); ix16534 : buf04 port map ( Y=>nx15771, A=>nx15747); ix16536 : buf04 port map ( Y=>nx15772, A=>nx15747); ix16537 : inv02 port map ( Y=>nx15773, A=>reg_50_q_c_12); ix16538 : inv02 port map ( Y=>nx15774, A=>nx6518); ix16539 : aoi22 port map ( Y=>nx15775, A0=>nx6518, A1=>nx15773, B0=> reg_50_q_c_12, B1=>nx15774); nx13422_EXMPLR : nor02 port map ( Y=>nx13422, A0=>nx15775, A1=>nx15856); ix16540 : inv02 port map ( Y=>nx15777, A=>nx15755); ix16541 : inv02 port map ( Y=>nx15778, A=>nx15749); ix16542 : nand03 port map ( Y=>nx15779, A0=>nx15777, A1=>nx15764, A2=> nx15778); nx13622_EXMPLR : inv02 port map ( Y=>nx13622, A=>nx15764); ix16544 : and02 port map ( Y=>nx15781, A0=>nx15764, A1=>nx15778); ix16546 : inv02 port map ( Y=>nx15782, A=>nx15756); ix16547 : aoi21 port map ( Y=>nx15783, A0=>nx15755, A1=>nx15756, B0=> nx15749); ix16548 : nor02 port map ( Y=>nx15785, A0=>nx15783, A1=>nx15764); ix16549 : aoi321 port map ( Y=>nx15786, A0=>nx13422, A1=>nx15756, A2=> nx13622, B0=>nx15781, B1=>nx15782, C0=>nx15785); nx14504_EXMPLR : oai21 port map ( Y=>nx14504, A0=>nx13422, A1=>nx15779, B0=>nx15786); nx12640_EXMPLR : oai22 port map ( Y=>nx12640, A0=>nx15773, A1=>nx15774, B0=>reg_50_q_c_12, B1=>nx6518); ix16550 : inv01 port map ( Y=>nx15787, A=>nx11825); ix16551 : inv02 port map ( Y=>nx15788, A=>nx12301); ix16552 : inv01 port map ( Y=>nx15789, A=>nx11339); ix16553 : inv02 port map ( Y=>nx15790, A=>C_MUX2_23_SEL); ix16554 : aoi22 port map ( Y=>nx15791, A0=>reg_26_q_c_10, A1=>nx15803, B0 =>C_MUX2_23_SEL, B1=>PRI_IN_6(10)); ix16555 : inv02 port map ( Y=>nx15792, A=>reg_26_q_c_12); ix16556 : inv02 port map ( Y=>nx15793, A=>PRI_IN_6(12)); ix16557 : oai22 port map ( Y=>nx15795, A0=>nx15792, A1=>C_MUX2_23_SEL, B0 =>nx15803, B1=>nx15793); ix16558 : inv02 port map ( Y=>nx15796, A=>nx12795); ix16559 : inv02 port map ( Y=>nx15797, A=>nx13263); ix16560 : aoi22 port map ( Y=>nx15798, A0=>reg_26_q_c_14, A1=>nx15803, B0 =>PRI_IN_6(14), B1=>C_MUX2_23_SEL); nx13719_EXMPLR : oai332 port map ( Y=>nx13719, A0=>NOT_nx12793, A1=> nx13263, A2=>nx12795, B0=>nx13263, B1=>nx15796, B2=>nx16222, C0=> nx15797, C1=>nx15798); nx14250_EXMPLR : ao22 port map ( Y=>nx14250, A0=>nx15796, A1=>nx16131, B0 =>nx12795, B1=>nx16222); nx13452_EXMPLR : oai22 port map ( Y=>nx13452, A0=>C_MUX2_23_SEL, A1=> reg_26_q_c_14, B0=>nx15805, B1=>PRI_IN_6(14)); ix16562 : inv02 port map ( Y=>nx15799, A=>reg_26_q_c_10); ix16563 : inv02 port map ( Y=>nx15800, A=>PRI_IN_6(10)); ix16564 : aoi22 port map ( Y=>nx15801, A0=>nx15805, A1=>nx15799, B0=> C_MUX2_23_SEL, B1=>nx15800); ix16565 : inv02 port map ( Y=>nx15802, A=>nx16216); nx12138_EXMPLR : oai332 port map ( Y=>nx12138, A0=>nx16133, A1=>nx11825, A2=>nx11339, B0=>nx11825, B1=>nx15789, B2=>nx15801, C0=>nx15787, C1=> nx15802); nx12475_EXMPLR : oai22 port map ( Y=>nx12475, A0=>C_MUX2_23_SEL, A1=> reg_26_q_c_12, B0=>nx15805, B1=>PRI_IN_6(12)); nx11823_EXMPLR : oai22 port map ( Y=>nx11823, A0=>nx15789, A1=>nx15791, B0=>nx10026, B1=>nx11339); nx11513_EXMPLR : oai22 port map ( Y=>nx11513, A0=>C_MUX2_23_SEL, A1=> reg_26_q_c_10, B0=>nx15805, B1=>PRI_IN_6(10)); ix16566 : buf04 port map ( Y=>nx15803, A=>nx15790); ix16567 : buf04 port map ( Y=>nx15805, A=>nx15790); ix16568 : inv02 port map ( Y=>nx15806, A=>C_MUX2_9_SEL); ix16569 : inv02 port map ( Y=>nx15807, A=>PRI_IN_9(13)); ix16570 : aoi221 port map ( Y=>nx15808, A0=>reg_16_q_c_13, A1=>nx15806, B0=>C_MUX2_9_SEL, B1=>PRI_OUT_1_13_EXMPLR, C0=>nx15807); ix16571 : inv02 port map ( Y=>nx15809, A=>PRI_IN_9(12)); nx12363_EXMPLR : oai22 port map ( Y=>nx12363, A0=>C_MUX2_9_SEL, A1=> reg_16_q_c_12, B0=>nx15806, B1=>PRI_OUT_1_12_EXMPLR); ix16572 : inv02 port map ( Y=>nx15810, A=>nx12363); ix16573 : nor02 port map ( Y=>nx15811, A0=>nx15809, A1=>nx15810); ix16574 : inv02 port map ( Y=>nx15812, A=>nx14678); nx14695_EXMPLR : oai32 port map ( Y=>nx14695, A0=>nx15808, A1=>nx15811, A2=>nx16848, B0=>nx15812, B1=>nx15808); ix16576 : inv01 port map ( Y=>nx15813, A=>nx14695); ix16577 : inv02 port map ( Y=>nx15815, A=>PRI_IN_9(15)); ix16578 : inv02 port map ( Y=>nx15816, A=>nx13953); nx14717_EXMPLR : oai22 port map ( Y=>nx14717, A0=>nx15815, A1=>nx15816, B0=>PRI_IN_9(15), B1=>nx13953); ix16579 : inv02 port map ( Y=>nx15817, A=>PRI_OUT_1_14_EXMPLR); ix16580 : inv02 port map ( Y=>nx15818, A=>PRI_IN_9(14)); ix16581 : oai22 port map ( Y=>nx15819, A0=>nx15817, A1=>PRI_IN_9(14), B0 =>nx15818, B1=>PRI_OUT_1_14_EXMPLR); ix16582 : inv02 port map ( Y=>nx15820, A=>reg_16_q_c_14); ix16584 : oai22 port map ( Y=>nx15821, A0=>nx15820, A1=>PRI_IN_9(14), B0 =>nx15818, B1=>reg_16_q_c_14); ix16586 : aoi22 port map ( Y=>nx15822, A0=>C_MUX2_9_SEL, A1=>nx15819, B0 =>nx15821, B1=>nx15806); ix16587 : and02 port map ( Y=>nx15823, A0=>nx14717, A1=>nx15822); ix16588 : aoi22 port map ( Y=>nx15824, A0=>PRI_IN_9(15), A1=>nx13953, B0 =>nx15815, B1=>nx15816); ix16589 : aoi22 port map ( Y=>nx15825, A0=>nx15806, A1=>nx15820, B0=> C_MUX2_9_SEL, B1=>nx15817); ix16590 : aoi22 port map ( Y=>nx15826, A0=>nx15824, A1=>nx15818, B0=> nx15824, B1=>nx15825); ix16591 : oai322 port map ( Y=>nx15827, A0=>nx15824, A1=>nx15818, A2=> nx15825, B0=>nx15822, B1=>nx15826, C0=>nx15813, C1=>nx15826); nx16934_EXMPLR : ao21 port map ( Y=>nx16934, A0=>nx15813, A1=>nx15823, B0 =>nx15827); nx13329_EXMPLR : oai22 port map ( Y=>nx13329, A0=>C_MUX2_9_SEL, A1=> reg_16_q_c_14, B0=>nx15806, B1=>PRI_OUT_1_14_EXMPLR); ix16592 : inv01 port map ( Y=>nx15828, A=>nx15813); nx14699_EXMPLR : inv02 port map ( Y=>nx14699, A=>nx15822); nx12849_EXMPLR : oai22 port map ( Y=>nx12849, A0=>C_MUX2_9_SEL, A1=> reg_16_q_c_13, B0=>nx15806, B1=>PRI_OUT_1_13_EXMPLR); nx16850_EXMPLR : or02 port map ( Y=>nx16850, A0=>nx15811, A1=>nx16848); ix16593 : inv02 port map ( Y=>nx15829, A=>nx15810); ix16594 : inv02 port map ( Y=>nx15831, A=>nx15810); ix16595 : inv02 port map ( Y=>nx15832, A=>reg_50_q_c_10); ix16596 : inv02 port map ( Y=>nx15833, A=>C_MUX2_4_SEL); ix16597 : inv02 port map ( Y=>nx15834, A=>nx16215); ix16598 : inv01 port map ( Y=>nx15835, A=>nx11398); ix16599 : aoi22 port map ( Y=>nx15836, A0=>nx15858, A1=>nx15834, B0=> C_MUX2_4_SEL, B1=>nx15835); ix16600 : nand02 port map ( Y=>nx15837, A0=>nx15832, A1=>nx15836); ix16601 : inv02 port map ( Y=>nx15839, A=>reg_50_q_c_9); ix16602 : aoi221 port map ( Y=>nx15840, A0=>C_MUX2_4_SEL, A1=>nx10913, B0 =>nx10911, B1=>nx15858, C0=>nx15839); ix16603 : inv01 port map ( Y=>nx15841, A=>nx11163); nx11679_EXMPLR : oai22 port map ( Y=>nx11679, A0=>nx15840, A1=>nx9200, B0 =>nx15841, B1=>nx15840); ix16604 : nor02 port map ( Y=>nx15843, A0=>nx15832, A1=>nx15836); ix16605 : inv02 port map ( Y=>nx15844, A=>reg_50_q_c_11); ix16606 : inv02 port map ( Y=>nx15845, A=>nx11876); ix16607 : inv02 port map ( Y=>nx15846, A=>nx11878); ix16608 : aoi22 port map ( Y=>nx15847, A0=>nx15858, A1=>nx15845, B0=> C_MUX2_4_SEL, B1=>nx15846); ix16610 : or02 port map ( Y=>nx15848, A0=>nx15844, A1=>nx15847); ix16612 : oai321 port map ( Y=>nx15849, A0=>nx15848, A1=>nx15782, A2=> nx15775, B0=>nx15782, B1=>nx15777, C0=>nx15778); ix16614 : inv01 port map ( Y=>nx15850, A=>nx16049); ix16616 : oai221 port map ( Y=>nx15851, A0=>nx15846, A1=>nx15858, B0=> nx15845, B1=>C_MUX2_4_SEL, C0=>reg_50_q_c_11); ix16617 : inv02 port map ( Y=>nx15852, A=>nx12121); ix16618 : aoi221 port map ( Y=>nx15853, A0=>nx11878, A1=>C_MUX2_4_SEL, B0 =>nx11876, B1=>nx15859, C0=>nx15844); ix16619 : nor02 port map ( Y=>nx15854, A0=>nx15852, A1=>nx15853); ix16620 : aoi21 port map ( Y=>nx15855, A0=>nx15851, A1=>nx16051, B0=> nx15854); nx12637_EXMPLR : inv01 port map ( Y=>nx12637, A=>nx15855); ix16621 : inv01 port map ( Y=>nx15856, A=>nx15855); nx6509_EXMPLR : oai22 port map ( Y=>nx6509, A0=>C_MUX2_4_SEL, A1=>nx11876, B0=>nx15859, B1=>nx11878); nx11312_EXMPLR : inv01 port map ( Y=>nx11312, A=>nx16051); nx6503_EXMPLR : oai22 port map ( Y=>nx6503, A0=>C_MUX2_4_SEL, A1=>nx16215, B0=>nx15859, B1=>nx11398); ix16622 : aoi22 port map ( Y=>nx15857, A0=>nx16215, A1=>nx15859, B0=> C_MUX2_4_SEL, B1=>nx11398); nx11683_EXMPLR : oai22 port map ( Y=>nx11683, A0=>nx15857, A1=> reg_50_q_c_10, B0=>nx15832, B1=>nx15836); nx6495_EXMPLR : oai22 port map ( Y=>nx6495, A0=>C_MUX2_4_SEL, A1=>nx10911, B0=>nx15859, B1=>nx10913); ix16624 : buf04 port map ( Y=>nx15858, A=>nx15833); ix16626 : buf04 port map ( Y=>nx15859, A=>nx15833); nx6777_EXMPLR : nor02 port map ( Y=>nx6777, A0=>nx6719, A1=>reg_28_q_c_0 ); ix16627 : inv02 port map ( Y=>nx15860, A=>nx16227); ix16628 : inv02 port map ( Y=>nx15861, A=>C_MUX2_13_SEL); ix16629 : inv02 port map ( Y=>nx15862, A=>nx12659); ix16630 : inv02 port map ( Y=>nx15863, A=>nx16222); ix16631 : aoi22 port map ( Y=>nx15865, A0=>nx15879, A1=>nx15862, B0=> C_MUX2_13_SEL, B1=>nx15863); ix16632 : nand02 port map ( Y=>nx15866, A0=>nx15860, A1=>nx15865); ix16633 : inv02 port map ( Y=>nx15867, A=>nx12143); ix16634 : inv02 port map ( Y=>nx15869, A=>nx12475); ix16635 : oai22 port map ( Y=>nx15870, A0=>nx15867, A1=>C_MUX2_13_SEL, B0 =>nx15879, B1=>nx15869); ix16636 : inv02 port map ( Y=>nx15871, A=>nx16221); ix16637 : oai221 port map ( Y=>nx15872, A0=>nx15879, A1=>nx15869, B0=> nx15867, B1=>C_MUX2_13_SEL, C0=>nx16221); ix16638 : aoi22 port map ( Y=>nx15873, A0=>nx15870, A1=>nx15871, B0=> nx16166, B1=>nx15872); ix16639 : nor02 port map ( Y=>nx15874, A0=>nx15860, A1=>nx15865); nx14460_EXMPLR : ao21 port map ( Y=>nx14460, A0=>nx15866, A1=>nx15873, B0 =>nx15874); ix16640 : aoi22 port map ( Y=>nx15875, A0=>nx12659, A1=>nx15879, B0=> nx16222, B1=>C_MUX2_13_SEL); ix16642 : and02 port map ( Y=>nx15876, A0=>nx16227, A1=>nx15875); nx13365_EXMPLR : oai22 port map ( Y=>nx13365, A0=>nx15875, A1=>nx16227, B0=>nx15873, B1=>nx15876); PRI_OUT_9_13_EXMPLR_EXMPLR : oai22 port map ( Y=>PRI_OUT_9(13), A0=> C_MUX2_13_SEL, A1=>nx12659, B0=>nx15881, B1=>nx16223); nx12883_EXMPLR : oai22 port map ( Y=>nx12883, A0=>nx15875, A1=>nx16227, B0=>nx15860, B1=>nx15865); nx12878_EXMPLR : inv01 port map ( Y=>nx12878, A=>nx15873); PRI_OUT_9_12_EXMPLR_EXMPLR : oai22 port map ( Y=>PRI_OUT_9(12), A0=> C_MUX2_13_SEL, A1=>nx12143, B0=>nx15881, B1=>nx12475); ix16643 : aoi22 port map ( Y=>nx15877, A0=>nx15881, A1=>nx15867, B0=> C_MUX2_13_SEL, B1=>nx15869); ix16644 : aoi22 port map ( Y=>nx15878, A0=>nx12143, A1=>nx15881, B0=> C_MUX2_13_SEL, B1=>nx12475); nx12401_EXMPLR : oai22 port map ( Y=>nx12401, A0=>nx15871, A1=>nx15877, B0=>nx15878, B1=>nx16221); ix16645 : buf04 port map ( Y=>nx15879, A=>nx15861); ix16646 : buf04 port map ( Y=>nx15881, A=>nx15861); ix16647 : inv02 port map ( Y=>nx15882, A=>C_MUX2_2_SEL); ix16648 : inv02 port map ( Y=>nx15883, A=>PRI_OUT_3_13_EXMPLR); ix16649 : aoi221 port map ( Y=>nx15884, A0=>C_MUX2_2_SEL, A1=> reg_2_q_c_13, B0=>reg_3_q_c_13, B1=>nx15882, C0=>nx15883); ix16650 : inv02 port map ( Y=>nx15885, A=>PRI_OUT_3_12_EXMPLR); nx12551_EXMPLR : oai22 port map ( Y=>nx12551, A0=>C_MUX2_2_SEL, A1=> reg_3_q_c_12, B0=>nx15882, B1=>reg_2_q_c_12); ix16651 : inv02 port map ( Y=>nx15886, A=>nx12551); ix16652 : nor02 port map ( Y=>nx15887, A0=>nx15885, A1=>nx15886); ix16653 : inv02 port map ( Y=>nx15888, A=>nx14217); nx14222_EXMPLR : oai32 port map ( Y=>nx14222, A0=>nx15884, A1=>nx15887, A2=>nx17750, B0=>nx15888, B1=>nx15884); ix16654 : inv01 port map ( Y=>nx15889, A=>nx14222); ix16656 : inv02 port map ( Y=>nx15890, A=>PRI_OUT_3_15_EXMPLR); ix16657 : inv02 port map ( Y=>nx15891, A=>nx14037); nx14235_EXMPLR : oai22 port map ( Y=>nx14235, A0=>nx15890, A1=>nx15891, B0=>PRI_OUT_3_15_EXMPLR, B1=>nx14037); nx13531_EXMPLR : oai22 port map ( Y=>nx13531, A0=>C_MUX2_2_SEL, A1=> reg_3_q_c_14, B0=>nx15882, B1=>reg_2_q_c_14); ix16658 : ao22 port map ( Y=>nx15892, A0=>reg_3_q_c_14, A1=>nx15882, B0=> reg_2_q_c_14, B1=>C_MUX2_2_SEL); ix16659 : inv02 port map ( Y=>nx15893, A=>PRI_OUT_3_14_EXMPLR); ix16660 : aoi22 port map ( Y=>nx15894, A0=>PRI_OUT_3_14_EXMPLR, A1=> nx13531, B0=>nx15892, B1=>nx15893); ix16661 : and02 port map ( Y=>nx15895, A0=>nx14235, A1=>nx15894); ix16662 : aoi22 port map ( Y=>nx15897, A0=>PRI_OUT_3_15_EXMPLR, A1=> nx14037, B0=>nx15890, B1=>nx15891); ix16664 : inv02 port map ( Y=>nx15898, A=>reg_3_q_c_14); ix16666 : inv02 port map ( Y=>nx15899, A=>reg_2_q_c_14); ix16667 : aoi22 port map ( Y=>nx15900, A0=>nx15882, A1=>nx15898, B0=> C_MUX2_2_SEL, B1=>nx15899); ix16668 : aoi22 port map ( Y=>nx15901, A0=>nx15897, A1=>nx15893, B0=> nx15897, B1=>nx15900); ix16669 : oai322 port map ( Y=>nx15902, A0=>nx15897, A1=>nx15893, A2=> nx15900, B0=>nx15894, B1=>nx15901, C0=>nx15889, C1=>nx15901); nx17812_EXMPLR : ao21 port map ( Y=>nx17812, A0=>nx15889, A1=>nx15895, B0 =>nx15902); ix16670 : inv01 port map ( Y=>nx15903, A=>nx15889); nx14225_EXMPLR : inv02 port map ( Y=>nx14225, A=>nx15894); nx13017_EXMPLR : oai22 port map ( Y=>nx13017, A0=>C_MUX2_2_SEL, A1=> reg_3_q_c_13, B0=>nx15882, B1=>reg_2_q_c_13); nx17752_EXMPLR : or02 port map ( Y=>nx17752, A0=>nx15887, A1=>nx17750); ix16671 : inv02 port map ( Y=>nx15905, A=>nx15886); ix16672 : inv02 port map ( Y=>nx15906, A=>nx15886); ix16673 : and02 port map ( Y=>nx15907, A0=>nx15933, A1=>reg_6_q_c_6); ix16674 : or03 port map ( Y=>nx15908, A0=>nx15907, A1=>reg_46_q_c_6, A2=> nx6124); ix16675 : inv01 port map ( Y=>nx15909, A=>reg_46_q_c_5); ix16676 : aoi21 port map ( Y=>nx15910, A0=>nx15933, A1=>reg_6_q_c_5, B0=> nx5068); ix16677 : nor02 port map ( Y=>nx15911, A0=>nx15909, A1=>nx15910); ix16678 : inv01 port map ( Y=>nx15912, A=>nx9113); nx9605_EXMPLR : oai22 port map ( Y=>nx9605, A0=>nx15911, A1=>nx5328, B0=> nx15912, B1=>nx15911); ix16679 : inv01 port map ( Y=>nx15913, A=>nx9605); ix16680 : inv02 port map ( Y=>nx15915, A=>reg_46_q_c_6); ix16681 : aoi21 port map ( Y=>nx15916, A0=>nx15933, A1=>reg_6_q_c_6, B0=> nx6124); ix16682 : nor02 port map ( Y=>nx15917, A0=>nx15915, A1=>nx15916); ix16683 : aoi21 port map ( Y=>nx15919, A0=>nx15908, A1=>nx15913, B0=> nx15917); ix16684 : inv02 port map ( Y=>nx15920, A=>reg_46_q_c_8); ix16685 : inv02 port map ( Y=>nx15921, A=>PRI_OUT_4_8_EXMPLR); ix16686 : aoi22 port map ( Y=>nx15922, A0=>PRI_OUT_4_8_EXMPLR, A1=> nx15920, B0=>reg_46_q_c_8, B1=>nx15921); ix16687 : aoi32 port map ( Y=>nx15923, A0=>reg_46_q_c_7, A1=>nx15933, A2 =>reg_6_q_c_7, B0=>reg_46_q_c_7, B1=>nx7180); nx9550_EXMPLR : oai32 port map ( Y=>nx9550, A0=>nx15919, A1=>nx15922, A2 =>nx10075, B0=>nx15922, B1=>nx15923); ix16688 : and02 port map ( Y=>nx15924, A0=>nx15934, A1=>reg_6_q_c_7); ix16690 : oai21 port map ( Y=>nx15925, A0=>nx15924, A1=>nx7180, B0=> reg_46_q_c_7); ix16692 : inv02 port map ( Y=>nx15926, A=>nx10075); ix16693 : inv02 port map ( Y=>nx15927, A=>reg_46_q_c_7); ix16694 : aoi21 port map ( Y=>nx15929, A0=>nx15934, A1=>reg_6_q_c_7, B0=> nx7180); ix16696 : nor02 port map ( Y=>nx15930, A0=>nx15927, A1=>nx15929); ix16697 : nor02 port map ( Y=>nx15931, A0=>nx15926, A1=>nx15930); nx10575_EXMPLR : ao21 port map ( Y=>nx10575, A0=>nx15925, A1=>nx15919, B0 =>nx15931); nx10579_EXMPLR : oai22 port map ( Y=>nx10579, A0=>nx15920, A1=>nx15921, B0=>reg_46_q_c_8, B1=>PRI_OUT_4_8_EXMPLR); PRI_OUT_4_7_EXMPLR_EXMPLR : ao21 port map ( Y=>PRI_OUT_4_7_EXMPLR, A0=> nx15934, A1=>reg_6_q_c_7, B0=>nx7180); nx7440_EXMPLR : inv02 port map ( Y=>nx7440, A=>nx15919); PRI_OUT_4_6_EXMPLR_EXMPLR : ao21 port map ( Y=>PRI_OUT_4_6_EXMPLR, A0=> nx15934, A1=>reg_6_q_c_6, B0=>nx6124); ix16698 : inv02 port map ( Y=>nx15932, A=>nx15913); nx9609_EXMPLR : oai32 port map ( Y=>nx9609, A0=>nx15907, A1=>reg_46_q_c_6, A2=>nx6124, B0=>nx15915, B1=>nx15916); PRI_OUT_4_5_EXMPLR_EXMPLR : ao21 port map ( Y=>PRI_OUT_4_5_EXMPLR, A0=> nx15934, A1=>reg_6_q_c_5, B0=>nx5068); ix16699 : buf04 port map ( Y=>nx15933, A=>nx14774); ix16700 : buf04 port map ( Y=>nx15934, A=>nx14774); ix16701 : inv02 port map ( Y=>nx15935, A=>nx11531); ix16702 : nand02 port map ( Y=>nx15936, A0=>nx15576, A1=>nx15935); ix16704 : inv02 port map ( Y=>nx15937, A=>nx15582); ix16706 : aoi22 port map ( Y=>nx15938, A0=>nx15936, A1=>nx15937, B0=> nx11527, B1=>nx15937); ix16707 : inv01 port map ( Y=>nx15939, A=>nx15938); nx11634_EXMPLR : nor02 port map ( Y=>nx11634, A0=>nx11527, A1=>nx11531); ix16708 : inv02 port map ( Y=>nx15940, A=>C_MUX2_9_SEL); ix16709 : inv02 port map ( Y=>nx15941, A=>PRI_IN_9(10)); ix16710 : oai221 port map ( Y=>nx15942, A0=>C_MUX2_9_SEL, A1=> reg_16_q_c_10, B0=>nx15967, B1=>PRI_OUT_1_10_EXMPLR, C0=>nx15941); ix16711 : inv02 port map ( Y=>nx15943, A=>PRI_IN_9(9)); ix16712 : aoi221 port map ( Y=>nx15945, A0=>reg_16_q_c_9, A1=>nx15967, B0 =>C_MUX2_9_SEL, B1=>PRI_OUT_1_9_EXMPLR, C0=>nx15943); ix16713 : inv02 port map ( Y=>nx15946, A=>nx14605); ix16714 : inv02 port map ( Y=>nx15947, A=>reg_16_q_c_10); ix16715 : inv02 port map ( Y=>nx15948, A=>PRI_OUT_1_10_EXMPLR); ix16716 : aoi22 port map ( Y=>nx15949, A0=>nx15967, A1=>nx15947, B0=> C_MUX2_9_SEL, B1=>nx15948); ix16717 : nor02 port map ( Y=>nx15950, A0=>nx15941, A1=>nx15949); ix16718 : inv02 port map ( Y=>nx15951, A=>PRI_IN_9(12)); ix16719 : inv02 port map ( Y=>nx15952, A=>nx15829); ix16720 : aoi22 port map ( Y=>nx15953, A0=>nx15829, A1=>nx15951, B0=> PRI_IN_9(12), B1=>nx15952); ix16722 : inv02 port map ( Y=>nx15955, A=>PRI_OUT_1_11_EXMPLR); ix16723 : nor02 port map ( Y=>nx15956, A0=>reg_16_q_c_11, A1=> C_MUX2_9_SEL); ix16724 : aoi32 port map ( Y=>nx15957, A0=>PRI_IN_9(11), A1=>C_MUX2_9_SEL, A2=>nx15955, B0=>PRI_IN_9(11), B1=>nx15956); ix16725 : inv02 port map ( Y=>nx15959, A=>PRI_IN_9(11)); ix16726 : ao221 port map ( Y=>nx15960, A0=>PRI_OUT_1_11_EXMPLR, A1=> C_MUX2_9_SEL, B0=>reg_16_q_c_11, B1=>nx15967, C0=>nx15959); ix16727 : inv02 port map ( Y=>nx15961, A=>nx14640); ix16728 : aoi221 port map ( Y=>nx15962, A0=>reg_16_q_c_11, A1=>nx15969, B0=>PRI_OUT_1_11_EXMPLR, B1=>C_MUX2_9_SEL, C0=>nx15959); ix16729 : nor02 port map ( Y=>nx15963, A0=>nx15961, A1=>nx15962); nx14657_EXMPLR : ao21 port map ( Y=>nx14657, A0=>nx15960, A1=>nx15980, B0 =>nx15963); nx14661_EXMPLR : oai22 port map ( Y=>nx14661, A0=>nx15951, A1=>nx15952, B0=>PRI_IN_9(12), B1=>nx15829); nx11878_EXMPLR : oai22 port map ( Y=>nx11878, A0=>reg_16_q_c_11, A1=> C_MUX2_9_SEL, B0=>nx15969, B1=>PRI_OUT_1_11_EXMPLR); nx16770_EXMPLR : inv01 port map ( Y=>nx16770, A=>nx15980); nx11398_EXMPLR : oai22 port map ( Y=>nx11398, A0=>C_MUX2_9_SEL, A1=> reg_16_q_c_10, B0=>nx15969, B1=>PRI_OUT_1_10_EXMPLR); ix16730 : inv01 port map ( Y=>nx15964, A=>nx15981); ix16731 : aoi22 port map ( Y=>nx15965, A0=>PRI_OUT_1_10_EXMPLR, A1=> nx15941, B0=>PRI_IN_9(10), B1=>nx15948); ix16732 : aoi22 port map ( Y=>nx15966, A0=>reg_16_q_c_10, A1=>nx15941, B0 =>PRI_IN_9(10), B1=>nx15947); nx14623_EXMPLR : oai22 port map ( Y=>nx14623, A0=>nx15969, A1=>nx15965, B0=>nx15966, B1=>C_MUX2_9_SEL); nx10913_EXMPLR : oai22 port map ( Y=>nx10913, A0=>C_MUX2_9_SEL, A1=> reg_16_q_c_9, B0=>nx15969, B1=>PRI_OUT_1_9_EXMPLR); ix16733 : buf04 port map ( Y=>nx15967, A=>nx15940); ix16734 : buf04 port map ( Y=>nx15969, A=>nx15940); ix16736 : inv01 port map ( Y=>nx15970, A=>nx16690); ix16737 : inv02 port map ( Y=>nx15971, A=>nx15945); ix16738 : nand02 port map ( Y=>nx15972, A0=>nx15957, A1=>nx15971); ix16739 : nor02 port map ( Y=>nx15973, A0=>nx15950, A1=>nx15972); ix16740 : inv02 port map ( Y=>nx15974, A=>nx15946); ix16741 : inv02 port map ( Y=>nx15975, A=>nx14640); ix16742 : oai21 port map ( Y=>nx15976, A0=>nx15950, A1=>nx15942, B0=> nx15975); ix16744 : ao221 port map ( Y=>nx15977, A0=>nx15973, A1=>nx15974, B0=> nx15957, B1=>nx15976, C0=>nx15953); nx16848_EXMPLR : aoi21 port map ( Y=>nx16848, A0=>nx15970, A1=>nx15973, B0=>nx15977); ix16746 : inv02 port map ( Y=>nx15978, A=>nx15950); ix16747 : nand03 port map ( Y=>nx15979, A0=>nx15974, A1=>nx15978, A2=> nx15971); ix16748 : oai321 port map ( Y=>nx15980, A0=>nx16690, A1=>nx15950, A2=> nx15945, B0=>nx15950, B1=>nx15942, C0=>nx15979); ix16749 : ao21 port map ( Y=>nx15981, A0=>nx16690, A1=>nx15946, B0=> nx15945); ix16750 : inv02 port map ( Y=>nx15982, A=>C_MUX2_16_SEL); ix16751 : inv01 port map ( Y=>nx15983, A=>reg_28_q_c_0); nx180_EXMPLR : oai221 port map ( Y=>nx180, A0=>nx15982, A1=>nx15542, B0=> reg_10_q_c_0, B1=>C_MUX2_16_SEL, C0=>nx15983); nx6719_EXMPLR : oai22 port map ( Y=>nx6719, A0=>reg_10_q_c_0, A1=> C_MUX2_16_SEL, B0=>nx15982, B1=>nx15542); ix16752 : and02 port map ( Y=>nx15984, A0=>reg_48_q_c_13, A1=> PRI_IN_2(13)); ix16753 : and02 port map ( Y=>nx15985, A0=>reg_48_q_c_12, A1=> PRI_IN_2(12)); ix16754 : inv02 port map ( Y=>nx15987, A=>nx13087); nx13610_EXMPLR : oai32 port map ( Y=>nx13610, A0=>nx13414, A1=>nx15984, A2=>nx15985, B0=>nx15987, B1=>nx15984); ix16755 : inv02 port map ( Y=>nx15988, A=>PRI_IN_2(15)); ix16756 : inv02 port map ( Y=>nx15989, A=>reg_48_q_c_15); ix16757 : aoi22 port map ( Y=>nx15990, A0=>PRI_IN_2(15), A1=> reg_48_q_c_15, B0=>nx15988, B1=>nx15989); ix16758 : nor02 port map ( Y=>nx15991, A0=>reg_48_q_c_14, A1=> PRI_IN_2(14)); ix16759 : nand02 port map ( Y=>nx15992, A0=>reg_48_q_c_13, A1=> PRI_IN_2(13)); ix16760 : aoi221 port map ( Y=>nx15993, A0=>reg_48_q_c_13, A1=> PRI_IN_2(13), B0=>reg_48_q_c_12, B1=>PRI_IN_2(12), C0=>nx13414); ix16761 : aoi21 port map ( Y=>nx15994, A0=>nx13087, A1=>nx15992, B0=> nx15993); ix16762 : inv02 port map ( Y=>nx15995, A=>reg_48_q_c_14); ix16763 : inv02 port map ( Y=>nx15997, A=>PRI_IN_2(14)); ix16764 : oai21 port map ( Y=>nx15998, A0=>nx15995, A1=>nx15997, B0=> nx15990); nx14073_EXMPLR : oai22 port map ( Y=>nx14073, A0=>nx15988, A1=>nx15989, B0=>PRI_IN_2(15), B1=>reg_48_q_c_15); ix16765 : and02 port map ( Y=>nx15999, A0=>reg_48_q_c_14, A1=> PRI_IN_2(14)); ix16766 : aoi22 port map ( Y=>nx16000, A0=>nx15990, A1=>nx15991, B0=> nx14073, B1=>nx15999); nx15570_EXMPLR : oai321 port map ( Y=>nx15570, A0=>nx13610, A1=>nx15990, A2=>nx15991, B0=>nx15994, B1=>nx15998, C0=>nx16000); nx13613_EXMPLR : oai22 port map ( Y=>nx13613, A0=>nx15995, A1=>nx15997, B0=>reg_48_q_c_14, B1=>PRI_IN_2(14)); nx13416_EXMPLR : or02 port map ( Y=>nx13416, A0=>nx15985, A1=>nx13414); ix16767 : inv01 port map ( Y=>nx16001, A=>nx10857); ix16768 : inv02 port map ( Y=>nx16002, A=>nx11009); nx10026_EXMPLR : oai22 port map ( Y=>nx10026, A0=>nx10857, A1=>nx16139, B0=>nx16001, B1=>nx16002); ix16769 : aoi32 port map ( Y=>nx16003, A0=>reg_46_q_c_1, A1=>nx14770, A2 =>reg_6_q_c_1, B0=>reg_46_q_c_1, B1=>nx1014); ix16770 : nand03 port map ( Y=>nx16004, A0=>nx1256, A1=>reg_46_q_c_0, A2 =>PRI_OUT_4_0_EXMPLR); nx3214_EXMPLR : oai22 port map ( Y=>nx3214, A0=>nx16003, A1=>nx7641, B0=> nx7641, B1=>nx16004); ix16771 : and03 port map ( Y=>nx16005, A0=>nx1256, A1=>reg_46_q_c_0, A2=> PRI_OUT_4_0_EXMPLR); ix16772 : and02 port map ( Y=>nx16007, A0=>nx14770, A1=>reg_6_q_c_1); nx7637_EXMPLR : oai32 port map ( Y=>nx7637, A0=>nx16005, A1=>nx16007, A2 =>nx1014, B0=>nx16005, B1=>reg_46_q_c_1); PRI_OUT_4_1_EXMPLR_EXMPLR : ao21 port map ( Y=>PRI_OUT_4_1_EXMPLR, A0=> nx14770, A1=>reg_6_q_c_1, B0=>nx1014); ix16773 : inv01 port map ( Y=>nx16008, A=>C_MUX2_2_SEL); ix16774 : inv02 port map ( Y=>nx16009, A=>PRI_OUT_3_11_EXMPLR); ix16776 : aoi221 port map ( Y=>nx16010, A0=>reg_2_q_c_11, A1=> C_MUX2_2_SEL, B0=>reg_3_q_c_11, B1=>nx16036, C0=>nx16009); ix16777 : inv02 port map ( Y=>nx16011, A=>nx16010); ix16778 : inv02 port map ( Y=>nx16012, A=>PRI_OUT_3_10_EXMPLR); ix16779 : oai221 port map ( Y=>nx16013, A0=>C_MUX2_2_SEL, A1=> reg_3_q_c_10, B0=>nx16036, B1=>reg_2_q_c_10, C0=>nx16012); ix16780 : inv02 port map ( Y=>nx16015, A=>PRI_OUT_3_9_EXMPLR); ix16781 : aoi221 port map ( Y=>nx16016, A0=>C_MUX2_2_SEL, A1=>reg_2_q_c_9, B0=>reg_3_q_c_9, B1=>nx16036, C0=>nx16015); ix16782 : inv02 port map ( Y=>nx16017, A=>PRI_OUT_3_8_EXMPLR); nx10628_EXMPLR : oai22 port map ( Y=>nx10628, A0=>C_MUX2_2_SEL, A1=> reg_3_q_c_8, B0=>nx16036, B1=>reg_2_q_c_8); ix16784 : inv01 port map ( Y=>nx16018, A=>nx10628); ix16786 : nor02 port map ( Y=>nx16019, A0=>nx16017, A1=>nx16018); ix16787 : inv01 port map ( Y=>nx16021, A=>nx14181); nx14187_EXMPLR : oai32 port map ( Y=>nx14187, A0=>nx16016, A1=>nx16019, A2=>nx17638, B0=>nx16021, B1=>nx16016); ix16788 : inv01 port map ( Y=>nx16022, A=>nx14187); ix16789 : inv02 port map ( Y=>nx16023, A=>reg_2_q_c_10); ix16790 : nor02 port map ( Y=>nx16024, A0=>C_MUX2_2_SEL, A1=>reg_3_q_c_10 ); ix16791 : aoi21 port map ( Y=>nx16025, A0=>C_MUX2_2_SEL, A1=>nx16023, B0 =>nx16024); ix16792 : nor02 port map ( Y=>nx16026, A0=>nx16012, A1=>nx16025); ix16793 : aoi21 port map ( Y=>nx16027, A0=>nx16013, A1=>nx16022, B0=> nx16026); ix16794 : inv02 port map ( Y=>nx16028, A=>nx16010); ix16795 : aoi22 port map ( Y=>nx16029, A0=>nx16011, A1=>nx16027, B0=> nx14199, B1=>nx16028); nx14205_EXMPLR : inv01 port map ( Y=>nx14205, A=>nx16029); ix16796 : inv01 port map ( Y=>nx16030, A=>nx16029); nx12043_EXMPLR : oai22 port map ( Y=>nx12043, A0=>C_MUX2_2_SEL, A1=> reg_3_q_c_11, B0=>nx16037, B1=>reg_2_q_c_11); nx17696_EXMPLR : inv01 port map ( Y=>nx17696, A=>nx16027); nx11589_EXMPLR : oai22 port map ( Y=>nx11589, A0=>nx16037, A1=> reg_2_q_c_10, B0=>C_MUX2_2_SEL, B1=>reg_3_q_c_10); ix16797 : inv01 port map ( Y=>nx16031, A=>nx16022); ix16798 : aoi22 port map ( Y=>nx16032, A0=>reg_3_q_c_10, A1=>nx16037, B0 =>C_MUX2_2_SEL, B1=>reg_2_q_c_10); nx14191_EXMPLR : oai22 port map ( Y=>nx14191, A0=>nx16032, A1=> PRI_OUT_3_10_EXMPLR, B0=>nx16012, B1=>nx16025); nx11069_EXMPLR : oai22 port map ( Y=>nx11069, A0=>C_MUX2_2_SEL, A1=> reg_3_q_c_9, B0=>nx16037, B1=>reg_2_q_c_9); nx17640_EXMPLR : or02 port map ( Y=>nx17640, A0=>nx16019, A1=>nx17638); ix16799 : inv02 port map ( Y=>nx16033, A=>nx16018); ix16800 : inv01 port map ( Y=>nx16035, A=>nx16018); ix16802 : buf04 port map ( Y=>nx16036, A=>nx16008); ix16803 : buf04 port map ( Y=>nx16037, A=>nx16008); ix16804 : inv02 port map ( Y=>nx16038, A=>nx15782); ix16805 : inv02 port map ( Y=>nx16039, A=>nx12121); ix16806 : inv02 port map ( Y=>nx16040, A=>nx15775); ix16807 : nand04 port map ( Y=>nx16041, A0=>nx15837, A1=>nx16038, A2=> nx16039, A3=>nx16040); ix16808 : inv01 port map ( Y=>nx16043, A=>nx15843); ix16809 : nand03 port map ( Y=>nx16044, A0=>nx16038, A1=>nx16039, A2=> nx16040); ix16810 : inv02 port map ( Y=>nx16045, A=>nx15849); ix16811 : oai221 port map ( Y=>nx16046, A0=>nx11679, A1=>nx16041, B0=> nx16043, B1=>nx16044, C0=>nx16045); ix16812 : nor02 port map ( Y=>nx16047, A0=>nx15837, A1=>nx15843); ix16813 : or04 port map ( Y=>nx16048, A0=>nx12121, A1=>nx15775, A2=> nx16047, A3=>nx15782); ix16814 : ao32 port map ( Y=>nx16049, A0=>nx11679, A1=>nx16045, A2=> nx16043, B0=>nx16048, B1=>nx16045); ix16816 : inv01 port map ( Y=>nx16050, A=>nx15837); ix16817 : ao22 port map ( Y=>nx16051, A0=>nx16050, A1=>nx16043, B0=> nx11679, B1=>nx16043); ix16818 : nand02 port map ( Y=>nx16053, A0=>nx7666, A1=>nx15593); ix16819 : inv01 port map ( Y=>nx16054, A=>nx4804); ix16820 : inv02 port map ( Y=>nx16055, A=>reg_43_q_c_6); ix16821 : aoi21 port map ( Y=>nx16056, A0=>C_MUX2_3_SEL, A1=>reg_4_q_c_6, B0=>nx6740); ix16822 : oai22 port map ( Y=>nx16057, A0=>nx16055, A1=>nx16056, B0=> nx15636, B1=>nx9281); ix16824 : inv01 port map ( Y=>nx16058, A=>nx16057); ix16826 : aoi22 port map ( Y=>nx16059, A0=>reg_43_q_c_8, A1=>nx6494, B0=> nx15593, B1=>nx15595); ix16827 : oai321 port map ( Y=>nx16060, A0=>nx16053, A1=>nx16054, A2=> nx15635, B0=>nx16058, B1=>nx16053, C0=>nx16059); ix16828 : inv02 port map ( Y=>nx16061, A=>nx7666); ix16829 : aoi21 port map ( Y=>nx16062, A0=>reg_43_q_c_8, A1=>nx6494, B0=> nx15595); ix16830 : nand02 port map ( Y=>nx16063, A0=>reg_43_q_c_8, A1=>nx6494); ix16831 : inv01 port map ( Y=>nx16064, A=>nx15593); nx6916_EXMPLR : oai21 port map ( Y=>nx6916, A0=>nx16054, A1=>nx15635, B0 =>nx16058); ix16832 : inv01 port map ( Y=>nx16065, A=>nx6916); nx9028_EXMPLR : aoi222 port map ( Y=>nx9028, A0=>nx16061, A1=>nx16062, B0 =>nx16063, B1=>nx16064, C0=>nx16062, C1=>nx16065); ix16833 : inv01 port map ( Y=>nx16066, A=>nx9028); ix16834 : inv01 port map ( Y=>nx16067, A=>nx9028); ix16835 : inv01 port map ( Y=>nx16069, A=>nx16065); ix16836 : inv01 port map ( Y=>nx16070, A=>nx16065); nx6477_EXMPLR : ao21 port map ( Y=>nx6477, A0=>C_MUX2_3_SEL, A1=> reg_4_q_c_6, B0=>nx6740); ix16837 : nand02 port map ( Y=>nx16071, A0=>nx8105, A1=>nx6453); ix16838 : and02 port map ( Y=>nx16072, A0=>nx7927, A1=>nx16071); ix16839 : nor02 port map ( Y=>nx16073, A0=>nx8105, A1=>nx6453); ix16840 : inv01 port map ( Y=>nx16074, A=>nx6460); ix16841 : inv01 port map ( Y=>nx16075, A=>C_MUX2_23_SEL); ix16842 : inv01 port map ( Y=>nx16077, A=>reg_26_q_c_4); ix16843 : inv02 port map ( Y=>nx16078, A=>PRI_IN_6(4)); ix16844 : aoi22 port map ( Y=>nx16079, A0=>nx16150, A1=>nx16077, B0=> C_MUX2_23_SEL, B1=>nx16078); ix16845 : aoi22 port map ( Y=>nx16080, A0=>reg_26_q_c_4, A1=>nx16150, B0 =>C_MUX2_23_SEL, B1=>PRI_IN_6(4)); nx8421_EXMPLR : oai22 port map ( Y=>nx8421, A0=>nx16074, A1=>nx16079, B0 =>nx16080, B1=>nx6460); ix16846 : inv01 port map ( Y=>nx16081, A=>nx8421); ix16847 : oai21 port map ( Y=>nx16082, A0=>nx16072, A1=>nx16073, B0=> nx16081); ix16848 : or02 port map ( Y=>nx16083, A0=>nx16081, A1=>nx16080); ix16849 : and02 port map ( Y=>nx16084, A0=>nx16082, A1=>nx16083); ix16850 : inv01 port map ( Y=>nx16085, A=>nx6477); ix16851 : inv02 port map ( Y=>nx16087, A=>reg_26_q_c_6); ix16852 : inv02 port map ( Y=>nx16088, A=>PRI_IN_6(6)); ix16853 : aoi22 port map ( Y=>nx16089, A0=>nx16150, A1=>nx16087, B0=> C_MUX2_23_SEL, B1=>nx16088); ix16854 : aoi22 port map ( Y=>nx16090, A0=>reg_26_q_c_6, A1=>nx16150, B0 =>C_MUX2_23_SEL, B1=>PRI_IN_6(6)); ix16856 : inv02 port map ( Y=>nx16091, A=>nx9075); ix16857 : inv01 port map ( Y=>nx16092, A=>nx6468); ix16858 : oai222 port map ( Y=>nx16093, A0=>nx16085, A1=>nx16089, B0=> nx16090, B1=>nx6477, C0=>nx16091, C1=>nx16092); nx9396_EXMPLR : oai22 port map ( Y=>nx9396, A0=>nx16085, A1=>nx16089, B0 =>nx16090, B1=>nx6477); ix16859 : inv01 port map ( Y=>nx16094, A=>nx9396); ix16860 : nand03 port map ( Y=>nx16095, A0=>nx16094, A1=>nx16091, A2=> nx16092); nx9872_EXMPLR : oai221 port map ( Y=>nx9872, A0=>nx16084, A1=>nx16093, B0 =>nx16094, B1=>nx16090, C0=>nx16095); ix16861 : inv01 port map ( Y=>nx16097, A=>nx9872); ix16862 : inv01 port map ( Y=>nx16098, A=>nx6494); ix16864 : inv02 port map ( Y=>nx16099, A=>reg_26_q_c_8); ix16866 : inv02 port map ( Y=>nx16100, A=>PRI_IN_6(8)); ix16867 : aoi22 port map ( Y=>nx16101, A0=>nx16151, A1=>nx16099, B0=> C_MUX2_23_SEL, B1=>nx16100); ix16868 : aoi22 port map ( Y=>nx16102, A0=>reg_26_q_c_8, A1=>nx16151, B0 =>PRI_IN_6(8), B1=>C_MUX2_23_SEL); nx10377_EXMPLR : oai22 port map ( Y=>nx10377, A0=>nx16098, A1=>nx16101, B0=>nx16102, B1=>nx6494); ix16869 : inv01 port map ( Y=>nx16103, A=>nx10377); ix16870 : nand02 port map ( Y=>nx16104, A0=>nx16204, A1=>nx16206); ix16871 : nand03 port map ( Y=>nx16105, A0=>nx16103, A1=>nx16001, A2=> nx16104); ix16872 : inv01 port map ( Y=>nx16107, A=>nx16001); ix16873 : nor02 port map ( Y=>nx16108, A0=>nx16204, A1=>nx16206); ix16874 : nor02 port map ( Y=>nx16109, A0=>nx16103, A1=>nx16102); ix16875 : aoi21 port map ( Y=>nx16110, A0=>nx16103, A1=>nx16108, B0=> nx16109); ix16876 : nand02 port map ( Y=>nx16111, A0=>nx10857, A1=>nx16002); ix16877 : oai221 port map ( Y=>nx16112, A0=>nx16097, A1=>nx16105, B0=> nx16107, B1=>nx16110, C0=>nx16111); ix16878 : inv01 port map ( Y=>nx16113, A=>nx16112); ix16879 : nand02 port map ( Y=>nx16114, A0=>nx12301, A1=>nx15795); ix16880 : inv02 port map ( Y=>nx16115, A=>nx15789); ix16882 : inv01 port map ( Y=>nx16117, A=>nx11825); ix16883 : inv02 port map ( Y=>nx16118, A=>nx15791); ix16884 : inv01 port map ( Y=>nx16119, A=>nx15787); ix16885 : inv02 port map ( Y=>nx16120, A=>nx16217); ix16886 : aoi32 port map ( Y=>nx16121, A0=>nx16115, A1=>nx16117, A2=> nx16118, B0=>nx16119, B1=>nx16120); ix16887 : and02 port map ( Y=>nx16122, A0=>nx15787, A1=>nx15789); ix16888 : oai32 port map ( Y=>nx16123, A0=>nx15789, A1=>nx11825, A2=> nx15791, B0=>nx15787, B1=>nx16217); ix16889 : oai21 port map ( Y=>nx16124, A0=>nx16122, A1=>nx16123, B0=> nx15788); ix16890 : aoi32 port map ( Y=>nx16125, A0=>nx16113, A1=>nx16114, A2=> nx16121, B0=>nx16114, B1=>nx16124); ix16891 : inv02 port map ( Y=>nx16126, A=>nx6535); ix16892 : inv02 port map ( Y=>nx16127, A=>nx16223); ix16893 : aoi22 port map ( Y=>nx16128, A0=>nx6535, A1=>nx16223, B0=> nx16126, B1=>nx16127); ix16894 : oai22 port map ( Y=>nx16129, A0=>nx16127, A1=>nx6535, B0=> nx16126, B1=>nx16223); ix16896 : nand02 port map ( Y=>nx16130, A0=>nx16129, A1=>nx16125); nx14158_EXMPLR : oai21 port map ( Y=>nx14158, A0=>nx16125, A1=>nx16128, B0=>nx16130); nx12795_EXMPLR : oai22 port map ( Y=>nx12795, A0=>nx16126, A1=>nx16127, B0=>nx6535, B1=>nx16223); NOT_nx12793_EXMPLR : inv01 port map ( Y=>NOT_nx12793, A=>nx16125); ix16897 : inv01 port map ( Y=>nx16131, A=>nx16125); ix16898 : inv01 port map ( Y=>nx16133, A=>nx16113); ix16899 : inv02 port map ( Y=>nx16134, A=>nx16205); ix16900 : inv02 port map ( Y=>nx16135, A=>nx16207); ix16901 : oai21 port map ( Y=>nx16136, A0=>nx16134, A1=>nx16135, B0=> nx16103); ix16902 : oai21 port map ( Y=>nx16137, A0=>nx16097, A1=>nx16136, B0=> nx16110); ix16904 : inv01 port map ( Y=>nx16138, A=>nx16137); nx10855_EXMPLR : inv01 port map ( Y=>nx10855, A=>nx16138); ix16906 : inv01 port map ( Y=>nx16139, A=>nx16138); ix16907 : or02 port map ( Y=>nx16140, A0=>nx16205, A1=>nx16207); nx7914_EXMPLR : ao22 port map ( Y=>nx7914, A0=>nx16205, A1=>nx16207, B0=> nx16140, B1=>nx16097); nx10543_EXMPLR : oai22 port map ( Y=>nx10543, A0=>C_MUX2_23_SEL, A1=> reg_26_q_c_8, B0=>nx16151, B1=>PRI_IN_6(8)); ix16908 : inv02 port map ( Y=>nx16141, A=>nx16103); ix16909 : inv01 port map ( Y=>nx16142, A=>nx16097); nx9875_EXMPLR : oai22 port map ( Y=>nx9875, A0=>nx16134, A1=>nx16135, B0 =>nx16205, B1=>nx16207); ix16910 : or02 port map ( Y=>nx16143, A0=>nx9075, A1=>nx6468); nx5802_EXMPLR : ao22 port map ( Y=>nx5802, A0=>nx9075, A1=>nx6468, B0=> nx16143, B1=>nx16084); nx9572_EXMPLR : oai22 port map ( Y=>nx9572, A0=>C_MUX2_23_SEL, A1=> reg_26_q_c_6, B0=>nx16151, B1=>PRI_IN_6(6)); ix16911 : inv02 port map ( Y=>nx16145, A=>nx16094); nx8902_EXMPLR : inv01 port map ( Y=>nx8902, A=>nx16084); nx8904_EXMPLR : oai22 port map ( Y=>nx8904, A0=>nx16091, A1=>nx16092, B0 =>nx9075, B1=>nx6468); ix16912 : inv02 port map ( Y=>nx16147, A=>nx8105); ix16913 : inv02 port map ( Y=>nx16148, A=>nx6453); nx3690_EXMPLR : oai22 port map ( Y=>nx3690, A0=>nx16147, A1=>nx16148, B0 =>nx16073, B1=>nx7927); nx8597_EXMPLR : oai22 port map ( Y=>nx8597, A0=>C_MUX2_23_SEL, A1=> reg_26_q_c_4, B0=>nx16151, B1=>PRI_IN_6(4)); ix16914 : inv02 port map ( Y=>nx16149, A=>nx16081); nx7929_EXMPLR : oai22 port map ( Y=>nx7929, A0=>nx16147, A1=>nx16148, B0 =>nx8105, B1=>nx6453); ix16915 : buf04 port map ( Y=>nx16150, A=>nx16075); ix16916 : buf04 port map ( Y=>nx16151, A=>nx16075); ix16917 : inv02 port map ( Y=>nx16152, A=>C_MUX2_13_SEL); ix16918 : aoi22 port map ( Y=>nx16153, A0=>nx11703, A1=>nx16179, B0=> nx16217, B1=>C_MUX2_13_SEL); ix16919 : inv02 port map ( Y=>nx16154, A=>nx11185); ix16920 : inv02 port map ( Y=>nx16155, A=>nx11513); ix16921 : oai22 port map ( Y=>nx16157, A0=>nx16154, A1=>C_MUX2_13_SEL, B0 =>nx16179, B1=>nx16155); ix16922 : inv02 port map ( Y=>nx16158, A=>nx16213); ix16923 : aoi22 port map ( Y=>nx16159, A0=>nx10735, A1=>nx16179, B0=> C_MUX2_13_SEL, B1=>nx11009); ix16924 : and02 port map ( Y=>nx16160, A0=>nx16209, A1=>nx16159); nx11436_EXMPLR : oai22 port map ( Y=>nx11436, A0=>nx16159, A1=>nx16209, B0=>nx16160, B1=>nx9180); ix16925 : oai221 port map ( Y=>nx16161, A0=>nx16179, A1=>nx16155, B0=> nx16154, B1=>C_MUX2_13_SEL, C0=>nx16213); ix16926 : aoi22 port map ( Y=>nx16162, A0=>nx16157, A1=>nx16158, B0=> nx11436, B1=>nx16161); ix16927 : aoi21 port map ( Y=>nx16163, A0=>nx16219, A1=>nx16153, B0=> nx16162); ix16928 : nor02 port map ( Y=>nx16164, A0=>nx16153, A1=>nx16219); ix16929 : nor02 port map ( Y=>nx16165, A0=>nx16163, A1=>nx16164); nx12397_EXMPLR : inv01 port map ( Y=>nx12397, A=>nx16165); ix16930 : inv01 port map ( Y=>nx16166, A=>nx16165); PRI_OUT_9_11_EXMPLR_EXMPLR : oai22 port map ( Y=>PRI_OUT_9(11), A0=> C_MUX2_13_SEL, A1=>nx11703, B0=>nx16179, B1=>nx16217); ix16931 : inv02 port map ( Y=>nx16167, A=>nx16219); ix16932 : inv02 port map ( Y=>nx16168, A=>nx11703); ix16933 : inv02 port map ( Y=>nx16169, A=>nx16217); ix16934 : aoi22 port map ( Y=>nx16170, A0=>nx16181, A1=>nx16168, B0=> C_MUX2_13_SEL, B1=>nx16169); nx11913_EXMPLR : oai22 port map ( Y=>nx11913, A0=>nx16167, A1=>nx16170, B0=>nx16153, B1=>nx16219); nx11907_EXMPLR : inv01 port map ( Y=>nx11907, A=>nx16162); PRI_OUT_9_10_EXMPLR_EXMPLR : oai22 port map ( Y=>PRI_OUT_9(10), A0=> C_MUX2_13_SEL, A1=>nx11185, B0=>nx16181, B1=>nx11513); ix16935 : aoi22 port map ( Y=>nx16171, A0=>nx16181, A1=>nx16154, B0=> C_MUX2_13_SEL, B1=>nx16155); ix16936 : aoi22 port map ( Y=>nx16172, A0=>nx11185, A1=>nx16181, B0=> C_MUX2_13_SEL, B1=>nx11513); nx11443_EXMPLR : oai22 port map ( Y=>nx11443, A0=>nx16158, A1=>nx16171, B0=>nx16172, B1=>nx16213); PRI_OUT_9_9_EXMPLR_EXMPLR : oai22 port map ( Y=>PRI_OUT_9(9), A0=> C_MUX2_13_SEL, A1=>nx10735, B0=>nx16181, B1=>nx11009); ix16937 : inv02 port map ( Y=>nx16173, A=>nx16209); ix16938 : inv02 port map ( Y=>nx16175, A=>nx10735); ix16939 : inv02 port map ( Y=>nx16176, A=>nx11009); ix16940 : aoi22 port map ( Y=>nx16177, A0=>nx16181, A1=>nx16175, B0=> C_MUX2_13_SEL, B1=>nx16176); nx10941_EXMPLR : oai22 port map ( Y=>nx10941, A0=>nx16173, A1=>nx16177, B0=>nx16159, B1=>nx16209); ix16941 : buf04 port map ( Y=>nx16179, A=>nx16152); ix16942 : buf04 port map ( Y=>nx16181, A=>nx16152); ix16944 : inv01 port map ( Y=>nx16183, A=>reg_46_q_c_3); ix16946 : aoi21 port map ( Y=>nx16184, A0=>nx14772, A1=>reg_6_q_c_3, B0=> nx2956); ix16947 : nor02 port map ( Y=>nx16185, A0=>nx16183, A1=>nx16184); ix16948 : inv01 port map ( Y=>nx16186, A=>reg_46_q_c_2); ix16949 : aoi21 port map ( Y=>nx16187, A0=>nx14770, A1=>reg_6_q_c_2, B0=> nx1900); ix16950 : nor02 port map ( Y=>nx16188, A0=>nx16186, A1=>nx16187); ix16951 : oai32 port map ( Y=>nx16189, A0=>nx16185, A1=>nx16188, A2=> nx3214, B0=>nx16185, B1=>nx3322); ix16952 : inv01 port map ( Y=>nx16190, A=>nx16189); nx8629_EXMPLR : inv02 port map ( Y=>nx8629, A=>nx16190); ix16953 : inv01 port map ( Y=>nx16191, A=>nx16190); PRI_OUT_4_3_EXMPLR_EXMPLR : ao21 port map ( Y=>PRI_OUT_4_3_EXMPLR, A0=> nx14772, A1=>reg_6_q_c_3, B0=>nx2956); nx3216_EXMPLR : or02 port map ( Y=>nx3216, A0=>nx16188, A1=>nx3214); PRI_OUT_4_2_EXMPLR_EXMPLR : ao21 port map ( Y=>PRI_OUT_4_2_EXMPLR, A0=> nx14770, A1=>reg_6_q_c_2, B0=>nx1900); ix16954 : buf16 port map ( Y=>nx16193, A=>reg_7_q_c_0); ix16956 : buf16 port map ( Y=>nx16194, A=>reg_7_q_c_0); ix16957 : buf16 port map ( Y=>nx16195, A=>nx15534); ix16958 : buf16 port map ( Y=>nx16196, A=>nx15534); ix16960 : buf16 port map ( Y=>nx16197, A=>reg_10_q_c_1); ix16961 : buf16 port map ( Y=>nx16198, A=>reg_10_q_c_1); ix16962 : buf16 port map ( Y=>nx16199, A=>nx3572); ix16963 : buf16 port map ( Y=>nx16200, A=>nx3572); ix16964 : buf16 port map ( Y=>nx16201, A=>nx15633); ix16965 : buf16 port map ( Y=>nx16203, A=>nx15633); ix16966 : buf16 port map ( Y=>nx16204, A=>nx10037); ix16968 : buf16 port map ( Y=>nx16205, A=>nx10037); ix16969 : buf16 port map ( Y=>nx16206, A=>nx6486); ix16970 : buf16 port map ( Y=>nx16207, A=>nx6486); ix16971 : buf16 port map ( Y=>nx16208, A=>nx6496); ix16972 : buf16 port map ( Y=>nx16209, A=>nx6496); ix16973 : buf16 port map ( Y=>nx16211, A=>nx6504); ix16974 : buf16 port map ( Y=>nx16213, A=>nx6504); ix16975 : buf16 port map ( Y=>nx16214, A=>nx11396); ix16976 : buf16 port map ( Y=>nx16215, A=>nx11396); ix16978 : buf16 port map ( Y=>nx16216, A=>nx11981); ix16979 : buf16 port map ( Y=>nx16217, A=>nx11981); ix16980 : buf16 port map ( Y=>nx16218, A=>nx6511); ix16982 : buf16 port map ( Y=>nx16219, A=>nx6511); ix16984 : buf16 port map ( Y=>nx16220, A=>nx6519); ix16986 : buf16 port map ( Y=>nx16221, A=>nx6519); ix16987 : buf16 port map ( Y=>nx16222, A=>nx12951); ix16988 : buf16 port map ( Y=>nx16223, A=>nx12951); ix16989 : buf16 port map ( Y=>nx16225, A=>nx6527); ix16990 : buf16 port map ( Y=>nx16227, A=>nx6527); end CIRCUIT_arch ;