// // Verilog description for cell CIRCUIT, // 12/14/05 22:56:15 // // LeonardoSpectrum Level 3, 2004a.63 // module CIRCUIT ( PRI_IN_0, PRI_IN_1, PRI_IN_2, PRI_IN_3, PRI_IN_4, PRI_IN_5, PRI_IN_6, PRI_IN_7, PRI_IN_8, PRI_IN_9, PRI_OUT_0, PRI_OUT_1, PRI_OUT_2, PRI_OUT_3, PRI_OUT_4, PRI_OUT_5, PRI_OUT_6, PRI_OUT_7, PRI_OUT_8, PRI_OUT_9, C_MUX2_1_SEL, C_MUX2_2_SEL, C_MUX2_3_SEL, C_MUX2_4_SEL, C_MUX2_5_SEL, C_MUX2_6_SEL, C_MUX2_7_SEL, C_MUX2_8_SEL, C_MUX2_9_SEL, C_MUX2_10_SEL, C_MUX2_11_SEL, C_MUX2_12_SEL, C_MUX2_13_SEL, C_MUX2_14_SEL, C_MUX2_15_SEL, C_MUX2_16_SEL, C_MUX2_17_SEL, C_MUX2_18_SEL, C_MUX2_19_SEL, C_MUX2_20_SEL, C_MUX2_21_SEL, C_MUX2_22_SEL, C_MUX2_23_SEL, C_MUX2_24_SEL, C_MUX2_25_SEL, CLK ) ; input [15:0]PRI_IN_0 ; input [15:0]PRI_IN_1 ; input [15:0]PRI_IN_2 ; input [15:0]PRI_IN_3 ; input [15:0]PRI_IN_4 ; input [15:0]PRI_IN_5 ; input [15:0]PRI_IN_6 ; input [15:0]PRI_IN_7 ; input [15:0]PRI_IN_8 ; input [15:0]PRI_IN_9 ; output [15:0]PRI_OUT_0 ; output [15:0]PRI_OUT_1 ; output [15:0]PRI_OUT_2 ; output [15:0]PRI_OUT_3 ; output [15:0]PRI_OUT_4 ; output [15:0]PRI_OUT_5 ; output [15:0]PRI_OUT_6 ; output [15:0]PRI_OUT_7 ; output [15:0]PRI_OUT_8 ; output [15:0]PRI_OUT_9 ; input C_MUX2_1_SEL ; input C_MUX2_2_SEL ; input C_MUX2_3_SEL ; input C_MUX2_4_SEL ; input C_MUX2_5_SEL ; input C_MUX2_6_SEL ; input C_MUX2_7_SEL ; input C_MUX2_8_SEL ; input C_MUX2_9_SEL ; input C_MUX2_10_SEL ; input C_MUX2_11_SEL ; input C_MUX2_12_SEL ; input C_MUX2_13_SEL ; input C_MUX2_14_SEL ; input C_MUX2_15_SEL ; input C_MUX2_16_SEL ; input C_MUX2_17_SEL ; input C_MUX2_18_SEL ; input C_MUX2_19_SEL ; input C_MUX2_20_SEL ; input C_MUX2_21_SEL ; input C_MUX2_22_SEL ; input C_MUX2_23_SEL ; input C_MUX2_24_SEL ; input C_MUX2_25_SEL ; input CLK ; wire PRI_OUT_8_dup0_1_, reg_17_q_c_0_, reg_29_q_c_0_, reg_20_q_c_0_, reg_28_q_c_0_, reg_5_q_c_0_, reg_34_q_c_0_, reg_18_q_c_0_, reg_42_q_c_0_, reg_40_q_c_0_, reg_41_q_c_0_, reg_13_q_c_0_, reg_25_q_c_0_, reg_37_q_c_0_, reg_44_q_c_0_, reg_10_q_c_0_, reg_11_q_c_0_, reg_45_q_c_0_, reg_27_q_c_0_, reg_31_q_c_0_, reg_38_q_c_0_, reg_22_q_c_0_, reg_19_q_c_0_, nx14, reg_14_q_c_0_, reg_30_q_c_0_, nx22, nx30, nx48, nx66, nx6409, nx120, nx134, reg_16_q_c_0_, nx148, nx156, nx170, reg_36_q_c_0_, nx182, reg_35_q_c_0_, nx6412, nx196, nx208, nx220, nx226, nx264, nx282, nx296, nx308, nx316, nx326, nx334, reg_47_q_c_0_, nx348, nx356, nx378, nx392, nx406, nx414, nx420, nx430, nx438, nx452, nx466, nx482, nx488, nx498, nx506, nx532, nx546, nx558, nx564, nx578, reg_43_q_c_0_, nx588, nx596, nx610, nx620, nx628, nx638, nx646, nx660, nx668, nx674, nx684, nx692, nx706, nx716, nx724, nx734, nx742, nx752, nx760, nx786, nx796, nx804, nx820, nx826, reg_39_q_c_0_, nx836, reg_48_q_c_0_, reg_46_q_c_0_, nx864, nx872, nx886, nx908, nx922, nx936, nx940, nx948, nx958, nx966, reg_17_q_c_1_, reg_29_q_c_1_, reg_20_q_c_1_, reg_28_q_c_1_, reg_5_q_c_1_, reg_34_q_c_1_, reg_18_q_c_1_, reg_42_q_c_1_, reg_40_q_c_1_, reg_32_q_c_1_, reg_41_q_c_1_, reg_13_q_c_1_, reg_25_q_c_1_, reg_37_q_c_1_, reg_44_q_c_1_, reg_10_q_c_1_, reg_11_q_c_1_, reg_45_q_c_1_, reg_27_q_c_1_, reg_31_q_c_1_, reg_38_q_c_1_, reg_22_q_c_1_, reg_12_q_c_1_, reg_19_q_c_1_, reg_14_q_c_1_, reg_30_q_c_1_, nx994, nx996, nx1006, nx1014, nx1016, nx1058, nx1062, nx1070, nx1072, reg_16_q_c_1_, nx1084, nx1086, nx1100, reg_36_q_c_1_, nx1104, reg_35_q_c_1_, nx6425, nx1118, nx1126, nx1138, nx1140, nx1170, nx1178, nx1180, nx1204, nx1206, nx1214, nx1216, reg_47_q_c_1_, nx1228, nx1230, nx1244, nx1252, nx1254, nx1264, nx1272, nx1274, nx1282, nx1284, nx1292, nx1294, nx1304, nx1318, nx1320, nx1322, nx1330, nx1332, nx1352, nx1354, nx1362, nx1364, nx1376, nx1378, nx1386, nx1388, reg_43_q_c_1_, nx1396, nx1398, nx1408, nx1416, nx1418, nx1426, nx1428, nx1438, nx1446, nx1448, nx1456, nx1458, nx1466, nx1468, nx1476, nx1478, nx1486, nx1488, nx1496, nx1498, nx1516, nx1524, nx1526, nx1540, nx1542, nx1544, reg_39_q_c_1_, nx1552, reg_48_q_c_1_, nx1560, reg_46_q_c_1_, nx1572, nx1574, nx1582, nx1584, nx1602, nx1610, nx1612, nx1626, nx1628, nx1634, nx1642, nx1644, reg_33_q_c_2_, reg_17_q_c_2_, reg_29_q_c_2_, nx1688, reg_20_q_c_2_, reg_28_q_c_2_, reg_5_q_c_2_, reg_18_q_c_2_, reg_7_q_c_2_, nx1752, reg_40_q_c_2_, reg_32_q_c_2_, reg_13_q_c_2_, reg_25_q_c_2_, nx1822, reg_37_q_c_2_, reg_44_q_c_2_, reg_10_q_c_2_, reg_11_q_c_2_, reg_45_q_c_2_, reg_27_q_c_2_, reg_31_q_c_2_, reg_38_q_c_2_, nx1894, reg_22_q_c_2_, nx1916, reg_19_q_c_2_, nx1938, reg_14_q_c_2_, nx1960, reg_30_q_c_2_, nx1982, nx1984, nx1994, nx2002, nx2004, nx2040, nx2048, nx2050, reg_16_q_c_2_, nx6433, nx2082, nx2084, nx2098, reg_36_q_c_2_, nx2112, reg_35_q_c_2_, nx2130, nx2134, nx2140, nx2142, nx2152, nx2154, nx2156, nx2186, nx2194, nx2196, nx2210, nx2220, nx2222, nx2230, nx2232, nx2248, nx6437, nx2264, nx2266, nx2280, nx2290, nx2298, nx2300, nx2310, nx2318, nx2320, nx2330, nx2338, nx2340, nx2356, nx2358, nx2366, nx2368, nx2406, nx2416, nx2430, nx2440, reg_43_q_c_2_, nx2458, nx2460, nx2470, nx2478, nx2480, nx2488, nx2490, nx2498, nx2500, nx2510, nx2518, nx2520, nx2530, nx2538, nx2540, nx2548, nx2550, nx2558, nx2560, nx2578, nx2586, nx2588, nx2604, nx2606, reg_39_q_c_2_, nx2622, nx2628, nx2644, reg_48_q_c_2_, nx2672, nx2692, nx2694, nx2704, nx2714, nx2722, nx2730, nx2732, nx2748, nx2750, nx2754, nx2762, nx2764, nx2780, nx2788, reg_17_q_c_3_, nx2798, reg_29_q_c_3_, reg_20_q_c_3_, nx2818, reg_28_q_c_3_, nx2828, reg_5_q_c_3_, nx2838, reg_34_q_c_3_, nx2846, reg_18_q_c_3_, nx2856, nx2864, reg_42_q_c_3_, reg_40_q_c_3_, nx2882, nx2892, reg_32_q_c_3_, nx2900, nx2908, reg_41_q_c_3_, nx2916, reg_13_q_c_3_, nx2926, nx2934, reg_25_q_c_3_, reg_37_q_c_3_, reg_44_q_c_3_, nx2960, reg_10_q_c_3_, nx2968, reg_11_q_c_3_, reg_45_q_c_3_, nx2984, reg_27_q_c_3_, nx2994, reg_31_q_c_3_, nx3004, reg_38_q_c_3_, reg_22_q_c_3_, nx3048, reg_19_q_c_3_, nx3070, reg_14_q_c_3_, reg_30_q_c_3_, nx3100, nx3102, nx3104, nx3114, nx3122, nx3124, nx3134, nx3140, nx3166, nx3170, nx3178, reg_16_q_c_3_, nx3200, nx6443, nx3202, nx3204, nx3218, reg_36_q_c_3_, nx3228, nx6444, nx3232, reg_35_q_c_3_, nx6445, nx3254, nx3262, nx3274, nx3276, nx3306, nx3314, nx3316, nx3340, nx3342, nx3350, nx3352, reg_47_q_c_3_, nx3382, nx3384, nx3386, nx3400, nx3408, nx3410, nx3420, nx3428, nx3430, nx3438, nx3440, nx3448, nx3450, nx3460, nx3474, nx3476, nx3478, nx3486, nx3488, nx3514, nx3522, nx3524, nx3526, nx3534, nx3536, nx3548, nx3550, nx3558, nx3560, reg_43_q_c_3_, nx3576, nx3578, nx3580, nx3588, nx3590, nx3598, nx3600, nx3608, nx3610, nx3620, nx3628, nx3630, nx3638, nx3640, nx3648, nx3650, nx3658, nx3660, nx3668, nx3670, nx3678, nx3680, nx3698, nx3706, nx3708, nx3722, nx3724, nx3726, reg_39_q_c_3_, nx3740, nx3742, nx3756, reg_48_q_c_3_, nx3772, nx3774, nx3780, nx3786, nx3788, nx3792, nx3800, reg_46_q_c_3_, nx3810, nx3812, nx3814, nx3822, nx3824, nx3834, nx3836, nx3838, nx3842, nx3850, nx3852, nx3866, nx3868, nx3874, nx3882, nx3884, reg_33_q_c_4_, reg_17_q_c_4_, reg_29_q_c_4_, nx3928, reg_20_q_c_4_, reg_28_q_c_4_, reg_5_q_c_4_, reg_18_q_c_4_, reg_7_q_c_4_, nx3992, reg_40_q_c_4_, reg_32_q_c_4_, reg_13_q_c_4_, reg_25_q_c_4_, nx4062, reg_37_q_c_4_, reg_44_q_c_4_, reg_10_q_c_4_, reg_11_q_c_4_, nx4096, reg_45_q_c_4_, reg_27_q_c_4_, reg_31_q_c_4_, reg_38_q_c_4_, nx4134, reg_22_q_c_4_, nx4144, nx4156, reg_12_q_c_4_, reg_19_q_c_4_, nx4178, nx4190, reg_14_q_c_4_, nx4200, reg_30_q_c_4_, nx4222, nx4224, nx4234, nx4242, nx4244, nx4254, nx4260, nx4262, nx4280, nx4288, nx4290, nx4300, reg_16_q_c_4_, nx6453, nx4322, nx4324, nx4338, reg_36_q_c_4_, nx4352, reg_35_q_c_4_, nx4370, nx4374, nx4380, nx4382, nx4392, nx4394, nx4396, nx4424, nx4426, nx4434, nx4436, nx4450, nx4460, nx4462, nx4470, nx4472, nx4488, nx6457, nx4504, nx4506, nx4520, nx4530, nx4538, nx4540, nx4550, nx4558, nx4560, nx4570, nx4578, nx4580, nx4596, nx4598, nx4606, nx4608, nx4626, reg_8_q_c_4_, nx4646, nx4656, nx4670, nx4680, reg_43_q_c_4_, nx4698, nx4700, nx4710, nx4718, nx4720, nx4728, nx4730, nx4738, nx4740, nx4750, nx4758, nx4760, nx4770, nx4778, nx4780, nx4788, nx4790, nx4798, nx4800, nx4818, nx4826, nx4828, nx4844, nx4846, reg_39_q_c_4_, nx4862, nx4868, nx4884, reg_48_q_c_4_, nx4912, nx4932, nx4934, nx4944, nx4954, nx4962, nx4970, nx4972, nx4988, nx4990, nx4994, nx5002, nx5004, nx5020, nx5028, reg_17_q_c_5_, nx5038, reg_29_q_c_5_, reg_20_q_c_5_, nx5058, reg_28_q_c_5_, nx5068, reg_5_q_c_5_, nx5078, reg_34_q_c_5_, nx5086, reg_18_q_c_5_, nx5096, nx5104, reg_42_q_c_5_, reg_40_q_c_5_, nx5122, nx5132, reg_32_q_c_5_, nx5138, nx5140, nx5148, reg_41_q_c_5_, nx5156, reg_13_q_c_5_, nx5166, nx5174, reg_25_q_c_5_, reg_37_q_c_5_, nx5188, nx5190, reg_44_q_c_5_, nx5200, reg_10_q_c_5_, nx5208, reg_11_q_c_5_, reg_45_q_c_5_, nx5224, reg_27_q_c_5_, nx5234, reg_31_q_c_5_, nx5244, reg_38_q_c_5_, reg_22_q_c_5_, nx5288, reg_19_q_c_5_, nx5310, reg_14_q_c_5_, nx5328, nx5330, reg_30_q_c_5_, nx5340, nx5342, nx5344, nx5352, nx5354, nx5362, nx5364, nx5374, nx5380, nx5406, nx5410, nx5418, reg_16_q_c_5_, nx5440, nx6465, nx5442, nx5444, nx5458, reg_36_q_c_5_, nx5468, nx6466, nx5472, reg_35_q_c_5_, nx6467, nx5494, nx5502, nx5514, nx5516, nx5546, nx5554, nx5556, nx5580, nx5582, nx5590, nx5592, reg_47_q_c_5_, nx5622, nx5624, nx5626, nx5640, nx5648, nx5650, nx5660, nx5668, nx5670, nx5678, nx5680, nx5690, nx5700, nx5714, nx5716, nx5718, nx5726, nx5728, nx5754, nx5762, nx5764, nx5766, nx5774, nx5776, nx5788, nx5790, nx5798, nx5800, reg_43_q_c_5_, nx5816, nx5818, nx5820, nx5828, nx5830, nx5838, nx5840, nx5848, nx5850, nx5860, nx5868, nx5870, nx5878, nx5880, nx5888, nx5890, nx5898, nx5900, nx5908, nx5910, nx5918, nx5920, nx5938, nx5946, nx5948, nx5962, nx5964, nx5966, reg_39_q_c_5_, nx5980, nx5982, nx5996, reg_48_q_c_5_, nx6012, nx6014, nx6020, nx6028, nx6032, nx6040, reg_46_q_c_5_, nx6050, nx6052, nx6054, nx6062, nx6064, nx6074, nx6076, nx6078, nx6082, nx6090, nx6092, nx6106, nx6108, nx6114, nx6122, nx6124, reg_33_q_c_6_, reg_17_q_c_6_, reg_29_q_c_6_, nx6168, reg_20_q_c_6_, reg_28_q_c_6_, reg_5_q_c_6_, reg_18_q_c_6_, reg_7_q_c_6_, nx6232, reg_40_q_c_6_, reg_32_q_c_6_, reg_13_q_c_6_, reg_25_q_c_6_, nx6302, reg_37_q_c_6_, reg_44_q_c_6_, reg_10_q_c_6_, reg_11_q_c_6_, nx6336, reg_45_q_c_6_, reg_27_q_c_6_, reg_31_q_c_6_, reg_38_q_c_6_, nx6374, reg_22_q_c_6_, nx6384, nx6396, reg_12_q_c_6_, reg_19_q_c_6_, nx6418, nx6430, reg_14_q_c_6_, nx6440, reg_30_q_c_6_, nx6462, nx6464, nx6474, nx6482, nx6484, nx6494, nx6500, nx6502, nx6520, nx6528, nx6530, nx6540, reg_16_q_c_6_, nx6473, nx6562, nx6564, nx6578, reg_36_q_c_6_, nx6592, reg_35_q_c_6_, nx6610, nx6614, nx6620, nx6622, nx6632, nx6634, nx6636, nx6664, nx6666, nx6674, nx6676, nx6690, nx6700, nx6702, nx6710, nx6712, nx6728, nx6477, nx6744, nx6746, nx6760, nx6770, nx6778, nx6780, nx6790, nx6798, nx6800, nx6810, nx6818, nx6820, nx6836, nx6838, nx6846, nx6848, nx6866, reg_8_q_c_6_, nx6886, nx6896, nx6910, nx6920, reg_43_q_c_6_, nx6938, nx6940, nx6950, nx6958, nx6960, nx6968, nx6970, nx6978, nx6980, nx6990, nx6998, nx7000, nx7010, nx7018, nx7020, nx7028, nx7030, nx7038, nx7040, nx7058, nx7066, nx7068, nx7084, nx7086, reg_39_q_c_6_, nx7102, nx7108, nx7124, reg_48_q_c_6_, nx7152, nx7172, nx7174, nx7184, nx7194, nx7202, nx7210, nx7212, nx7228, nx7230, nx7234, nx7242, nx7244, nx7260, nx7268, reg_17_q_c_7_, nx7278, reg_29_q_c_7_, reg_20_q_c_7_, nx7298, reg_28_q_c_7_, nx7308, reg_5_q_c_7_, nx7318, reg_34_q_c_7_, nx7326, reg_18_q_c_7_, nx7336, nx7344, reg_42_q_c_7_, reg_40_q_c_7_, nx7362, nx7372, reg_32_q_c_7_, nx7378, nx7380, nx7388, reg_41_q_c_7_, nx7396, reg_13_q_c_7_, nx7406, nx7414, reg_25_q_c_7_, reg_37_q_c_7_, reg_44_q_c_7_, nx7440, reg_10_q_c_7_, nx7448, reg_11_q_c_7_, reg_45_q_c_7_, nx7464, reg_27_q_c_7_, nx7474, reg_31_q_c_7_, nx7484, reg_38_q_c_7_, reg_22_q_c_7_, nx7528, reg_19_q_c_7_, nx7550, reg_14_q_c_7_, reg_30_q_c_7_, nx7580, nx7582, nx7584, nx7594, nx7602, nx7604, nx7614, nx7620, nx7622, nx7640, nx7646, nx7650, nx7658, reg_16_q_c_7_, nx7680, nx6481, nx7682, nx7684, nx7698, reg_36_q_c_7_, nx7708, nx6483, nx7712, reg_35_q_c_7_, nx6485, nx7734, nx7742, nx7754, nx7756, nx7786, nx7794, nx7796, nx7820, nx7822, nx7830, nx7832, reg_47_q_c_7_, nx7852, reg_15_q_c_7_, nx7862, nx6486, nx7864, nx7866, nx7876, nx7880, nx7888, nx7890, nx7900, nx7908, nx7910, nx7918, nx7920, nx7930, nx7940, nx7954, nx7956, nx7958, nx7966, nx7968, nx7986, reg_8_q_c_7_, nx7994, nx8002, nx8004, nx8006, nx8014, nx8016, nx8028, nx8030, nx8038, nx8040, reg_43_q_c_7_, nx8056, nx8058, nx8060, nx8068, nx8070, nx8078, nx8080, nx8088, nx8090, nx8100, nx8108, nx8110, nx8118, nx8120, nx8128, nx8130, nx8138, nx8140, nx8148, nx8150, nx8158, nx8160, nx8178, nx8186, nx8188, nx8202, nx8204, nx8206, reg_39_q_c_7_, nx8220, nx8222, nx8236, reg_48_q_c_7_, nx8252, nx8254, nx8260, nx8268, nx8272, nx8280, reg_46_q_c_7_, nx8290, nx8292, nx8294, nx8302, nx8304, nx8314, nx8316, nx8318, nx8322, nx8330, nx8332, nx8346, nx8348, nx8354, nx8362, nx8364, reg_33_q_c_8_, reg_17_q_c_8_, reg_29_q_c_8_, nx8408, reg_20_q_c_8_, reg_28_q_c_8_, reg_5_q_c_8_, reg_18_q_c_8_, reg_7_q_c_8_, nx8472, reg_40_q_c_8_, reg_32_q_c_8_, reg_13_q_c_8_, reg_25_q_c_8_, nx8542, reg_37_q_c_8_, reg_44_q_c_8_, reg_10_q_c_8_, reg_11_q_c_8_, nx8576, reg_45_q_c_8_, reg_27_q_c_8_, reg_31_q_c_8_, reg_38_q_c_8_, nx8614, reg_22_q_c_8_, nx8624, nx8636, reg_12_q_c_8_, reg_19_q_c_8_, nx8658, nx8670, reg_14_q_c_8_, nx8680, reg_30_q_c_8_, nx8702, nx8704, nx8714, nx8722, nx8724, nx8734, nx8740, nx8742, nx8760, nx8768, nx8770, nx8780, reg_16_q_c_8_, nx6490, nx8802, nx8804, nx8818, reg_36_q_c_8_, nx8832, reg_35_q_c_8_, nx8850, nx8854, nx8860, nx8862, nx8872, nx8874, nx8876, nx8904, nx8906, nx8914, nx8916, nx8930, nx8940, nx8942, nx8950, nx8952, nx8968, nx8972, reg_15_q_c_8_, nx6495, nx8984, nx8986, nx8996, nx9000, nx9010, nx9018, nx9020, nx9030, nx9038, nx9040, nx9050, nx9058, nx9060, nx9076, nx9078, nx9086, nx9088, nx9106, reg_8_q_c_8_, nx9126, nx9136, nx9150, nx9160, reg_43_q_c_8_, nx9178, nx9180, nx9190, nx9198, nx9200, nx9208, nx9210, nx9218, nx9220, nx9230, nx9238, nx9240, nx9250, nx9258, nx9260, nx9268, nx9270, nx9278, nx9280, nx9298, nx9306, nx9308, nx9324, nx9326, reg_39_q_c_8_, nx9342, nx9348, nx9364, reg_48_q_c_8_, nx9392, nx9412, nx9414, nx9424, nx9434, nx9442, nx9450, nx9452, nx9468, nx9470, nx9474, nx9482, nx9484, nx9500, nx9508, reg_17_q_c_9_, nx9518, reg_29_q_c_9_, reg_20_q_c_9_, nx9538, reg_28_q_c_9_, nx9548, reg_5_q_c_9_, nx9558, reg_34_q_c_9_, nx9566, reg_18_q_c_9_, nx9576, nx9584, reg_42_q_c_9_, reg_40_q_c_9_, nx9602, nx9612, reg_32_q_c_9_, nx9618, nx9620, nx9628, reg_41_q_c_9_, nx9636, reg_13_q_c_9_, nx9646, nx9654, reg_25_q_c_9_, reg_37_q_c_9_, nx9670, reg_44_q_c_9_, nx9680, reg_10_q_c_9_, nx9688, reg_11_q_c_9_, reg_45_q_c_9_, nx9704, reg_27_q_c_9_, nx9714, reg_31_q_c_9_, nx9724, reg_38_q_c_9_, reg_22_q_c_9_, reg_12_q_c_9_, nx9768, reg_19_q_c_9_, nx9790, reg_14_q_c_9_, reg_30_q_c_9_, nx9820, nx9822, nx9824, nx9834, nx9842, nx9844, nx9854, nx9860, nx9862, nx9880, nx9886, nx9890, nx9898, nx9900, reg_16_q_c_9_, nx9920, nx6503, nx9922, nx9924, nx9938, reg_36_q_c_9_, nx9948, nx6505, nx9952, reg_35_q_c_9_, nx6507, nx9974, nx9982, nx9994, nx9996, nx10026, nx10034, nx10036, nx10060, nx10062, nx10070, nx10072, reg_47_q_c_9_, nx10092, reg_15_q_c_9_, nx10102, nx6509, nx10104, nx10106, nx10116, nx10120, nx10128, nx10130, nx10140, nx10148, nx10150, nx10158, nx10160, nx10168, nx10170, nx10180, nx10194, nx10196, nx10198, nx10206, nx10208, nx10226, reg_8_q_c_9_, nx10234, nx10242, nx10244, nx10246, nx10254, nx10256, nx10268, nx10270, nx10278, nx10280, reg_43_q_c_9_, nx10296, nx10298, nx10300, nx10308, nx10310, nx10318, nx10320, nx10328, nx10330, nx10340, nx10348, nx10350, nx10358, nx10360, nx10368, nx10370, nx10378, nx10380, nx10388, nx10390, nx10398, nx10400, nx10418, nx10426, nx10428, nx10442, nx10444, nx10446, reg_39_q_c_9_, nx10460, nx10462, nx10476, reg_48_q_c_9_, nx10492, nx10494, nx10500, nx10512, nx10520, reg_46_q_c_9_, nx10530, nx10532, nx10534, nx10542, nx10544, nx10554, nx10556, nx10558, nx10562, nx10570, nx10572, nx10586, nx10588, nx10594, nx10602, nx10604, reg_33_q_c_10_, reg_17_q_c_10_, reg_29_q_c_10_, nx10648, reg_20_q_c_10_, reg_28_q_c_10_, reg_5_q_c_10_, reg_18_q_c_10_, reg_7_q_c_10_, nx10712, reg_40_q_c_10_, reg_32_q_c_10_, reg_13_q_c_10_, reg_25_q_c_10_, nx10782, reg_37_q_c_10_, reg_44_q_c_10_, reg_10_q_c_10_, reg_11_q_c_10_, nx10816, reg_45_q_c_10_, reg_27_q_c_10_, reg_31_q_c_10_, reg_38_q_c_10_, nx10854, reg_22_q_c_10_, nx10864, nx10876, reg_12_q_c_10_, reg_19_q_c_10_, nx10898, nx10910, reg_14_q_c_10_, nx10920, reg_30_q_c_10_, nx10942, nx10944, nx10954, nx10962, nx10964, nx10974, nx10980, nx10982, nx11000, nx11008, nx11010, nx11020, reg_16_q_c_10_, nx6517, nx11042, nx11044, nx11058, reg_36_q_c_10_, nx11072, reg_35_q_c_10_, nx11090, nx11094, nx11100, nx11102, nx11112, nx11114, nx11116, nx11144, nx11146, nx11154, nx11156, nx11170, nx11180, nx11182, nx11190, nx11192, nx11208, nx11212, reg_15_q_c_10_, nx6523, nx11224, nx11226, nx11236, nx11240, nx11250, nx11258, nx11260, nx11270, nx11278, nx11280, nx11290, nx11298, nx11300, nx11316, nx11318, nx11326, nx11328, nx11346, reg_8_q_c_10_, nx11366, nx11376, nx11390, nx11400, reg_43_q_c_10_, nx11418, nx11420, nx11430, nx11438, nx11440, nx11448, nx11450, nx11458, nx11460, nx11470, nx11478, nx11480, nx11490, nx11498, nx11500, nx11508, nx11510, nx11518, nx11520, nx11538, nx11546, nx11548, nx11564, nx11566, reg_39_q_c_10_, nx11582, nx11588, nx11604, reg_48_q_c_10_, nx11632, nx11652, nx11654, nx11664, nx11674, nx11682, nx11690, nx11692, nx11708, nx11710, nx11714, nx11722, nx11724, nx11740, nx11748, reg_17_q_c_11_, nx11758, reg_29_q_c_11_, reg_20_q_c_11_, nx11778, reg_28_q_c_11_, nx11788, reg_5_q_c_11_, nx11798, reg_34_q_c_11_, nx11806, reg_18_q_c_11_, nx11816, nx11824, reg_42_q_c_11_, reg_40_q_c_11_, nx11842, nx11852, reg_32_q_c_11_, nx11858, nx11860, nx11868, reg_41_q_c_11_, nx11876, reg_13_q_c_11_, nx11886, nx11894, reg_25_q_c_11_, reg_37_q_c_11_, reg_44_q_c_11_, nx11920, reg_10_q_c_11_, nx11928, reg_11_q_c_11_, reg_45_q_c_11_, nx11944, reg_27_q_c_11_, nx11954, reg_31_q_c_11_, nx11964, reg_38_q_c_11_, reg_22_q_c_11_, reg_12_q_c_11_, nx12008, reg_19_q_c_11_, nx12030, reg_14_q_c_11_, reg_30_q_c_11_, nx12060, nx12062, nx12064, nx12074, nx12082, nx12084, nx12094, nx12100, nx12102, nx12120, nx12126, nx12130, nx12138, nx12140, reg_16_q_c_11_, nx12160, nx6531, nx12162, nx12164, nx12178, reg_36_q_c_11_, nx12188, nx6532, nx12192, reg_35_q_c_11_, nx6533, nx12214, nx12222, nx12234, nx12236, nx12266, nx12274, nx12276, nx12300, nx12302, nx12310, nx12312, reg_47_q_c_11_, nx12332, reg_15_q_c_11_, nx12342, nx6534, nx12344, nx12346, nx12356, nx12360, nx12368, nx12370, nx12380, nx12388, nx12390, nx12398, nx12400, nx12410, nx12420, nx12434, nx12436, nx12438, nx12446, nx12448, nx12466, reg_8_q_c_11_, nx12474, nx12482, nx12484, nx12486, nx12494, nx12496, nx12508, nx12510, nx12518, nx12520, reg_43_q_c_11_, nx12536, nx12538, nx12540, nx12548, nx12550, nx12558, nx12560, nx12568, nx12570, nx12580, nx12588, nx12590, nx12598, nx12600, nx12608, nx12610, nx12618, nx12620, nx12628, nx12630, nx12638, nx12640, nx12658, nx12666, nx12668, nx12682, nx12684, nx12686, reg_39_q_c_11_, nx12700, nx12702, nx12716, reg_48_q_c_11_, nx12732, nx12734, nx12740, nx12752, nx12760, reg_46_q_c_11_, nx12770, nx12772, nx12774, nx12782, nx12784, nx12794, nx12796, nx12798, nx12802, nx12810, nx12812, nx12826, nx12828, nx12834, nx12842, nx12844, reg_33_q_c_12_, reg_17_q_c_12_, reg_29_q_c_12_, nx12888, reg_20_q_c_12_, reg_28_q_c_12_, reg_5_q_c_12_, reg_18_q_c_12_, reg_7_q_c_12_, nx12952, reg_40_q_c_12_, reg_32_q_c_12_, reg_13_q_c_12_, reg_25_q_c_12_, nx13022, reg_37_q_c_12_, reg_44_q_c_12_, reg_10_q_c_12_, reg_11_q_c_12_, nx13056, reg_45_q_c_12_, reg_27_q_c_12_, reg_31_q_c_12_, reg_38_q_c_12_, nx13094, reg_22_q_c_12_, nx13104, nx13116, reg_12_q_c_12_, reg_19_q_c_12_, nx13150, reg_14_q_c_12_, nx13160, reg_30_q_c_12_, nx13182, nx13184, nx13194, nx13202, nx13204, nx13214, nx13220, nx13222, nx13240, nx13248, nx13250, nx13260, reg_16_q_c_12_, nx6539, nx13282, nx13284, nx13298, reg_36_q_c_12_, nx13312, reg_35_q_c_12_, nx13330, nx13334, nx13340, nx13342, nx13352, nx13354, nx13356, nx13384, nx13386, nx13394, nx13396, nx13410, nx13420, nx13422, nx13430, nx13432, nx13448, nx13452, reg_15_q_c_12_, nx6543, nx13464, nx13466, nx13476, nx13480, nx13490, nx13498, nx13500, nx13510, nx13518, nx13520, nx13530, nx13538, nx13540, nx13556, nx13558, nx13566, nx13568, nx13586, reg_8_q_c_12_, nx13606, nx13616, nx13630, nx13640, reg_43_q_c_12_, nx13658, nx13660, nx13670, nx13678, nx13680, nx13688, nx13690, nx13698, nx13700, nx13710, nx13718, nx13720, nx13730, nx13738, nx13740, nx13748, nx13750, nx13758, nx13760, nx13778, nx13786, nx13788, nx13804, nx13806, reg_39_q_c_12_, nx13822, nx13828, nx13844, reg_48_q_c_12_, nx13872, nx13892, nx13894, nx13904, nx13914, nx13922, nx13930, nx13932, nx13948, nx13950, nx13954, nx13962, nx13964, nx13980, nx13988, reg_17_q_c_13_, nx13998, reg_29_q_c_13_, reg_20_q_c_13_, nx14018, reg_28_q_c_13_, nx14028, reg_5_q_c_13_, nx14038, reg_34_q_c_13_, nx14046, reg_18_q_c_13_, nx14056, nx14064, reg_42_q_c_13_, reg_40_q_c_13_, nx14082, nx14092, reg_32_q_c_13_, nx14098, nx14108, reg_41_q_c_13_, nx14116, reg_13_q_c_13_, nx14126, nx14134, reg_25_q_c_13_, reg_37_q_c_13_, reg_44_q_c_13_, nx14160, reg_10_q_c_13_, nx14168, reg_11_q_c_13_, reg_45_q_c_13_, nx14184, reg_27_q_c_13_, nx14194, reg_31_q_c_13_, nx14204, reg_38_q_c_13_, reg_22_q_c_13_, reg_12_q_c_13_, nx14248, reg_19_q_c_13_, nx14270, reg_14_q_c_13_, reg_30_q_c_13_, nx14300, nx14302, nx14304, nx14314, nx14322, nx14324, nx14334, nx14340, nx14342, nx14360, nx14366, nx14370, nx14378, nx14380, reg_16_q_c_13_, nx14400, nx6547, nx14402, nx14404, nx14418, reg_36_q_c_13_, nx14428, nx6549, nx14432, reg_35_q_c_13_, nx6551, nx14454, nx14462, nx14474, nx14476, nx14506, nx14514, nx14516, nx14540, nx14542, nx14550, nx14552, reg_47_q_c_13_, nx14572, reg_15_q_c_13_, nx14582, nx6552, nx14584, nx14586, nx14596, nx14600, nx14608, nx14610, nx14620, nx14628, nx14630, nx14638, nx14640, nx14650, nx14660, nx14674, nx14676, nx14678, nx14686, nx14688, nx14706, reg_8_q_c_13_, nx14714, nx14722, nx14724, nx14726, nx14734, nx14736, nx14748, nx14750, nx14758, nx14760, reg_43_q_c_13_, nx14778, nx14780, nx14790, nx14798, nx14800, nx14808, nx14810, nx14820, nx14828, nx14830, nx14838, nx14840, nx14848, nx14850, nx14858, nx14860, nx14868, nx14870, nx14878, nx14880, nx14898, nx14906, nx14908, nx14922, nx14924, nx14926, reg_39_q_c_13_, nx14942, nx14956, reg_48_q_c_13_, nx14972, nx14974, nx14980, nx14992, nx15000, reg_46_q_c_13_, nx15010, nx15012, nx15014, nx15022, nx15024, nx15034, nx15036, nx15038, nx15042, nx15050, nx15052, nx15066, nx15068, nx15074, nx15082, nx15084, reg_33_q_c_14_, reg_17_q_c_14_, reg_29_q_c_14_, nx15128, reg_20_q_c_14_, reg_28_q_c_14_, reg_5_q_c_14_, reg_18_q_c_14_, reg_7_q_c_14_, reg_40_q_c_14_, reg_32_q_c_14_, reg_13_q_c_14_, reg_25_q_c_14_, nx15262, reg_37_q_c_14_, reg_44_q_c_14_, reg_10_q_c_14_, reg_11_q_c_14_, nx15296, reg_45_q_c_14_, reg_27_q_c_14_, reg_31_q_c_14_, reg_38_q_c_14_, nx15334, reg_22_q_c_14_, nx15344, nx15356, reg_12_q_c_14_, reg_19_q_c_14_, nx15390, reg_14_q_c_14_, nx15400, reg_30_q_c_14_, nx15422, nx15424, nx15434, nx15442, nx15444, nx15454, nx15460, nx15462, nx15480, nx15488, nx15490, nx15500, reg_16_q_c_14_, nx6557, nx15522, nx15524, nx15538, reg_36_q_c_14_, nx15552, reg_35_q_c_14_, nx15574, nx15580, nx15582, nx15592, nx15594, nx15596, nx15624, nx15626, nx15634, nx15636, nx15650, nx15660, nx15662, nx15670, nx15672, nx15688, nx15692, reg_15_q_c_14_, nx6563, nx15704, nx15706, nx15716, nx15720, nx15730, nx15738, nx15740, nx15750, nx15758, nx15760, nx15778, nx15780, nx15796, nx15798, nx15806, nx15808, nx15826, reg_8_q_c_14_, nx15846, nx15856, nx15870, nx15880, reg_43_q_c_14_, nx15898, nx15900, nx15910, nx15918, nx15920, nx15928, nx15930, nx15938, nx15940, nx15950, nx15958, nx15960, nx15970, nx15978, nx15980, nx15988, nx15990, nx15998, nx16000, nx16018, nx16026, nx16028, nx16044, nx16046, reg_39_q_c_14_, nx16062, nx16084, reg_48_q_c_14_, nx16112, nx16132, nx16134, nx16144, nx16162, nx16170, nx16172, nx16188, nx16190, nx16202, nx16204, nx16220, reg_33_q_c_15_, nx16228, reg_17_q_c_15_, nx16238, reg_29_q_c_15_, reg_20_q_c_15_, nx16258, reg_28_q_c_15_, nx16268, reg_5_q_c_15_, nx16278, reg_34_q_c_15_, nx16286, reg_18_q_c_15_, nx16296, reg_7_q_c_15_, nx16304, reg_42_q_c_15_, reg_40_q_c_15_, nx16322, nx16332, reg_32_q_c_15_, nx16348, reg_41_q_c_15_, nx16356, reg_13_q_c_15_, nx16366, nx16374, reg_25_q_c_15_, reg_37_q_c_15_, reg_44_q_c_15_, nx16400, reg_10_q_c_15_, nx16408, reg_11_q_c_15_, reg_45_q_c_15_, nx16424, reg_27_q_c_15_, nx16434, reg_31_q_c_15_, nx16444, reg_38_q_c_15_, reg_22_q_c_15_, reg_12_q_c_15_, nx16488, reg_19_q_c_15_, nx16510, reg_14_q_c_15_, reg_30_q_c_15_, nx16540, nx16544, nx6566, nx16562, nx16564, nx16574, nx16580, nx16582, nx16598, nx16600, nx16608, nx16610, nx16620, reg_16_q_c_15_, nx16640, nx6568, nx16644, nx16658, reg_36_q_c_15_, nx16668, nx16672, reg_35_q_c_15_, nx16694, nx16700, nx16702, nx16714, nx16716, nx16744, nx16746, nx16754, nx16756, nx16782, nx16792, reg_47_q_c_15_, nx16812, reg_15_q_c_15_, nx16822, nx6571, nx16826, nx16836, nx16838, nx16840, nx16850, nx16858, nx16860, nx16870, nx16880, nx16898, nx16900, nx16918, nx16928, nx16946, reg_8_q_c_15_, nx16954, nx16962, nx16966, nx16976, nx16990, nx17000, reg_43_q_c_15_, nx17016, nx17020, nx17040, nx17050, nx17058, nx17060, nx17070, nx17080, nx17090, nx17100, nx17110, nx17120, nx17136, nx17138, nx17148, nx17166, reg_39_q_c_15_, nx17182, nx17196, reg_48_q_c_15_, nx17212, nx17216, nx17240, reg_46_q_c_15_, nx17250, nx17254, nx17264, nx17290, nx17292, nx17308, nx17314, nx17324, nx17338, nx17346, nx17348, nx17366, nx17380, nx17382, nx17384, nx17402, nx17416, nx17418, nx17420, nx17438, nx17452, nx17454, nx17456, nx17474, nx17488, nx17490, nx17492, nx17510, nx17524, nx17526, nx17528, nx17546, nx17560, nx17562, nx17564, nx17582, nx17596, nx17600, nx6585, nx6596, nx6603, nx6607, nx6615, nx6619, nx6628, nx6637, nx6640, nx6651, nx6659, nx6667, nx6675, nx6679, nx6683, nx6703, nx6711, nx6716, nx6719, nx6723, nx6743, nx6747, nx6757, nx6767, nx6773, nx6777, nx6781, nx6785, nx6793, nx6799, nx6802, nx6807, nx6826, nx6833, nx6840, nx6844, nx6847, nx6852, nx6859, nx6865, nx6879, nx6890, nx6899, nx6901, nx6907, nx6909, nx6912, nx6929, nx6933, nx6935, nx6953, nx6955, nx6964, nx6966, nx6971, nx6985, nx6993, nx6995, nx6999, nx7004, nx7006, nx7013, nx7015, nx7019, nx7021, nx7025, nx7027, nx7033, nx7035, nx7044, nx7046, nx7059, nx7061, nx7075, nx7079, nx7087, nx7097, nx7123, nx7141, nx7143, nx7149, nx7155, nx7157, nx7193, nx7195, nx7205, nx7209, nx7211, nx7213, nx7216, nx7218, nx7229, nx7236, nx7239, nx7241, nx7247, nx7259, nx7261, nx7267, nx7269, nx7272, nx7275, nx7279, nx7283, nx7287, nx7289, nx7292, nx7299, nx7307, nx7309, nx7311, nx7313, nx7325, nx7327, nx7349, nx7359, nx7371, nx7383, nx7385, nx7391, nx7401, nx7403, nx7411, nx7413, nx7421, nx7425, nx7431, nx7443, nx7447, nx7455, nx7457, nx7463, nx7473, nx7491, nx7495, nx7501, nx7507, nx7511, nx7519, nx7523, nx7529, nx7533, nx7541, nx7547, nx7551, nx7557, nx7567, nx7571, nx7583, nx7588, nx7591, nx7597, nx7603, nx7610, nx7619, nx7627, nx7631, nx7635, nx7639, nx7657, nx7663, nx7668, nx7681, nx7685, nx7697, nx7703, nx7705, nx7733, nx7735, nx7748, nx7753, nx7758, nx7764, nx7770, nx7773, nx7777, nx7779, nx7781, nx7787, nx7792, nx7799, nx7800, nx7801, nx7803, nx7804, nx7807, nx7809, nx7815, nx7817, nx7823, nx7829, nx7831, nx7833, nx7834, nx7838, nx7839, nx7847, nx7853, nx7867, nx7869, nx7872, nx7875, nx7881, nx7886, nx7889, nx7894, nx7899, nx7906, nx7909, nx7924, nx7935, nx7937, nx7941, nx7943, nx7953, nx7962, nx7969, nx7979, nx7985, nx7991, nx8013, nx8020, nx8025, nx8029, nx8036, nx8043, nx8047, nx8051, nx8061, nx8071, nx8075, nx8083, nx8089, nx8107, nx8111, nx8115, nx8119, nx8123, nx8131, nx8135, nx8144, nx8146, nx8149, nx8154, nx8169, nx8175, nx8184, nx8191, nx8193, nx8199, nx8201, nx8211, nx8217, nx8227, nx8241, nx8243, nx8251, nx8257, nx8262, nx8265, nx8267, nx8271, nx8273, nx8289, nx8296, nx8299, nx8301, nx8307, nx8315, nx8323, nx8324, nx8328, nx8329, nx8334, nx8336, nx8339, nx8343, nx8347, nx8353, nx8358, nx8368, nx8375, nx8391, nx8395, nx8405, nx8412, nx8425, nx8435, nx8437, nx8443, nx8451, nx8453, nx8461, nx8463, nx8471, nx8475, nx8481, nx8489, nx8493, nx8501, nx8503, nx8509, nx8517, nx8525, nx8529, nx8535, nx8539, nx8545, nx8549, nx8553, nx8561, nx8565, nx8571, nx8575, nx8583, nx8589, nx8593, nx8599, nx8607, nx8611, nx8619, nx8623, nx8629, nx8633, nx8639, nx8647, nx8655, nx8663, nx8671, nx8675, nx8679, nx8683, nx8703, nx8705, nx8708, nx8717, nx8721, nx8725, nx8735, nx8741, nx8743, nx8765, nx8771, nx8773, nx8781, nx8784, nx8785, nx8788, nx8792, nx8799, nx8801, nx8806, nx8808, nx8810, nx8813, nx8814, nx8821, nx8829, nx8835, nx8836, nx8837, nx8839, nx8840, nx8843, nx8845, nx8851, nx8853, nx8859, nx8866, nx8867, nx8868, nx8869, nx8877, nx8878, nx8884, nx8891, nx8903, nx8905, nx8909, nx8911, nx8917, nx8922, nx8925, nx8931, nx8935, nx8943, nx8945, nx8959, nx8973, nx8975, nx8979, nx8981, nx8993, nx9003, nx9009, nx9019, nx9024, nx9029, nx9046, nx9053, nx9059, nx9063, nx9069, nx9077, nx9082, nx9085, nx9093, nx9105, nx9111, nx9119, nx9127, nx9145, nx9149, nx9154, nx9157, nx9162, nx9169, nx9175, nx9183, nx9186, nx9189, nx9193, nx9197, nx9205, nx9211, nx9215, nx9223, nx9229, nx9231, nx9237, nx9239, nx9247, nx9254, nx9263, nx9274, nx9275, nx9281, nx9285, nx9289, nx9291, nx9293, nx9297, nx9299, nx9310, nx9314, nx9317, nx9319, nx9325, nx9332, nx9339, nx9341, nx9347, nx9349, nx9353, nx9355, nx9359, nx9363, nx9367, nx9373, nx9379, nx9393, nx9403, nx9418, nx9421, nx9430, nx9437, nx9447, nx9455, nx9457, nx9461, nx9469, nx9471, nx9478, nx9480, nx9487, nx9490, nx9495, nx9503, nx9507, nx9515, nx9517, nx9523, nx9531, nx9539, nx9543, nx9549, nx9553, nx9559, nx9563, nx9567, nx9575, nx9579, nx9585, nx9589, nx9597, nx9613, nx9621, nx9625, nx9633, nx9637, nx9643, nx9647, nx9653, nx9661, nx9669, nx9677, nx9685, nx9690, nx9695, nx9699, nx9719, nx9721, nx9725, nx9743, nx9747, nx9761, nx9767, nx9769, nx9785, nx9797, nx9799, nx9807, nx9811, nx9812, nx9817, nx9823, nx9828, nx9830, nx9836, nx9838, nx9840, nx9843, nx9845, nx9849, nx9855, nx9861, nx9863, nx9865, nx9867, nx9869, nx9873, nx9875, nx9881, nx9883, nx9889, nx9896, nx9897, nx9899, nx9901, nx9905, nx9906, nx9913, nx9921, nx9931, nx9933, nx9937, nx9939, nx9945, nx9953, nx9956, nx9960, nx9963, nx9971, nx9973, nx9989, nx10002, nx10004, nx10007, nx10009, nx10023, nx10031, nx10039, nx10049, nx10055, nx10061, nx10078, nx10083, nx10091, nx10095, nx10105, nx10111, nx10115, nx10119, nx10126, nx10137, nx10143, nx10149, nx10155, nx10173, nx10176, nx10181, nx10184, nx10188, nx10199, nx10203, nx10209, nx10212, nx10214, nx10217, nx10223, nx10239, nx10245, nx10253, nx10255, nx10259, nx10261, nx10269, nx10271, nx10279, nx10285, nx10293, nx10305, nx10306, nx10313, nx10317, nx10322, nx10324, nx10325, nx10329, nx10331, nx10342, nx10346, nx10349, nx10351, nx10355, nx10364, nx10371, nx10372, nx10376, nx10377, nx10382, nx10384, nx10387, nx10391, nx10394, nx10399, nx10404, nx10413, nx10422, nx10433, nx10436, nx10449, nx10454, nx10467, nx10477, nx10479, nx10485, nx10493, nx10495, nx10501, nx10503, nx10511, nx10515, nx10521, nx10527, nx10531, nx10538, nx10540, nx10545, nx10550, nx10557, nx10561, nx10566, nx10569, nx10575, nx10578, nx10581, nx10589, nx10593, nx10598, nx10601, nx10608, nx10623, nx10631, nx10635, nx10643, nx10647, nx10652, nx10657, nx10663, nx10671, nx10679, nx10687, nx10695, nx10699, nx10703, nx10707, nx10727, nx10729, nx10733, nx10751, nx10755, nx10769, nx10775, nx10777, nx10809, nx10811, nx10821, nx10825, nx10826, nx10831, nx10836, nx10843, nx10845, nx10849, nx10851, nx10855, nx10857, nx10858, nx10865, nx10871, nx10877, nx10878, nx10879, nx10882, nx10883, nx10887, nx10889, nx10893, nx10895, nx10901, nx10909, nx10911, nx10912, nx10913, nx10919, nx10921, nx10929, nx10937, nx10949, nx10951, nx10955, nx10957, nx10959, nx10963, nx10969, nx10973, nx10979, nx10983, nx10991, nx10993, nx11011, nx11021, nx11023, nx11026, nx11028, nx11043, nx11050, nx11059, nx11075, nx11079, nx11085, nx11107, nx11113, nx11120, nx11123, nx11131, nx11137, nx11143, nx11147, nx11153, nx11164, nx11169, nx11177, nx11185, nx11202, nx11207, nx11213, nx11217, nx11223, nx11232, nx11237, nx11244, nx11247, nx11249, nx11253, nx11257, nx11271, nx11275, nx11283, nx11285, nx11289, nx11291, nx11297, nx11299, nx11307, nx11313, nx11322, nx11333, nx11334, nx11339, nx11344, nx11349, nx11351, nx11353, nx11357, nx11359, nx11373, nx11379, nx11382, nx11385, nx11389, nx11396, nx11402, nx11403, nx11407, nx11408, nx11411, nx11413, nx11417, nx11421, nx11424, nx11429, nx11434, nx11444, nx11451, nx11463, nx11466, nx11476, nx11483, nx11491, nx11497, nx11499, nx11504, nx11509, nx11511, nx11516, nx11519, nx11525, nx11528, nx11533, nx11541, nx11544, nx11551, nx11553, nx11557, nx11565, nx11571, nx11574, nx11581, nx11585, nx11589, nx11593, nx11597, nx11605, nx11609, nx11615, nx11619, nx11627, nx11633, nx11643, nx11651, nx11655, nx11660, nx11663, nx11668, nx11671, nx11677, nx11685, nx11691, nx11697, nx11703, nx11709, nx11713, nx11717, nx11730, nx11732, nx11737, nx11755, nx11759, nx11773, nx11775, nx11779, nx11781, nx11813, nx11815, nx11825, nx11829, nx11831, nx11835, nx11841, nx11847, nx11849, nx11854, nx11857, nx11861, nx11863, nx11865, nx11871, nx11879, nx11885, nx11887, nx11888, nx11891, nx11893, nx11897, nx11899, nx11905, nx11907, nx11913, nx11922, nx11923, nx11925, nx11927, nx11933, nx11935, nx11943, nx11951, nx11965, nx11967, nx11971, nx11973, nx11975, nx11979, nx11987, nx11991, nx11997, nx12001, nx12009, nx12011, nx12029, nx12045, nx12047, nx12051, nx12053, nx12068, nx12075, nx12081, nx12093, nx12099, nx12105, nx12125, nx12133, nx12139, nx12143, nx12149, nx12157, nx12163, nx12167, nx12173, nx12189, nx12195, nx12201, nx12209, nx12229, nx12233, nx12239, nx12242, nx12247, nx12257, nx12263, nx12270, nx12273, nx12275, nx12279, nx12283, nx12297, nx12311, nx12313, nx12316, nx12318, nx12325, nx12327, nx12337, nx12345, nx12353, nx12365, nx12366, nx12373, nx12377, nx12382, nx12384, nx12385, nx12389, nx12391, nx12402, nx12406, nx12409, nx12411, nx12415, nx12424, nx12429, nx12431, nx12439, nx12440, nx12443, nx12445, nx12449, nx12452, nx12455, nx12459, nx12465, nx12479, nx12489, nx12500, nx12503, nx12513, nx12519, nx12527, nx12537, nx12539, nx12544, nx12549, nx12551, nx12556, nx12559, nx12565, nx12569, nx12574, nx12579, nx12583, nx12589, nx12591, nx12595, nx12601, nx12606, nx12609, nx12614, nx12617, nx12623, nx12626, nx12629, nx12635, nx12639, nx12644, nx12647, nx12653, nx12667, nx12673, nx12676, nx12683, nx12687, nx12691, nx12694, nx12701, nx12709, nx12717, nx12725, nx12733, nx12738, nx12741, nx12745, nx12763, nx12765, nx12769, nx12783, nx12787, nx12797, nx12799, nx12803, nx12805, nx12829, nx12831, nx12839, nx12843, nx12845, nx12848, nx12852, nx12859, nx12861, nx12867, nx12869, nx12871, nx12873, nx12875, nx12881, nx12889, nx12895, nx12897, nx12899, nx12901, nx12902, nx12907, nx12909, nx12913, nx12915, nx12921, nx12929, nx12930, nx12931, nx12933, nx12939, nx12941, nx12949, nx12957, nx12971, nx12973, nx12977, nx12979, nx12981, nx12985, nx12993, nx12997, nx13003, nx13007, nx13015, nx13037, nx13053, nx13055, nx13059, nx13061, nx13077, nx13087, nx13097, nx13111, nx13117, nx13123, nx13147, nx13153, nx13161, nx13165, nx13175, nx13183, nx13188, nx13191, nx13199, nx13210, nx13215, nx13223, nx13229, nx13251, nx13254, nx13259, nx13263, nx13267, nx13277, nx13283, nx13289, nx13293, nx13295, nx13299, nx13305, nx13320, nx13335, nx13337, nx13341, nx13343, nx13349, nx13351, nx13361, nx13367, nx13375, nx13389, nx13390, nx13395, nx13399, nx13403, nx13405, nx13407, nx13411, nx13413, nx13428, nx13434, nx13437, nx13439, nx13443, nx13453, nx13461, nx13463, nx13469, nx13470, nx13473, nx13475, nx13479, nx13483, nx13486, nx13491, nx13495, nx13505, nx13513, nx13524, nx13527, nx13536, nx13543, nx13551, nx13561, nx13563, nx13567, nx13573, nx13575, nx13581, nx13583, nx13589, nx13593, nx13599, nx13607, nx13610, nx13615, nx13617, nx13621, nx13627, nx13643, nx13646, nx13651, nx13655, nx13659, nx13665, nx13669, nx13674, nx13677, nx13684, nx13697, nx13704, nx13707, nx13714, nx13717, nx13723, nx13726, nx13731, nx13736, nx13743, nx13749, nx13755, nx13761, nx13764, nx13767, nx13783, nx13785, nx13789, nx13801, nx13805, nx13815, nx13817, nx13821, nx13823, nx13853, nx13855, nx13865, nx13869, nx13870, nx13873, nx13879, nx13884, nx13887, nx13893, nx13895, nx13897, nx13899, nx13900, nx13905, nx13910, nx13915, nx13917, nx13919, nx13923, nx13924, nx13927, nx13929, nx13935, nx13937, nx13951, nx13953, nx13955, nx13956, nx13960, nx13961, nx13968, nx13973, nx13987, nx13989, nx13993, nx13995, nx14009, nx14012, nx14019, nx14023, nx14045, nx14053, nx14055, nx14063, nx14069, nx14071, nx14077, nx14085, nx14093, nx14095, nx14097, nx14103, nx14105, nx14107, nx14113, nx14121, nx14129, nx14149, nx14157, nx14175, nx14185, nx14189, nx14199, nx14207, nx14235, nx14241, nx14249, nx14263, nx14273, nx14281, nx14289, nx14291, nx14293, nx14299, nx14307, nx14310, nx14318, nx14320, nx14325, nx14330, nx14335, nx14339, nx14345, nx14353, nx14361, nx14377, nx14383, nx14389, nx14391, nx14409, nx14411, nx14413, nx14421, nx14431, nx14434, nx14439, nx14447, nx14449, nx14453, nx14465, nx14479, nx14485, nx14495, nx14497, nx14513, nx14522, nx14525, nx14531, nx14535, nx14546, nx14556, nx14563, nx14571, nx14587, nx14589, nx14595, nx14599, nx14605, nx14611, nx14614, nx14621, nx14626, nx14629, nx14635, nx14641, nx14644, nx14651, nx14656, nx14659, nx14665, nx14671, nx14675, nx14682, nx14687, nx14691, nx14697, nx14707, nx14709, nx14711, nx14713, nx14715, nx14719, nx14721, nx14723, nx14725, nx14727, nx14729, nx14731, nx14733, nx14735, nx14737, nx14739, nx14741, nx14743, nx14745, nx14747, nx14749, nx14751, nx14753, nx14755, nx14757, nx14759, nx14761, nx14763, nx14765, nx14769, nx14773, nx14777, nx14781, nx14783, nx14785, nx14787, nx14789, nx14791, nx14793, nx14795, nx14803, nx14805, nx14807, nx14809, nx14811, nx14813, nx14815, nx14817, nx14819, nx14821, nx14823, nx14825, nx14827, nx14829, nx14831, nx366, reg_15_q_c_0_, nx344, nx366_XX0_XREP1, nx6413, reg_8_q_c_0_, nx524, nx6413_XX0_XREP5, nx6707, nx102, nx84, nx6707_XX0_XREP7, reg_12_q_c_0_, nx6733, nx1240, reg_15_q_c_1_, nx1226, reg_8_q_c_1_, nx1350, nx7151, nx1052, nx7151_XX0_XREP19, nx2276, reg_15_q_c_2_, nx2252, nx2276_XX0_XREP23, nx14717, reg_8_q_c_2_, nx2386, nx14717_XX0_XREP27, reg_12_q_c_2_, nx7749, nx2060, nx14767, nx7699, nx14767_XX0_XREP31, reg_12_q_c_3_, nx3180, nx3396, reg_15_q_c_3_, nx3372, nx3396_XX0_XREP42, nx6447, reg_8_q_c_3_, nx3506, nx6447_XX0_XREP48, nx8187, nx3160, nx3142, nx8187_XX0_XREP61, nx4516, reg_15_q_c_4_, nx4492, nx4516_XX0_XREP63, nx14771, nx8737, nx14771_XX0_XREP67, reg_12_q_c_5_, nx5420, nx5636, reg_15_q_c_5_, nx5612, nx6468, reg_8_q_c_5_, nx5746, nx9225, nx5400, nx5382, nx9225_XX0_XREP83, nx6756, reg_15_q_c_6_, nx6732, nx14775, nx9763, nx14775_XX0_XREP101, reg_12_q_c_7_, nx7660, nx14779, nx10771, nx15458, nx15459, nx15461, nx15463, nx15464, nx15465, nx15466, nx15467, nx15468, nx15469, nx15470, nx15471, nx15472, nx15473, nx15474, nx15475, nx6895, nx15476, nx15477, nx15478, nx15479, nx15481, nx15482, nx17282, nx14001, nx15483, nx15484, nx15485, nx15486, nx15487, nx7315, nx15489, nx7877, nx15491, nx15492, nx900, nx15493, nx15494, nx15495, nx7317, nx896, nx15496, nx15497, nx15498, nx15499, nx15501, nx15502, nx15503, nx15504, nx15505, nx15506, nx15507, nx2022, nx2014, nx15508, nx15509, nx15510, nx15511, nx15512, nx15513, nx15514, nx15515, nx15516, nx15517, nx15518, nx15519, nx15520, nx15521, nx15523, nx15525, nx14940, nx15526, nx13017, nx15527, nx15528, nx15529, nx15530, nx15531, nx1034, nx1026, nx15532, nx15533, nx6026, nx15534, nx8913, nx15535, nx15536, nx15537, nx15539, nx12779, nx15540, nx15541, nx15542, nx13839, nx15543, nx15544, nx15545, nx15546, nx14403, nx13796, nx15547, nx15548, nx15549, nx15550, nx15551, nx15553, nx15554, nx15555, nx15556, nx16554, nx15557, nx13847, nx13315, nx14290, nx15558, nx15559, nx15560, nx15561, nx15562, nx12748, nx15563, nx15564, nx15565, nx15566, nx15567, nx15568, nx15569, nx15571, nx15572, nx7719, nx7135, nx15573, nx6739, nx15575, nx15576, nx15577, nx7171, nx6697, nx15578, nx15579, nx15581, nx15583, nx15584, nx15585, nx15586, nx15587, nx15588, nx15589, nx15590, nx15591, nx15593, nx15595, nx15597, nx14227, nx15598, nx15599, nx16890, nx14150, nx15600, nx15601, nx15602, nx15603, nx15604, nx15605, nx15606, nx15607, nx15608, nx15609, nx15610, nx15611, nx15612, nx15613, nx15614, nx15615, nx15616, nx15617, nx15618, nx15619, nx15620, nx15621, nx15622, nx12817, nx12823, nx12291, nx12050, nx11749, nx15623, nx15625, nx11807, nx11265, nx15627, nx15628, nx15629, nx15630, nx15631, nx15632, nx15633, nx15635, nx15637, nx15638, nx15639, nx15640, nx15641, nx15642, nx15643, nx15644, nx15645, nx15646, nx15647, nx15648, nx15649, nx8757, nx15651, nx8164, nx3090, nx7675, nx15652, nx7727, nx15653, nx15654, nx15655, nx15656, nx15657, nx13634, nx15658, nx15659, nx15661, nx15663, nx15664, nx15665, nx15666, nx15667, nx15668, nx15669, nx14167, nx15671, nx15673, nx17030, nx13637, nx14100, nx15674, nx15675, nx15676, nx15677, nx15678, nx15679, nx15680, nx14288, nx15681, nx15682, nx15683, nx15684, nx15685, nx15686, nx15687, nx15689, nx14033, nx15690, nx15691, nx15693, nx15694, nx15695, nx14519, nx15696, nx15697, nx15698, nx8266, nx15699, nx9941, nx15700, nx15701, nx15702, nx15703, nx15705, nx15707, nx15708, nx15709, nx15710, nx15711, nx15712, nx15713, nx10795, nx15714, nx15715, nx15717, nx15718, nx15719, nx15721, nx9810, nx15722, nx15723, nx10745, nx15724, nx15725, nx10803, nx10231, nx15726, nx7570, nx9737, nx15727, nx9791, nx15728, nx15729, nx15731, nx15732, nx15733, nx15734, nx15735, nx15736, nx15737, nx15739, nx15741, nx15742, nx15743, nx15744, nx15745, nx15746, nx15747, nx15748, nx15749, nx8141, nx15751, nx7659, nx1904, nx6775, nx15752, nx7109, nx15753, nx15754, nx6687, nx15755, nx15756, nx7111, nx15757, nx15759, nx15761, nx15762, nx10508, nx15763, nx15764, nx15765, nx15766, nx15767, nx15768, nx15769, nx15771, nx15772, nx15773, nx15774, nx15775, nx15776, nx15777, nx15779, nx12659, nx12662, nx11910, nx15781, nx15782, nx15783, nx15784, nx11908, nx15785, nx15786, nx15787, nx15788, nx15789, nx15790, nx15791, nx15792, nx15793, nx15770, nx15794, nx15795, nx15797, NOT_nx14148, nx11637, nx15799, nx15800, nx15801, nx6973, nx1240_XX0_XREP13, nx15802, nx15803, nx15804, nx15805, nx15807, nx15809, nx15810, nx15811, nx15812, nx15813, nx15814, nx15815, nx15816, nx15817, nx14365, nx15378, nx15818, nx15819, nx15820, nx15821, nx13325, nx13138, nx12303, nx15822, nx15823, nx15824, nx15825, nx15827, nx15828, nx2898, nx15829, nx7481, nx7485, nx15830, nx15831, nx6987, nx15832, nx15833, nx15834, nx15835, nx15836, nx15837, nx15838, nx15839, nx15840, nx15841, nx15842, nx9668, nx15843, nx15844, nx15845, nx10612, nx10617, nx7430, nx15847, nx15848, nx9603, nx9607, nx15849, nx15850, nx15851, nx15852, nx15853, nx15854, nx15855, nx15857, nx15858, nx15859, nx15860, nx15861, nx15862, nx15863, nx15864, nx15865, nx15866, nx1856, nx15867, nx15868, nx15869, nx15871, nx7051, nx7053, nx6410, nx15872, nx15873, nx6421, nx6691, nx7115, nx15874, nx15875, nx15876, nx15877, nx15878, nx15879, nx15881, nx7081, nx6427, nx15882, nx15883, nx15884, nx174, nx7762, nx7201, nx15885, nx15886, nx15570, nx14213, nx15887, nx15192, nx14135, nx15888, nx14988, nx13997, nx15889, nx15890, nx16194, nx15891, nx14776, nx13941, nx15892, NOT_nx13689, nx15893, nx15894, nx2950, nx15895, nx15896, nx15897, nx15899, nx15901, nx15902, nx15903, nx15904, nx15905, nx15906, nx15907, nx15908, nx15909, nx15911, nx15912, nx15913, nx15914, nx15915, nx15916, nx15917, nx15919, nx15921, nx15922, nx16382; wire [561:0] \$dummy ; dff REG_26_reg_q_0_ (.Q (PRI_OUT_9[0]), .QB (\$dummy [0]), .D (nx966), .CLK ( CLK)) ; ao21 ix967 (.Y (nx966), .A0 (nx6585), .A1 (reg_39_q_c_0_), .B0 (nx6912)) ; dff REG_33_reg_q_0_ (.Q (\$dummy [1]), .QB (nx6585), .D (nx826), .CLK (CLK) ) ; ao21 ix805 (.Y (nx804), .A0 (reg_29_q_c_0_), .A1 (nx6767), .B0 (nx6844)) ; dff REG_29_reg_q_0_ (.Q (reg_29_q_c_0_), .QB (\$dummy [2]), .D (nx786), .CLK ( CLK)) ; xor2 ix787 (.Y (nx786), .A0 (nx6596), .A1 (nx6716)) ; mux21 ix6597 (.Y (nx6596), .A0 (reg_20_q_c_0_), .A1 (nx15895), .S0 ( C_MUX2_16_SEL)) ; dff REG_20_reg_q_0_ (.Q (reg_20_q_c_0_), .QB (\$dummy [3]), .D (nx760), .CLK ( CLK)) ; ao21 ix761 (.Y (nx760), .A0 (reg_28_q_c_0_), .A1 (nx6767), .B0 (nx6840)) ; dff REG_28_reg_q_0_ (.Q (reg_28_q_c_0_), .QB (\$dummy [4]), .D (nx742), .CLK ( CLK)) ; oai21 ix743 (.Y (nx742), .A0 (PRI_IN_2[0]), .A1 (nx15897), .B0 (nx734)) ; oai21 ix725 (.Y (nx724), .A0 (PRI_IN_3[0]), .A1 (nx6607), .B0 (nx716)) ; dff REG_18_reg_q_0_ (.Q (reg_18_q_c_0_), .QB (\$dummy [5]), .D (nx692), .CLK ( CLK)) ; ao21 ix693 (.Y (nx692), .A0 (PRI_IN_9[0]), .A1 (nx6615), .B0 (nx6833)) ; dff REG_7_reg_q_0_ (.Q (\$dummy [6]), .QB (nx6615), .D (nx674), .CLK (CLK) ) ; aoi21 ix675 (.Y (nx674), .A0 (nx6619), .A1 (nx6719), .B0 (nx668)) ; dff REG_42_reg_q_0_ (.Q (reg_42_q_c_0_), .QB (nx6619), .D (nx660), .CLK (CLK )) ; dff REG_40_reg_q_0_ (.Q (reg_40_q_c_0_), .QB (\$dummy [7]), .D (nx646), .CLK ( CLK)) ; ao21 ix647 (.Y (nx646), .A0 (PRI_OUT_3[0]), .A1 (nx15897), .B0 (nx6826)) ; dff REG_4_reg_q_0_ (.Q (PRI_OUT_3[0]), .QB (\$dummy [8]), .D (nx628), .CLK ( CLK)) ; oai21 ix629 (.Y (nx628), .A0 (PRI_OUT_3[0]), .A1 (nx6628), .B0 (nx620)) ; dff REG_32_reg_q_0_ (.Q (\$dummy [9]), .QB (nx6628), .D (nx610), .CLK (CLK) ) ; xor2 ix611 (.Y (nx610), .A0 (PRI_OUT_8[0]), .A1 (reg_43_q_c_0_)) ; dff REG_24_reg_q_0_ (.Q (PRI_OUT_8[0]), .QB (\$dummy [10]), .D (nx578), .CLK ( CLK)) ; dff REG_34_reg_q_0_ (.Q (reg_34_q_c_0_), .QB (nx6607), .D (nx706), .CLK (CLK )) ; ao21 ix507 (.Y (nx506), .A0 (PRI_OUT_0[0]), .A1 (nx6773), .B0 (nx6807)) ; dff REG_1_reg_q_0_ (.Q (PRI_OUT_0[0]), .QB (\$dummy [11]), .D (nx488), .CLK ( CLK)) ; dff REG_41_reg_q_0_ (.Q (reg_41_q_c_0_), .QB (nx6637), .D (nx564), .CLK (CLK )) ; mux21 ix6652 (.Y (nx6651), .A0 (reg_25_q_c_0_), .A1 (PRI_OUT_8[0]), .S0 ( C_MUX2_8_SEL)) ; dff REG_25_reg_q_0_ (.Q (reg_25_q_c_0_), .QB (\$dummy [12]), .D (nx466), .CLK ( CLK)) ; dff REG_37_reg_q_0_ (.Q (reg_37_q_c_0_), .QB (\$dummy [13]), .D (nx452), .CLK ( CLK)) ; dff REG_44_reg_q_0_ (.Q (reg_44_q_c_0_), .QB (nx6659), .D (nx438), .CLK (CLK )) ; ao21 ix439 (.Y (nx438), .A0 (reg_10_q_c_0_), .A1 (nx6785), .B0 (nx6802)) ; dff REG_10_reg_q_0_ (.Q (reg_10_q_c_0_), .QB (\$dummy [14]), .D (nx420), .CLK ( CLK)) ; aoi21 ix421 (.Y (nx420), .A0 (nx6667), .A1 (nx15897), .B0 (nx414)) ; dff REG_45_reg_q_0_ (.Q (reg_45_q_c_0_), .QB (nx6799), .D (nx392), .CLK (CLK )) ; dff REG_27_reg_q_0_ (.Q (reg_27_q_c_0_), .QB (nx6785), .D (nx334), .CLK (CLK )) ; oai21 ix335 (.Y (nx334), .A0 (nx14707), .A1 (nx6675), .B0 (nx326)) ; dff REG_13_reg_q_0_ (.Q (reg_13_q_c_0_), .QB (\$dummy [15]), .D (nx506), .CLK ( CLK)) ; xor2 ix317 (.Y (nx316), .A0 (nx6679), .A1 (nx6781)) ; mux21 ix6680 (.Y (nx6679), .A0 (reg_38_q_c_0_), .A1 (nx14709), .S0 ( C_MUX2_3_SEL)) ; dff REG_38_reg_q_0_ (.Q (reg_38_q_c_0_), .QB (\$dummy [16]), .D (nx282), .CLK ( CLK)) ; ao21 ix283 (.Y (nx282), .A0 (nx6683), .A1 (nx102), .B0 (nx6777)) ; dff REG_22_reg_q_0_ (.Q (reg_22_q_c_0_), .QB (nx6683), .D (nx264), .CLK (CLK )) ; ao21 ix265 (.Y (nx264), .A0 (reg_28_q_c_0_), .A1 (nx6687), .B0 (nx6775)) ; dff REG_6_reg_q_0_ (.Q (PRI_OUT_7[0]), .QB (\$dummy [17]), .D (nx226), .CLK ( CLK)) ; xnor2 ix227 (.Y (nx226), .A0 (nx170), .A1 (nx6757)) ; mux21 ix171 (.Y (nx170), .A0 (nx6691), .A1 (nx6697), .S0 (C_MUX2_15_SEL)) ; dff REG_19_reg_q_0_ (.Q (reg_19_q_c_0_), .QB (nx6703), .D (nx120), .CLK (CLK )) ; ao21 ix121 (.Y (nx120), .A0 (reg_31_q_c_0_), .A1 (nx6707), .B0 (nx6747)) ; dff REG_31_reg_q_0_ (.Q (reg_31_q_c_0_), .QB (nx6675), .D (nx316), .CLK (CLK )) ; mux21 ix6712 (.Y (nx6711), .A0 (nx15895), .A1 (reg_5_q_c_0_), .S0 ( C_MUX2_18_SEL)) ; dff REG_5_reg_q_0_ (.Q (reg_5_q_c_0_), .QB (nx6603), .D (nx724), .CLK (CLK) ) ; mux21 ix6717 (.Y (nx6716), .A0 (nx14), .A1 (reg_14_q_c_0_), .S0 ( C_MUX2_22_SEL)) ; mux21 ix15 (.Y (nx14), .A0 (nx6703), .A1 (nx6719), .S0 (C_MUX2_20_SEL)) ; dff REG_17_reg_q_0_ (.Q (reg_17_q_c_0_), .QB (nx6719), .D (nx804), .CLK (CLK )) ; dff REG_14_reg_q_0_ (.Q (reg_14_q_c_0_), .QB (\$dummy [18]), .D (nx66), .CLK ( CLK)) ; ao21 ix67 (.Y (nx66), .A0 (nx6723), .A1 (PRI_OUT_6[0]), .B0 (nx6743)) ; inv02 ix6724 (.Y (nx6723), .A (PRI_IN_7[0])) ; dff REG_23_reg_q_0_ (.Q (PRI_OUT_6[0]), .QB (\$dummy [19]), .D (nx48), .CLK ( CLK)) ; ao21 ix49 (.Y (nx48), .A0 (reg_30_q_c_0_), .A1 (nx6697), .B0 (nx6739)) ; dff REG_30_reg_q_0_ (.Q (reg_30_q_c_0_), .QB (\$dummy [20]), .D (nx30), .CLK ( CLK)) ; oai21 ix31 (.Y (nx30), .A0 (PRI_IN_0[0]), .A1 (nx15458), .B0 (nx22)) ; nand02 ix23 (.Y (nx22), .A0 (nx6733), .A1 (PRI_IN_0[0])) ; nor02 ix6744 (.Y (nx6743), .A0 (PRI_OUT_6[0]), .A1 (nx6723)) ; nor02 ix6748 (.Y (nx6747), .A0 (nx6707_XX0_XREP7), .A1 (reg_31_q_c_0_)) ; dff REG_16_reg_q_0_ (.Q (reg_16_q_c_0_), .QB (\$dummy [21]), .D (nx156), .CLK ( CLK)) ; oai21 ix157 (.Y (nx156), .A0 (reg_20_q_c_0_), .A1 (nx6410), .B0 (nx148)) ; nand02 ix149 (.Y (nx148), .A0 (nx6410), .A1 (reg_20_q_c_0_)) ; mux21 ix6758 (.Y (nx6757), .A0 (reg_36_q_c_0_), .A1 (reg_35_q_c_0_), .S0 ( C_MUX2_11_SEL)) ; dff REG_36_reg_q_0_ (.Q (reg_36_q_c_0_), .QB (\$dummy [22]), .D (nx182), .CLK ( CLK)) ; oai21 ix183 (.Y (nx182), .A0 (reg_16_q_c_0_), .A1 (nx15755), .B0 (nx174)) ; dff REG_35_reg_q_0_ (.Q (reg_35_q_c_0_), .QB (nx6773), .D (nx208), .CLK (CLK )) ; ao21 ix201 (.Y (nx6412), .A0 (C_MUX2_24_SEL), .A1 (reg_27_q_c_0_), .B0 ( nx196)) ; nor02 ix197 (.Y (nx196), .A0 (C_MUX2_24_SEL), .A1 (nx6767)) ; nor02 ix6778 (.Y (nx6777), .A0 (nx102), .A1 (nx6683)) ; mux21 ix6782 (.Y (nx6781), .A0 (reg_19_q_c_0_), .A1 (reg_11_q_c_0_), .S0 ( C_MUX2_7_SEL)) ; dff REG_11_reg_q_0_ (.Q (reg_11_q_c_0_), .QB (nx6667), .D (nx406), .CLK (CLK )) ; nand02 ix327 (.Y (nx326), .A0 (nx6675), .A1 (nx14707)) ; dff REG_47_reg_q_0_ (.Q (reg_47_q_c_0_), .QB (\$dummy [23]), .D (nx378), .CLK ( CLK)) ; xnor2 ix379 (.Y (nx378), .A0 (nx6707), .A1 (nx366)) ; ao21 ix357 (.Y (nx356), .A0 (PRI_IN_1[0]), .A1 (nx6640), .B0 (nx6793)) ; nor02 ix6794 (.Y (nx6793), .A0 (nx6640), .A1 (PRI_IN_1[0])) ; nor02 ix6803 (.Y (nx6802), .A0 (nx6785), .A1 (reg_10_q_c_0_)) ; nor02 ix6808 (.Y (nx6807), .A0 (nx6773), .A1 (PRI_OUT_0[0])) ; dff REG_2_reg_q_0_ (.Q (PRI_OUT_1[0]), .QB (\$dummy [24]), .D (nx532), .CLK ( CLK)) ; dff REG_43_reg_q_0_ (.Q (reg_43_q_c_0_), .QB (\$dummy [25]), .D (nx596), .CLK ( CLK)) ; oai21 ix597 (.Y (nx596), .A0 (nx6607), .A1 (nx366), .B0 (nx588)) ; nand02 ix589 (.Y (nx588), .A0 (nx366_XX0_XREP1), .A1 (nx6607)) ; nand02 ix621 (.Y (nx620), .A0 (nx6628), .A1 (PRI_OUT_3[0])) ; nor02 ix6827 (.Y (nx6826), .A0 (nx15899), .A1 (PRI_OUT_3[0])) ; nor02 ix6834 (.Y (nx6833), .A0 (nx6615), .A1 (PRI_IN_9[0])) ; nand02 ix717 (.Y (nx716), .A0 (nx6607), .A1 (PRI_IN_3[0])) ; nand02 ix735 (.Y (nx734), .A0 (nx6603), .A1 (PRI_IN_2[0])) ; nor02 ix6841 (.Y (nx6840), .A0 (nx6767), .A1 (reg_28_q_c_0_)) ; nor02 ix6845 (.Y (nx6844), .A0 (nx6767), .A1 (reg_29_q_c_0_)) ; mux21 ix6848 (.Y (nx6847), .A0 (reg_18_q_c_0_), .A1 (nx170), .S0 ( C_MUX2_19_SEL)) ; dff REG_39_reg_q_0_ (.Q (reg_39_q_c_0_), .QB (\$dummy [26]), .D (nx948), .CLK ( CLK)) ; oai32 ix949 (.Y (nx948), .A0 (nx6852), .A1 (C_MUX2_10_SEL), .A2 (nx836), .B0 ( nx6865), .B1 (nx6907)) ; inv02 ix6860 (.Y (nx6859), .A (C_MUX2_6_SEL)) ; nor02 ix837 (.Y (nx836), .A0 (nx6659), .A1 (nx6707_XX0_XREP7)) ; nor02 ix6866 (.Y (nx6865), .A0 (nx936), .A1 (PRI_IN_4[0])) ; dff REG_21_reg_q_0_ (.Q (PRI_OUT_5[0]), .QB (\$dummy [27]), .D (nx922), .CLK ( CLK)) ; xnor2 ix923 (.Y (nx922), .A0 (reg_48_q_c_0_), .A1 (nx6679)) ; dff REG_48_reg_q_0_ (.Q (reg_48_q_c_0_), .QB (\$dummy [28]), .D (nx908), .CLK ( CLK)) ; oai32 ix909 (.Y (nx908), .A0 (nx6879), .A1 (nx6895), .A2 (nx900), .B0 ( nx6899), .B1 (nx6901)) ; nor02 ix6880 (.Y (nx6879), .A0 (nx896), .A1 (reg_36_q_c_0_)) ; dff REG_9_reg_q_0_ (.Q (PRI_OUT_4[0]), .QB (\$dummy [29]), .D (nx886), .CLK ( CLK)) ; dff REG_46_reg_q_0_ (.Q (reg_46_q_c_0_), .QB (\$dummy [30]), .D (nx872), .CLK ( CLK)) ; ao21 ix873 (.Y (nx872), .A0 (PRI_IN_5[0]), .A1 (nx6585), .B0 (nx6890)) ; nor02 ix6891 (.Y (nx6890), .A0 (nx6585), .A1 (PRI_IN_5[0])) ; nor02 ix6900 (.Y (nx6899), .A0 (reg_40_q_c_0_), .A1 (nx14707)) ; ao21 ix6902 (.Y (nx6901), .A0 (nx14707), .A1 (reg_40_q_c_0_), .B0 ( C_MUX2_23_SEL)) ; nand02 ix6908 (.Y (nx6907), .A0 (C_MUX2_10_SEL), .A1 (nx6909)) ; nor02 ix6913 (.Y (nx6912), .A0 (reg_39_q_c_0_), .A1 (nx6585)) ; dff REG_26_reg_q_1_ (.Q (PRI_OUT_9[1]), .QB (\$dummy [31]), .D (nx1644), .CLK ( CLK)) ; xnor2 ix1645 (.Y (nx1644), .A0 (nx6912), .A1 (nx1642)) ; dff REG_33_reg_q_1_ (.Q (\$dummy [32]), .QB (nx7275), .D (nx1544), .CLK (CLK )) ; xor2 ix1545 (.Y (nx1544), .A0 (nx820), .A1 (nx1542)) ; nor02 ix821 (.Y (nx820), .A0 (nx6719), .A1 (nx6847)) ; xnor2 ix1543 (.Y (nx1542), .A0 (reg_17_q_c_1_), .A1 (nx7272)) ; dff REG_17_reg_q_1_ (.Q (reg_17_q_c_1_), .QB (\$dummy [33]), .D (nx1526), .CLK ( CLK)) ; xnor2 ix1527 (.Y (nx1526), .A0 (nx6844), .A1 (nx1524)) ; xnor2 ix1525 (.Y (nx1524), .A0 (reg_29_q_c_1_), .A1 (nx6425)) ; dff REG_29_reg_q_1_ (.Q (reg_29_q_c_1_), .QB (\$dummy [34]), .D (nx1516), .CLK ( CLK)) ; xor2 ix1517 (.Y (nx1516), .A0 (nx6929), .A1 (nx6933)) ; nor02 ix6930 (.Y (nx6929), .A0 (nx6716), .A1 (nx6409)) ; xnor2 ix6934 (.Y (nx6933), .A0 (nx6935), .A1 (nx1026)) ; mux21 ix6936 (.Y (nx6935), .A0 (reg_20_q_c_1_), .A1 (nx15903), .S0 ( C_MUX2_16_SEL)) ; dff REG_20_reg_q_1_ (.Q (reg_20_q_c_1_), .QB (nx7269), .D (nx1498), .CLK ( CLK)) ; xnor2 ix1499 (.Y (nx1498), .A0 (nx6840), .A1 (nx1496)) ; dff REG_28_reg_q_1_ (.Q (reg_28_q_c_1_), .QB (nx7267), .D (nx1488), .CLK ( CLK)) ; xor2 ix1489 (.Y (nx1488), .A0 (nx734), .A1 (nx1486)) ; xor2 ix1479 (.Y (nx1478), .A0 (nx716), .A1 (nx1476)) ; xnor2 ix1477 (.Y (nx1476), .A0 (PRI_IN_3[1]), .A1 (reg_34_q_c_1_)) ; dff REG_34_reg_q_1_ (.Q (reg_34_q_c_1_), .QB (\$dummy [35]), .D (nx1468), .CLK ( CLK)) ; xor2 ix1469 (.Y (nx1468), .A0 (nx6953), .A1 (nx6955)) ; nand02 ix6954 (.Y (nx6953), .A0 (reg_18_q_c_0_), .A1 (reg_19_q_c_0_)) ; xnor2 ix6956 (.Y (nx6955), .A0 (reg_18_q_c_1_), .A1 (reg_19_q_c_1_)) ; dff REG_18_reg_q_1_ (.Q (reg_18_q_c_1_), .QB (\$dummy [36]), .D (nx1458), .CLK ( CLK)) ; xnor2 ix1459 (.Y (nx1458), .A0 (nx6833), .A1 (nx1456)) ; xor2 ix1449 (.Y (nx1448), .A0 (nx6964), .A1 (nx6966)) ; xnor2 ix6967 (.Y (nx6966), .A0 (nx15903), .A1 (reg_42_q_c_1_)) ; dff REG_42_reg_q_1_ (.Q (reg_42_q_c_1_), .QB (\$dummy [37]), .D (nx1438), .CLK ( CLK)) ; xor2 ix1439 (.Y (nx1438), .A0 (nx6971), .A1 (nx6973)) ; nand02 ix6972 (.Y (nx6971), .A0 (reg_40_q_c_0_), .A1 (nx366_XX0_XREP1)) ; dff REG_40_reg_q_1_ (.Q (reg_40_q_c_1_), .QB (nx7261), .D (nx1428), .CLK ( CLK)) ; xnor2 ix1429 (.Y (nx1428), .A0 (nx6826), .A1 (nx1426)) ; dff REG_4_reg_q_1_ (.Q (PRI_OUT_3[1]), .QB (nx7259), .D (nx1418), .CLK (CLK) ) ; xor2 ix1419 (.Y (nx1418), .A0 (nx620), .A1 (nx1416)) ; dff REG_32_reg_q_1_ (.Q (reg_32_q_c_1_), .QB (\$dummy [38]), .D (nx1408), .CLK ( CLK)) ; xor2 ix1409 (.Y (nx1408), .A0 (nx6985), .A1 (nx6987)) ; nand02 ix6986 (.Y (nx6985), .A0 (PRI_OUT_8[0]), .A1 (reg_43_q_c_0_)) ; dff REG_24_reg_q_1_ (.Q (PRI_OUT_8_dup0_1_), .QB (\$dummy [39]), .D (nx1388) , .CLK (CLK)) ; xor2 ix1389 (.Y (nx1388), .A0 (nx6993), .A1 (nx6995)) ; xnor2 ix6996 (.Y (nx6995), .A0 (reg_34_q_c_1_), .A1 (reg_41_q_c_1_)) ; dff REG_41_reg_q_1_ (.Q (reg_41_q_c_1_), .QB (\$dummy [40]), .D (nx1378), .CLK ( CLK)) ; xor2 ix1379 (.Y (nx1378), .A0 (nx6999), .A1 (nx7006)) ; nand02 ix7000 (.Y (nx6999), .A0 (PRI_IN_8[0]), .A1 (nx6413_XX0_XREP5)) ; mux21 ix7005 (.Y (nx7004), .A0 (nx14707), .A1 (reg_11_q_c_0_), .S0 ( C_MUX2_5_SEL)) ; xnor2 ix7007 (.Y (nx7006), .A0 (PRI_IN_8[1]), .A1 (nx15459)) ; xor2 ix1365 (.Y (nx1364), .A0 (nx7013), .A1 (nx7015)) ; nand02 ix7014 (.Y (nx7013), .A0 (PRI_OUT_1[0]), .A1 (nx14709)) ; dff REG_2_reg_q_1_ (.Q (PRI_OUT_1[1]), .QB (\$dummy [41]), .D (nx1354), .CLK ( CLK)) ; xor2 ix1355 (.Y (nx1354), .A0 (nx7019), .A1 (nx7021)) ; dff REG_35_reg_q_1_ (.Q (reg_35_q_c_1_), .QB (nx7241), .D (nx1126), .CLK ( CLK)) ; xor2 ix1127 (.Y (nx1126), .A0 (nx7025), .A1 (nx7027)) ; nand02 ix7026 (.Y (nx7025), .A0 (nx14709), .A1 (nx6412)) ; dff REG_37_reg_q_1_ (.Q (reg_37_q_c_1_), .QB (nx7236), .D (nx1294), .CLK ( CLK)) ; xor2 ix1295 (.Y (nx1294), .A0 (nx7033), .A1 (nx7035)) ; nand02 ix7034 (.Y (nx7033), .A0 (PRI_IN_6[0]), .A1 (reg_44_q_c_0_)) ; xnor2 ix7036 (.Y (nx7035), .A0 (PRI_IN_6[1]), .A1 (reg_44_q_c_1_)) ; dff REG_44_reg_q_1_ (.Q (reg_44_q_c_1_), .QB (\$dummy [42]), .D (nx1284), .CLK ( CLK)) ; xnor2 ix1285 (.Y (nx1284), .A0 (nx6802), .A1 (nx1282)) ; dff REG_10_reg_q_1_ (.Q (reg_10_q_c_1_), .QB (\$dummy [43]), .D (nx1274), .CLK ( CLK)) ; xor2 ix1275 (.Y (nx1274), .A0 (nx7044), .A1 (nx7046)) ; dff REG_11_reg_q_1_ (.Q (reg_11_q_c_1_), .QB (\$dummy [44]), .D (nx1264), .CLK ( CLK)) ; xor2 ix1265 (.Y (nx1264), .A0 (nx7051), .A1 (nx7053)) ; dff REG_45_reg_q_1_ (.Q (reg_45_q_c_1_), .QB (nx7229), .D (nx1254), .CLK ( CLK)) ; xor2 ix1255 (.Y (nx1254), .A0 (nx7059), .A1 (nx7061)) ; nand02 ix7060 (.Y (nx7059), .A0 (reg_27_q_c_0_), .A1 (reg_47_q_c_0_)) ; dff REG_27_reg_q_1_ (.Q (reg_27_q_c_1_), .QB (nx7213), .D (nx1216), .CLK ( CLK)) ; xor2 ix1217 (.Y (nx1216), .A0 (nx326), .A1 (nx1214)) ; dff REG_13_reg_q_1_ (.Q (reg_13_q_c_1_), .QB (nx7087), .D (nx1332), .CLK ( CLK)) ; xnor2 ix1333 (.Y (nx1332), .A0 (nx6807), .A1 (nx1330)) ; dff REG_1_reg_q_1_ (.Q (PRI_OUT_0[1]), .QB (\$dummy [45]), .D (nx1322), .CLK ( CLK)) ; xor2 ix1323 (.Y (nx1322), .A0 (nx482), .A1 (nx1320)) ; nor02 ix483 (.Y (nx482), .A0 (nx6637), .A1 (nx6651)) ; xnor2 ix1321 (.Y (nx1320), .A0 (reg_41_q_c_1_), .A1 (nx7075)) ; mux21 ix7076 (.Y (nx7075), .A0 (reg_25_q_c_1_), .A1 (PRI_OUT_8[1]), .S0 ( C_MUX2_8_SEL)) ; dff REG_25_reg_q_1_ (.Q (reg_25_q_c_1_), .QB (\$dummy [46]), .D (nx1304), .CLK ( CLK)) ; xor2 ix1305 (.Y (nx1304), .A0 (nx7079), .A1 (nx7081)) ; nand02 ix7080 (.Y (nx7079), .A0 (reg_37_q_c_0_), .A1 (nx6413_XX0_XREP5)) ; dff REG_31_reg_q_1_ (.Q (reg_31_q_c_1_), .QB (nx7211), .D (nx1206), .CLK ( CLK)) ; xor2 ix1207 (.Y (nx1206), .A0 (nx308), .A1 (nx1204)) ; nand02 ix309 (.Y (nx308), .A0 (nx6781), .A1 (nx296)) ; xnor2 ix1205 (.Y (nx1204), .A0 (nx7097), .A1 (nx7209)) ; mux21 ix7098 (.Y (nx7097), .A0 (reg_38_q_c_1_), .A1 (reg_37_q_c_1_), .S0 ( C_MUX2_3_SEL)) ; dff REG_38_reg_q_1_ (.Q (reg_38_q_c_1_), .QB (\$dummy [47]), .D (nx1180), .CLK ( CLK)) ; xnor2 ix1181 (.Y (nx1180), .A0 (nx6777), .A1 (nx1178)) ; xnor2 ix1179 (.Y (nx1178), .A0 (reg_22_q_c_1_), .A1 (nx1052)) ; dff REG_22_reg_q_1_ (.Q (reg_22_q_c_1_), .QB (\$dummy [48]), .D (nx1170), .CLK ( CLK)) ; xor2 ix1171 (.Y (nx1170), .A0 (nx15752), .A1 (nx7109)) ; dff REG_6_reg_q_1_ (.Q (PRI_OUT_7[1]), .QB (\$dummy [49]), .D (nx1140), .CLK ( CLK)) ; xor2 ix1141 (.Y (nx1140), .A0 (nx220), .A1 (nx1138)) ; nor02 ix221 (.Y (nx220), .A0 (nx7123), .A1 (nx6757)) ; xnor2 ix1139 (.Y (nx1138), .A0 (nx1100), .A1 (nx7195)) ; mux21 ix1101 (.Y (nx1100), .A0 (nx7115), .A1 (nx7135), .S0 (C_MUX2_15_SEL) ) ; dff REG_12_reg_q_1_ (.Q (reg_12_q_c_1_), .QB (\$dummy [50]), .D (nx1072), .CLK ( CLK)) ; xor2 ix1073 (.Y (nx1072), .A0 (nx7141), .A1 (nx7143)) ; xnor2 ix7144 (.Y (nx7143), .A0 (nx15911), .A1 (reg_19_q_c_1_)) ; dff REG_19_reg_q_1_ (.Q (reg_19_q_c_1_), .QB (\$dummy [51]), .D (nx1062), .CLK ( CLK)) ; xor2 ix1063 (.Y (nx1062), .A0 (nx6747), .A1 (nx7149)) ; dff REG_7_reg_q_1_ (.Q (\$dummy [52]), .QB (nx7155), .D (nx1448), .CLK (CLK) ) ; mux21 ix7158 (.Y (nx7157), .A0 (nx15903), .A1 (reg_5_q_c_1_), .S0 ( C_MUX2_18_SEL)) ; dff REG_14_reg_q_1_ (.Q (reg_14_q_c_1_), .QB (\$dummy [53]), .D (nx1016), .CLK ( CLK)) ; xnor2 ix1017 (.Y (nx1016), .A0 (nx6743), .A1 (nx1014)) ; xnor2 ix1015 (.Y (nx1014), .A0 (PRI_IN_7[1]), .A1 (PRI_OUT_6[1])) ; dff REG_23_reg_q_1_ (.Q (PRI_OUT_6[1]), .QB (\$dummy [54]), .D (nx1006), .CLK ( CLK)) ; xor2 ix1007 (.Y (nx1006), .A0 (nx6739), .A1 (nx7171)) ; dff REG_30_reg_q_1_ (.Q (reg_30_q_c_1_), .QB (\$dummy [55]), .D (nx996), .CLK ( CLK)) ; xor2 ix997 (.Y (nx996), .A0 (nx22), .A1 (nx994)) ; xnor2 ix995 (.Y (nx994), .A0 (PRI_IN_0[1]), .A1 (nx15911)) ; dff REG_16_reg_q_1_ (.Q (reg_16_q_c_1_), .QB (nx7193), .D (nx1086), .CLK ( CLK)) ; xor2 ix1087 (.Y (nx1086), .A0 (nx148), .A1 (nx1084)) ; mux21 ix7196 (.Y (nx7195), .A0 (reg_36_q_c_1_), .A1 (reg_35_q_c_1_), .S0 ( C_MUX2_11_SEL)) ; dff REG_36_reg_q_1_ (.Q (reg_36_q_c_1_), .QB (\$dummy [56]), .D (nx1104), .CLK ( CLK)) ; xnor2 ix1105 (.Y (nx1104), .A0 (nx174), .A1 (nx7201)) ; dff REG_5_reg_q_1_ (.Q (reg_5_q_c_1_), .QB (nx7205), .D (nx1478), .CLK (CLK) ) ; mux21 ix7210 (.Y (nx7209), .A0 (reg_19_q_c_1_), .A1 (reg_11_q_c_1_), .S0 ( C_MUX2_7_SEL)) ; dff REG_47_reg_q_1_ (.Q (reg_47_q_c_1_), .QB (\$dummy [57]), .D (nx1244), .CLK ( CLK)) ; xor2 ix1245 (.Y (nx1244), .A0 (nx7216), .A1 (nx7218)) ; nor02 ix7217 (.Y (nx7216), .A0 (nx366_XX0_XREP1), .A1 (nx6707)) ; xnor2 ix7219 (.Y (nx7218), .A0 (nx7151), .A1 (nx1240)) ; xnor2 ix1231 (.Y (nx1230), .A0 (nx6793), .A1 (nx1228)) ; xnor2 ix1229 (.Y (nx1228), .A0 (PRI_IN_1[1]), .A1 (nx15459)) ; ao21 ix1123 (.Y (nx6425), .A0 (C_MUX2_24_SEL), .A1 (reg_27_q_c_1_), .B0 ( nx1118)) ; nor02 ix1119 (.Y (nx1118), .A0 (C_MUX2_24_SEL), .A1 (nx7239)) ; mux21 ix7248 (.Y (nx7247), .A0 (reg_13_q_c_1_), .A1 (reg_11_q_c_1_), .S0 ( C_MUX2_5_SEL)) ; dff REG_43_reg_q_1_ (.Q (reg_43_q_c_1_), .QB (\$dummy [58]), .D (nx1398), .CLK ( CLK)) ; xor2 ix1399 (.Y (nx1398), .A0 (nx588), .A1 (nx1396)) ; xnor2 ix1397 (.Y (nx1396), .A0 (reg_34_q_c_1_), .A1 (nx1240)) ; mux21 ix7274 (.Y (nx7272), .A0 (reg_18_q_c_1_), .A1 (nx1100), .S0 ( C_MUX2_19_SEL)) ; dff REG_39_reg_q_1_ (.Q (reg_39_q_c_1_), .QB (\$dummy [59]), .D (nx1634), .CLK ( CLK)) ; mux21 ix1635 (.Y (nx1634), .A0 (nx7279), .A1 (nx7283), .S0 (C_MUX2_10_SEL) ) ; xnor2 ix7280 (.Y (nx7279), .A0 (nx836), .A1 (nx1552)) ; xnor2 ix1553 (.Y (nx1552), .A0 (reg_44_q_c_1_), .A1 (nx7151_XX0_XREP19)) ; xnor2 ix7284 (.Y (nx7283), .A0 (nx940), .A1 (nx1628)) ; nor02 ix941 (.Y (nx940), .A0 (nx7287), .A1 (nx7289)) ; inv02 ix7288 (.Y (nx7287), .A (PRI_IN_4[0])) ; mux21 ix7290 (.Y (nx7289), .A0 (PRI_OUT_5[0]), .A1 (reg_22_q_c_0_), .S0 ( C_MUX2_25_SEL)) ; xnor2 ix1629 (.Y (nx1628), .A0 (PRI_IN_4[1]), .A1 (nx7292)) ; mux21 ix7294 (.Y (nx7292), .A0 (PRI_OUT_5[1]), .A1 (reg_22_q_c_1_), .S0 ( C_MUX2_25_SEL)) ; dff REG_21_reg_q_1_ (.Q (PRI_OUT_5[1]), .QB (\$dummy [60]), .D (nx1612), .CLK ( CLK)) ; xnor2 ix1613 (.Y (nx1612), .A0 (nx7299), .A1 (nx1610)) ; nand02 ix7300 (.Y (nx7299), .A0 (reg_48_q_c_0_), .A1 (nx296)) ; xnor2 ix1611 (.Y (nx1610), .A0 (reg_48_q_c_1_), .A1 (nx7097)) ; dff REG_48_reg_q_1_ (.Q (reg_48_q_c_1_), .QB (\$dummy [61]), .D (nx1602), .CLK ( CLK)) ; mux21 ix1603 (.Y (nx1602), .A0 (nx7307), .A1 (nx7313), .S0 (C_MUX2_23_SEL) ) ; xnor2 ix7308 (.Y (nx7307), .A0 (nx7309), .A1 (nx7311)) ; nand02 ix7310 (.Y (nx7309), .A0 (reg_13_q_c_0_), .A1 (reg_40_q_c_0_)) ; xnor2 ix7314 (.Y (nx7313), .A0 (nx7315), .A1 (nx7317)) ; dff REG_9_reg_q_1_ (.Q (PRI_OUT_4[1]), .QB (\$dummy [62]), .D (nx1584), .CLK ( CLK)) ; xor2 ix1585 (.Y (nx1584), .A0 (nx7325), .A1 (nx7327)) ; nand02 ix7326 (.Y (nx7325), .A0 (reg_22_q_c_0_), .A1 (reg_46_q_c_0_)) ; xnor2 ix7328 (.Y (nx7327), .A0 (reg_22_q_c_1_), .A1 (reg_46_q_c_1_)) ; dff REG_46_reg_q_1_ (.Q (reg_46_q_c_1_), .QB (\$dummy [63]), .D (nx1574), .CLK ( CLK)) ; xnor2 ix1575 (.Y (nx1574), .A0 (nx6890), .A1 (nx1572)) ; dff REG_26_reg_q_2_ (.Q (PRI_OUT_9[2]), .QB (\$dummy [64]), .D (nx2764), .CLK ( CLK)) ; xnor2 ix2765 (.Y (nx2764), .A0 (nx7349), .A1 (nx2762)) ; aoi22 ix7350 (.Y (nx7349), .A0 (nx7275), .A1 (reg_39_q_c_1_), .B0 (nx958), .B1 ( nx1642)) ; xnor2 ix2763 (.Y (nx2762), .A0 (reg_33_q_c_2_), .A1 (reg_39_q_c_2_)) ; dff REG_33_reg_q_2_ (.Q (reg_33_q_c_2_), .QB (\$dummy [65]), .D (nx2606), .CLK ( CLK)) ; xnor2 ix2607 (.Y (nx2606), .A0 (nx7359), .A1 (nx2604)) ; aoi22 ix7360 (.Y (nx7359), .A0 (nx820), .A1 (nx1542), .B0 (nx1540), .B1 ( reg_17_q_c_1_)) ; dff REG_17_reg_q_2_ (.Q (reg_17_q_c_2_), .QB (nx7838), .D (nx2588), .CLK ( CLK)) ; xnor2 ix2589 (.Y (nx2588), .A0 (nx7371), .A1 (nx2586)) ; aoi22 ix7372 (.Y (nx7371), .A0 (nx796), .A1 (nx1524), .B0 (nx7239), .B1 ( reg_29_q_c_1_)) ; dff REG_29_reg_q_2_ (.Q (reg_29_q_c_2_), .QB (\$dummy [66]), .D (nx2578), .CLK ( CLK)) ; xnor2 ix2579 (.Y (nx2578), .A0 (nx1688), .A1 (nx7383)) ; mux21 ix1689 (.Y (nx1688), .A0 (nx6929), .A1 (nx1026), .S0 (nx6933)) ; xnor2 ix7384 (.Y (nx7383), .A0 (nx7385), .A1 (nx2014)) ; mux21 ix7386 (.Y (nx7385), .A0 (reg_20_q_c_2_), .A1 (reg_17_q_c_2_), .S0 ( C_MUX2_16_SEL)) ; dff REG_20_reg_q_2_ (.Q (reg_20_q_c_2_), .QB (\$dummy [67]), .D (nx2560), .CLK ( CLK)) ; xnor2 ix2561 (.Y (nx2560), .A0 (nx7391), .A1 (nx2558)) ; aoi22 ix7392 (.Y (nx7391), .A0 (nx752), .A1 (nx1496), .B0 (nx7239), .B1 ( reg_28_q_c_1_)) ; dff REG_28_reg_q_2_ (.Q (reg_28_q_c_2_), .QB (\$dummy [68]), .D (nx2550), .CLK ( CLK)) ; xnor2 ix2551 (.Y (nx2550), .A0 (nx7401), .A1 (nx2548)) ; aoi22 ix7402 (.Y (nx7401), .A0 (nx7403), .A1 (reg_5_q_c_1_), .B0 (nx734), .B1 ( nx1486)) ; inv02 ix7404 (.Y (nx7403), .A (PRI_IN_2[1])) ; xnor2 ix2541 (.Y (nx2540), .A0 (nx7411), .A1 (nx2538)) ; aoi22 ix7412 (.Y (nx7411), .A0 (nx7413), .A1 (reg_34_q_c_1_), .B0 (nx716), .B1 ( nx1476)) ; inv02 ix7414 (.Y (nx7413), .A (PRI_IN_3[1])) ; dff REG_34_reg_q_2_ (.Q (\$dummy [69]), .QB (nx7834), .D (nx2530), .CLK (CLK )) ; xor2 ix2531 (.Y (nx2530), .A0 (nx7421), .A1 (nx7425)) ; aoi32 ix7422 (.Y (nx7421), .A0 (reg_18_q_c_0_), .A1 (reg_19_q_c_0_), .A2 ( nx1466), .B0 (reg_19_q_c_1_), .B1 (reg_18_q_c_1_)) ; dff REG_18_reg_q_2_ (.Q (reg_18_q_c_2_), .QB (nx7833), .D (nx2520), .CLK ( CLK)) ; xnor2 ix2521 (.Y (nx2520), .A0 (nx7431), .A1 (nx2518)) ; aoi22 ix7432 (.Y (nx7431), .A0 (nx7155), .A1 (PRI_IN_9[1]), .B0 (nx684), .B1 ( nx1456)) ; xor2 ix2511 (.Y (nx2510), .A0 (nx7443), .A1 (nx7447)) ; aoi32 ix7444 (.Y (nx7443), .A0 (nx15895), .A1 (reg_42_q_c_0_), .A2 (nx1446) , .B0 (reg_42_q_c_1_), .B1 (reg_17_q_c_1_)) ; dff REG_42_reg_q_2_ (.Q (\$dummy [70]), .QB (nx7831), .D (nx2500), .CLK (CLK )) ; xnor2 ix2501 (.Y (nx2500), .A0 (nx1752), .A1 (nx7457)) ; oai22 ix1753 (.Y (nx1752), .A0 (nx6971), .A1 (nx6973), .B0 (nx7455), .B1 ( nx7261)) ; dff REG_40_reg_q_2_ (.Q (reg_40_q_c_2_), .QB (nx7829), .D (nx2490), .CLK ( CLK)) ; xnor2 ix2491 (.Y (nx2490), .A0 (nx7463), .A1 (nx2488)) ; aoi22 ix7464 (.Y (nx7463), .A0 (nx15908), .A1 (PRI_OUT_3[1]), .B0 (nx638), .B1 ( nx1426)) ; dff REG_4_reg_q_2_ (.Q (PRI_OUT_3[2]), .QB (\$dummy [71]), .D (nx2480), .CLK ( CLK)) ; xnor2 ix2481 (.Y (nx2480), .A0 (nx7473), .A1 (nx2478)) ; aoi22 ix7474 (.Y (nx7473), .A0 (nx7259), .A1 (reg_32_q_c_1_), .B0 (nx620), .B1 ( nx1416)) ; xnor2 ix2479 (.Y (nx2478), .A0 (PRI_OUT_3[2]), .A1 (reg_32_q_c_2_)) ; dff REG_32_reg_q_2_ (.Q (reg_32_q_c_2_), .QB (\$dummy [72]), .D (nx2470), .CLK ( CLK)) ; xor2 ix2471 (.Y (nx2470), .A0 (nx7481), .A1 (nx7485)) ; dff REG_24_reg_q_2_ (.Q (PRI_OUT_8[2]), .QB (\$dummy [73]), .D (nx2440), .CLK ( CLK)) ; xor2 ix2441 (.Y (nx2440), .A0 (nx7491), .A1 (nx7495)) ; aoi32 ix7492 (.Y (nx7491), .A0 (reg_34_q_c_0_), .A1 (reg_41_q_c_0_), .A2 ( nx1386), .B0 (reg_41_q_c_1_), .B1 (reg_34_q_c_1_)) ; dff REG_41_reg_q_2_ (.Q (\$dummy [74]), .QB (nx7817), .D (nx2430), .CLK (CLK )) ; xor2 ix2431 (.Y (nx2430), .A0 (nx7501), .A1 (nx7511)) ; aoi22 ix7502 (.Y (nx7501), .A0 (nx6427), .A1 (PRI_IN_8[1]), .B0 (nx558), .B1 ( nx1376)) ; xnor2 ix7512 (.Y (nx7511), .A0 (PRI_IN_8[2]), .A1 (nx14717)) ; ao21 ix2427 (.Y (nx6437), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_2_), .B0 ( nx2386)) ; xor2 ix2417 (.Y (nx2416), .A0 (nx7519), .A1 (nx7523)) ; aoi32 ix7520 (.Y (nx7519), .A0 (PRI_OUT_1[0]), .A1 (reg_37_q_c_0_), .A2 ( nx1362), .B0 (reg_37_q_c_1_), .B1 (PRI_OUT_1[1])) ; dff REG_2_reg_q_2_ (.Q (PRI_OUT_1[2]), .QB (\$dummy [75]), .D (nx2406), .CLK ( CLK)) ; xor2 ix2407 (.Y (nx2406), .A0 (nx7529), .A1 (nx7533)) ; aoi32 ix7530 (.Y (nx7529), .A0 (reg_35_q_c_0_), .A1 (reg_45_q_c_0_), .A2 ( nx1352), .B0 (reg_45_q_c_1_), .B1 (reg_35_q_c_1_)) ; dff REG_35_reg_q_2_ (.Q (reg_35_q_c_2_), .QB (nx7809), .D (nx2142), .CLK ( CLK)) ; xnor2 ix2143 (.Y (nx2142), .A0 (nx2130), .A1 (nx7541)) ; oai22 ix2131 (.Y (nx2130), .A0 (nx7239), .A1 (nx7236), .B0 (nx7025), .B1 ( nx7027)) ; dff REG_37_reg_q_2_ (.Q (reg_37_q_c_2_), .QB (nx7804), .D (nx2330), .CLK ( CLK)) ; xor2 ix2331 (.Y (nx2330), .A0 (nx7547), .A1 (nx7551)) ; aoi32 ix7548 (.Y (nx7547), .A0 (PRI_IN_6[0]), .A1 (reg_44_q_c_0_), .A2 ( nx1292), .B0 (reg_44_q_c_1_), .B1 (PRI_IN_6[1])) ; dff REG_44_reg_q_2_ (.Q (reg_44_q_c_2_), .QB (nx7803), .D (nx2320), .CLK ( CLK)) ; xnor2 ix2321 (.Y (nx2320), .A0 (nx7557), .A1 (nx2318)) ; aoi22 ix7558 (.Y (nx7557), .A0 (nx7213), .A1 (reg_10_q_c_1_), .B0 (nx430), .B1 ( nx1282)) ; dff REG_10_reg_q_2_ (.Q (reg_10_q_c_2_), .QB (\$dummy [76]), .D (nx2310), .CLK ( CLK)) ; xor2 ix2311 (.Y (nx2310), .A0 (nx7567), .A1 (nx7571)) ; aoi32 ix7568 (.Y (nx7567), .A0 (reg_5_q_c_0_), .A1 (reg_11_q_c_0_), .A2 ( nx1272), .B0 (reg_11_q_c_1_), .B1 (reg_5_q_c_1_)) ; dff REG_11_reg_q_2_ (.Q (reg_11_q_c_2_), .QB (nx7801), .D (nx2300), .CLK ( CLK)) ; xnor2 ix2301 (.Y (nx2300), .A0 (nx1856), .A1 (nx7583)) ; dff REG_45_reg_q_2_ (.Q (reg_45_q_c_2_), .QB (nx7800), .D (nx2290), .CLK ( CLK)) ; xor2 ix2291 (.Y (nx2290), .A0 (nx7588), .A1 (nx7591)) ; aoi32 ix7589 (.Y (nx7588), .A0 (reg_27_q_c_0_), .A1 (reg_47_q_c_0_), .A2 ( nx1252), .B0 (reg_47_q_c_1_), .B1 (reg_27_q_c_1_)) ; dff REG_27_reg_q_2_ (.Q (reg_27_q_c_2_), .QB (nx7781), .D (nx2232), .CLK ( CLK)) ; xnor2 ix2233 (.Y (nx2232), .A0 (nx7597), .A1 (nx2230)) ; aoi22 ix7598 (.Y (nx7597), .A0 (nx7087), .A1 (reg_31_q_c_1_), .B0 (nx326), .B1 ( nx1214)) ; dff REG_13_reg_q_2_ (.Q (reg_13_q_c_2_), .QB (nx7631), .D (nx2368), .CLK ( CLK)) ; xnor2 ix2369 (.Y (nx2368), .A0 (nx7603), .A1 (nx2366)) ; aoi22 ix7604 (.Y (nx7603), .A0 (nx7241), .A1 (PRI_OUT_0[1]), .B0 (nx498), .B1 ( nx1330)) ; dff REG_1_reg_q_2_ (.Q (PRI_OUT_0[2]), .QB (\$dummy [77]), .D (nx2358), .CLK ( CLK)) ; xnor2 ix2359 (.Y (nx2358), .A0 (nx7610), .A1 (nx2356)) ; aoi22 ix7611 (.Y (nx7610), .A0 (nx482), .A1 (nx1320), .B0 (nx1318), .B1 ( reg_41_q_c_1_)) ; mux21 ix7620 (.Y (nx7619), .A0 (reg_25_q_c_2_), .A1 (PRI_OUT_8[2]), .S0 ( C_MUX2_8_SEL)) ; dff REG_25_reg_q_2_ (.Q (reg_25_q_c_2_), .QB (\$dummy [78]), .D (nx2340), .CLK ( CLK)) ; xnor2 ix2341 (.Y (nx2340), .A0 (nx1822), .A1 (nx7627)) ; oai22 ix1823 (.Y (nx1822), .A0 (nx7079), .A1 (nx7081), .B0 (nx7507), .B1 ( nx7236)) ; dff REG_31_reg_q_2_ (.Q (reg_31_q_c_2_), .QB (nx7779), .D (nx2222), .CLK ( CLK)) ; xnor2 ix2223 (.Y (nx2222), .A0 (nx7635), .A1 (nx2220)) ; mux21 ix7636 (.Y (nx7635), .A0 (nx7097), .A1 (nx308), .S0 (nx1204)) ; xnor2 ix2221 (.Y (nx2220), .A0 (nx7639), .A1 (nx7777)) ; mux21 ix7640 (.Y (nx7639), .A0 (reg_38_q_c_2_), .A1 (reg_37_q_c_2_), .S0 ( C_MUX2_3_SEL)) ; dff REG_38_reg_q_2_ (.Q (reg_38_q_c_2_), .QB (\$dummy [79]), .D (nx2196), .CLK ( CLK)) ; xor2 ix2197 (.Y (nx2196), .A0 (nx1894), .A1 (nx2194)) ; mux21 ix1895 (.Y (nx1894), .A0 (reg_22_q_c_1_), .A1 (nx6777), .S0 (nx1178) ) ; dff REG_22_reg_q_2_ (.Q (reg_22_q_c_2_), .QB (nx7773), .D (nx2186), .CLK ( CLK)) ; xnor2 ix2187 (.Y (nx2186), .A0 (nx1904), .A1 (nx7657)) ; xnor2 ix7658 (.Y (nx7657), .A0 (reg_28_q_c_2_), .A1 (nx7659)) ; mux21 ix2179 (.Y (nx6433), .A0 (nx7663), .A1 (nx7770), .S0 (C_MUX2_21_SEL) ) ; mux21 ix7664 (.Y (nx7663), .A0 (PRI_OUT_7[2]), .A1 (reg_17_q_c_2_), .S0 ( C_MUX2_17_SEL)) ; dff REG_6_reg_q_2_ (.Q (PRI_OUT_7[2]), .QB (\$dummy [80]), .D (nx2156), .CLK ( CLK)) ; xor2 ix2157 (.Y (nx2156), .A0 (nx1916), .A1 (nx2154)) ; mux21 ix1917 (.Y (nx1916), .A0 (nx7195), .A1 (nx7668), .S0 (nx1138)) ; xnor2 ix2155 (.Y (nx2154), .A0 (nx2098), .A1 (nx7758)) ; mux21 ix2099 (.Y (nx2098), .A0 (nx7663), .A1 (nx7675), .S0 (C_MUX2_15_SEL) ) ; aoi32 ix7682 (.Y (nx7681), .A0 (reg_12_q_c_0_), .A1 (reg_19_q_c_0_), .A2 ( nx1070), .B0 (reg_19_q_c_1_), .B1 (nx15911)) ; dff REG_19_reg_q_2_ (.Q (reg_19_q_c_2_), .QB (nx7748), .D (nx2050), .CLK ( CLK)) ; xnor2 ix2051 (.Y (nx2050), .A0 (nx1938), .A1 (nx7697)) ; oai22 ix1939 (.Y (nx1938), .A0 (nx6747), .A1 (nx7149), .B0 (nx1058), .B1 ( nx7211)) ; mux21 ix2041 (.Y (nx2040), .A0 (nx7703), .A1 (nx7705), .S0 (C_MUX2_6_SEL)) ; dff REG_7_reg_q_2_ (.Q (reg_7_q_c_2_), .QB (nx7703), .D (nx2510), .CLK (CLK) ) ; mux21 ix7706 (.Y (nx7705), .A0 (reg_17_q_c_2_), .A1 (reg_5_q_c_2_), .S0 ( C_MUX2_18_SEL)) ; dff REG_14_reg_q_2_ (.Q (reg_14_q_c_2_), .QB (\$dummy [81]), .D (nx2004), .CLK ( CLK)) ; xor2 ix2005 (.Y (nx2004), .A0 (nx1960), .A1 (nx2002)) ; mux21 ix1961 (.Y (nx1960), .A0 (PRI_IN_7[1]), .A1 (nx6743), .S0 (nx1014)) ; xnor2 ix2003 (.Y (nx2002), .A0 (PRI_IN_7[2]), .A1 (PRI_OUT_6[2])) ; dff REG_23_reg_q_2_ (.Q (PRI_OUT_6[2]), .QB (\$dummy [82]), .D (nx1994), .CLK ( CLK)) ; xor2 ix1995 (.Y (nx1994), .A0 (nx7719), .A1 (nx7727)) ; dff REG_30_reg_q_2_ (.Q (reg_30_q_c_2_), .QB (\$dummy [83]), .D (nx1984), .CLK ( CLK)) ; xnor2 ix1985 (.Y (nx1984), .A0 (nx7733), .A1 (nx1982)) ; aoi22 ix7734 (.Y (nx7733), .A0 (nx7735), .A1 (nx15911), .B0 (nx22), .B1 ( nx994)) ; inv02 ix7736 (.Y (nx7735), .A (PRI_IN_0[1])) ; dff REG_16_reg_q_2_ (.Q (reg_16_q_c_2_), .QB (\$dummy [84]), .D (nx2084), .CLK ( CLK)) ; xnor2 ix2085 (.Y (nx2084), .A0 (nx7753), .A1 (nx2082)) ; mux21 ix7754 (.Y (nx7753), .A0 (nx7269), .A1 (nx148), .S0 (nx1084)) ; xnor2 ix2083 (.Y (nx2082), .A0 (reg_20_q_c_2_), .A1 (nx6433)) ; mux21 ix7759 (.Y (nx7758), .A0 (reg_36_q_c_2_), .A1 (reg_35_q_c_2_), .S0 ( C_MUX2_11_SEL)) ; dff REG_36_reg_q_2_ (.Q (reg_36_q_c_2_), .QB (\$dummy [85]), .D (nx2112), .CLK ( CLK)) ; xor2 ix2113 (.Y (nx2112), .A0 (nx7762), .A1 (nx7764)) ; xnor2 ix7765 (.Y (nx7764), .A0 (reg_16_q_c_2_), .A1 (nx7659)) ; dff REG_5_reg_q_2_ (.Q (reg_5_q_c_2_), .QB (nx7770), .D (nx2540), .CLK (CLK) ) ; mux21 ix7778 (.Y (nx7777), .A0 (reg_19_q_c_2_), .A1 (reg_11_q_c_2_), .S0 ( C_MUX2_7_SEL)) ; dff REG_47_reg_q_2_ (.Q (\$dummy [86]), .QB (nx7799), .D (nx2280), .CLK (CLK )) ; xnor2 ix2281 (.Y (nx2280), .A0 (nx2248), .A1 (nx7787)) ; mux21 ix2249 (.Y (nx2248), .A0 (nx7216), .A1 (nx1058), .S0 (nx7218)) ; xnor2 ix7788 (.Y (nx7787), .A0 (nx14767), .A1 (nx2276)) ; xnor2 ix2267 (.Y (nx2266), .A0 (nx7792), .A1 (nx2264)) ; aoi22 ix7793 (.Y (nx7792), .A0 (nx7507), .A1 (PRI_IN_1[1]), .B0 (nx348), .B1 ( nx1228)) ; xnor2 ix2265 (.Y (nx2264), .A0 (PRI_IN_1[2]), .A1 (nx14717)) ; nor02 ix2135 (.Y (nx2134), .A0 (C_MUX2_24_SEL), .A1 (nx14819)) ; mux21 ix7816 (.Y (nx7815), .A0 (reg_13_q_c_2_), .A1 (reg_11_q_c_2_), .S0 ( C_MUX2_5_SEL)) ; dff REG_43_reg_q_2_ (.Q (reg_43_q_c_2_), .QB (\$dummy [87]), .D (nx2460), .CLK ( CLK)) ; xnor2 ix2461 (.Y (nx2460), .A0 (nx7823), .A1 (nx2458)) ; aoi22 ix7824 (.Y (nx7823), .A0 (nx7455), .A1 (reg_34_q_c_1_), .B0 (nx588), .B1 ( nx1396)) ; mux21 ix7840 (.Y (nx7839), .A0 (reg_18_q_c_2_), .A1 (nx2098), .S0 ( C_MUX2_19_SEL)) ; dff REG_39_reg_q_2_ (.Q (reg_39_q_c_2_), .QB (\$dummy [88]), .D (nx2754), .CLK ( CLK)) ; ao21 ix2755 (.Y (nx2754), .A0 (C_MUX2_10_SEL), .A1 (nx2750), .B0 (nx2628)) ; xnor2 ix2751 (.Y (nx2750), .A0 (nx7847), .A1 (nx2748)) ; aoi22 ix7848 (.Y (nx7847), .A0 (nx940), .A1 (nx1628), .B0 (nx1626), .B1 ( PRI_IN_4[1])) ; xnor2 ix2749 (.Y (nx2748), .A0 (PRI_IN_4[2]), .A1 (nx7853)) ; mux21 ix7854 (.Y (nx7853), .A0 (PRI_OUT_5[2]), .A1 (reg_22_q_c_2_), .S0 ( C_MUX2_25_SEL)) ; dff REG_21_reg_q_2_ (.Q (PRI_OUT_5[2]), .QB (\$dummy [89]), .D (nx2732), .CLK ( CLK)) ; xor2 ix2733 (.Y (nx2732), .A0 (nx2644), .A1 (nx2730)) ; mux21 ix2645 (.Y (nx2644), .A0 (nx7097), .A1 (nx7299), .S0 (nx1610)) ; xnor2 ix2731 (.Y (nx2730), .A0 (reg_48_q_c_2_), .A1 (nx7639)) ; dff REG_48_reg_q_2_ (.Q (reg_48_q_c_2_), .QB (\$dummy [90]), .D (nx2722), .CLK ( CLK)) ; mux21 ix2723 (.Y (nx2722), .A0 (nx7867), .A1 (nx7875), .S0 (C_MUX2_23_SEL) ) ; xnor2 ix7868 (.Y (nx7867), .A0 (nx7869), .A1 (nx7872)) ; aoi32 ix7870 (.Y (nx7869), .A0 (reg_13_q_c_0_), .A1 (reg_40_q_c_0_), .A2 ( nx1560), .B0 (reg_40_q_c_1_), .B1 (reg_13_q_c_1_)) ; xnor2 ix7876 (.Y (nx7875), .A0 (nx7877), .A1 (nx7881)) ; xnor2 ix7882 (.Y (nx7881), .A0 (reg_36_q_c_2_), .A1 (nx2714)) ; ao21 ix2715 (.Y (nx2714), .A0 (C_MUX2_4_SEL), .A1 (PRI_OUT_4[2]), .B0 ( nx2672)) ; dff REG_9_reg_q_2_ (.Q (PRI_OUT_4[2]), .QB (\$dummy [91]), .D (nx2704), .CLK ( CLK)) ; xor2 ix2705 (.Y (nx2704), .A0 (nx7886), .A1 (nx7889)) ; aoi32 ix7887 (.Y (nx7886), .A0 (reg_22_q_c_0_), .A1 (reg_46_q_c_0_), .A2 ( nx1582), .B0 (reg_46_q_c_1_), .B1 (reg_22_q_c_1_)) ; dff REG_46_reg_q_2_ (.Q (\$dummy [92]), .QB (nx7899), .D (nx2694), .CLK (CLK )) ; xnor2 ix2695 (.Y (nx2694), .A0 (nx7894), .A1 (nx2692)) ; aoi22 ix7895 (.Y (nx7894), .A0 (nx7275), .A1 (PRI_IN_5[1]), .B0 (nx864), .B1 ( nx1572)) ; xnor2 ix2693 (.Y (nx2692), .A0 (PRI_IN_5[2]), .A1 (reg_33_q_c_2_)) ; nor02 ix2673 (.Y (nx2672), .A0 (C_MUX2_4_SEL), .A1 (nx7675)) ; nor02 ix2629 (.Y (nx2628), .A0 (C_MUX2_10_SEL), .A1 (nx7906)) ; xor2 ix7907 (.Y (nx7906), .A0 (nx7909), .A1 (nx2622)) ; aoi22 ix7910 (.Y (nx7909), .A0 (nx1058), .A1 (reg_44_q_c_1_), .B0 (nx836), .B1 ( nx1552)) ; dff REG_26_reg_q_3_ (.Q (PRI_OUT_9[3]), .QB (\$dummy [93]), .D (nx3884), .CLK ( CLK)) ; xor2 ix3885 (.Y (nx3884), .A0 (nx2780), .A1 (nx3882)) ; mux21 ix2781 (.Y (nx2780), .A0 (reg_33_q_c_2_), .A1 (nx7349), .S0 (nx2762) ) ; dff REG_33_reg_q_3_ (.Q (\$dummy [94]), .QB (nx8336), .D (nx3726), .CLK (CLK )) ; xor2 ix3727 (.Y (nx3726), .A0 (nx2788), .A1 (nx3724)) ; oai22 ix2789 (.Y (nx2788), .A0 (nx7359), .A1 (nx7924), .B0 (nx7839), .B1 ( nx7838)) ; xnor2 ix3725 (.Y (nx3724), .A0 (reg_17_q_c_3_), .A1 (nx8334)) ; dff REG_17_reg_q_3_ (.Q (reg_17_q_c_3_), .QB (\$dummy [95]), .D (nx3708), .CLK ( CLK)) ; xor2 ix3709 (.Y (nx3708), .A0 (nx2798), .A1 (nx3706)) ; mux21 ix2799 (.Y (nx2798), .A0 (nx14713), .A1 (nx7371), .S0 (nx2586)) ; xnor2 ix3707 (.Y (nx3706), .A0 (reg_29_q_c_3_), .A1 (nx6445)) ; dff REG_29_reg_q_3_ (.Q (reg_29_q_c_3_), .QB (\$dummy [96]), .D (nx3698), .CLK ( CLK)) ; xor2 ix3699 (.Y (nx3698), .A0 (nx7935), .A1 (nx7941)) ; mux21 ix7936 (.Y (nx7935), .A0 (nx1688), .A1 (nx7937), .S0 (nx7383)) ; xnor2 ix7942 (.Y (nx7941), .A0 (nx7943), .A1 (nx3134)) ; mux21 ix7944 (.Y (nx7943), .A0 (reg_20_q_c_3_), .A1 (nx14719), .S0 ( C_MUX2_16_SEL)) ; dff REG_20_reg_q_3_ (.Q (reg_20_q_c_3_), .QB (nx8329), .D (nx3680), .CLK ( CLK)) ; xor2 ix3681 (.Y (nx3680), .A0 (nx2818), .A1 (nx3678)) ; mux21 ix2819 (.Y (nx2818), .A0 (nx14713), .A1 (nx7391), .S0 (nx2558)) ; dff REG_28_reg_q_3_ (.Q (reg_28_q_c_3_), .QB (nx8328), .D (nx3670), .CLK ( CLK)) ; xor2 ix3671 (.Y (nx3670), .A0 (nx2828), .A1 (nx3668)) ; oai22 ix2829 (.Y (nx2828), .A0 (nx7401), .A1 (nx7953), .B0 (PRI_IN_2[2]), .B1 ( nx7770)) ; xor2 ix3661 (.Y (nx3660), .A0 (nx2838), .A1 (nx3658)) ; oai22 ix2839 (.Y (nx2838), .A0 (nx7411), .A1 (nx7962), .B0 (PRI_IN_3[2]), .B1 ( nx7834)) ; xnor2 ix3659 (.Y (nx3658), .A0 (PRI_IN_3[3]), .A1 (reg_34_q_c_3_)) ; dff REG_34_reg_q_3_ (.Q (reg_34_q_c_3_), .QB (\$dummy [97]), .D (nx3650), .CLK ( CLK)) ; xnor2 ix3651 (.Y (nx3650), .A0 (nx2846), .A1 (nx7969)) ; oai22 ix2847 (.Y (nx2846), .A0 (nx7421), .A1 (nx7425), .B0 (nx7748), .B1 ( nx7833)) ; xnor2 ix7970 (.Y (nx7969), .A0 (reg_18_q_c_3_), .A1 (reg_19_q_c_3_)) ; dff REG_18_reg_q_3_ (.Q (reg_18_q_c_3_), .QB (\$dummy [98]), .D (nx3640), .CLK ( CLK)) ; xor2 ix3641 (.Y (nx3640), .A0 (nx2856), .A1 (nx3638)) ; mux21 ix2857 (.Y (nx2856), .A0 (reg_7_q_c_2_), .A1 (nx7431), .S0 (nx2518)) ; xnor2 ix3631 (.Y (nx3630), .A0 (nx2864), .A1 (nx7979)) ; oai22 ix2865 (.Y (nx2864), .A0 (nx7443), .A1 (nx7447), .B0 (nx7831), .B1 ( nx7838)) ; xnor2 ix7980 (.Y (nx7979), .A0 (nx14719), .A1 (reg_42_q_c_3_)) ; dff REG_42_reg_q_3_ (.Q (reg_42_q_c_3_), .QB (\$dummy [99]), .D (nx3620), .CLK ( CLK)) ; xor2 ix3621 (.Y (nx3620), .A0 (nx7985), .A1 (nx7991)) ; aoi22 ix7986 (.Y (nx7985), .A0 (nx2276), .A1 (reg_40_q_c_2_), .B0 (nx1752), .B1 (nx2498)) ; dff REG_40_reg_q_3_ (.Q (reg_40_q_c_3_), .QB (nx8324), .D (nx3610), .CLK ( CLK)) ; xor2 ix3611 (.Y (nx3610), .A0 (nx2882), .A1 (nx3608)) ; mux21 ix2883 (.Y (nx2882), .A0 (reg_5_q_c_2_), .A1 (nx7463), .S0 (nx2488)) ; dff REG_4_reg_q_3_ (.Q (PRI_OUT_3[3]), .QB (nx8323), .D (nx3600), .CLK (CLK) ) ; xor2 ix3601 (.Y (nx3600), .A0 (nx2892), .A1 (nx3598)) ; mux21 ix2893 (.Y (nx2892), .A0 (PRI_OUT_3[2]), .A1 (nx7473), .S0 (nx2478)) ; dff REG_32_reg_q_3_ (.Q (reg_32_q_c_3_), .QB (\$dummy [100]), .D (nx3590), .CLK ( CLK)) ; xnor2 ix3591 (.Y (nx3590), .A0 (nx2900), .A1 (nx8013)) ; ao21 ix2901 (.Y (nx2900), .A0 (reg_43_q_c_2_), .A1 (PRI_OUT_8[2]), .B0 ( nx2898)) ; xnor2 ix8014 (.Y (nx8013), .A0 (PRI_OUT_8[3]), .A1 (reg_43_q_c_3_)) ; dff REG_24_reg_q_3_ (.Q (PRI_OUT_8[3]), .QB (\$dummy [101]), .D (nx3560), .CLK ( CLK)) ; xnor2 ix3561 (.Y (nx3560), .A0 (nx2908), .A1 (nx8020)) ; oai22 ix2909 (.Y (nx2908), .A0 (nx7491), .A1 (nx7495), .B0 (nx7817), .B1 ( nx7834)) ; xnor2 ix8021 (.Y (nx8020), .A0 (reg_34_q_c_3_), .A1 (reg_41_q_c_3_)) ; dff REG_41_reg_q_3_ (.Q (reg_41_q_c_3_), .QB (\$dummy [102]), .D (nx3550), .CLK ( CLK)) ; xnor2 ix3551 (.Y (nx3550), .A0 (nx2916), .A1 (nx8029)) ; mux21 ix2917 (.Y (nx2916), .A0 (nx7501), .A1 (nx8025), .S0 (nx7511)) ; xnor2 ix8030 (.Y (nx8029), .A0 (PRI_IN_8[3]), .A1 (nx6447)) ; xnor2 ix3537 (.Y (nx3536), .A0 (nx3514), .A1 (nx8036)) ; mux21 ix3515 (.Y (nx3514), .A0 (nx7519), .A1 (nx7804), .S0 (nx7523)) ; dff REG_2_reg_q_3_ (.Q (PRI_OUT_1[3]), .QB (\$dummy [103]), .D (nx3526), .CLK ( CLK)) ; xnor2 ix3527 (.Y (nx3526), .A0 (nx3522), .A1 (nx8043)) ; oai22 ix3523 (.Y (nx3522), .A0 (nx7529), .A1 (nx7533), .B0 (nx7800), .B1 ( nx7809)) ; dff REG_35_reg_q_3_ (.Q (reg_35_q_c_3_), .QB (nx8301), .D (nx3262), .CLK ( CLK)) ; xor2 ix3263 (.Y (nx3262), .A0 (nx8047), .A1 (nx8051)) ; aoi22 ix8048 (.Y (nx8047), .A0 (nx14715), .A1 (reg_37_q_c_2_), .B0 (nx2130) , .B1 (nx2140)) ; dff REG_37_reg_q_3_ (.Q (reg_37_q_c_3_), .QB (nx8296), .D (nx3450), .CLK ( CLK)) ; xnor2 ix3451 (.Y (nx3450), .A0 (nx2950), .A1 (nx8061)) ; xnor2 ix8062 (.Y (nx8061), .A0 (PRI_IN_6[3]), .A1 (reg_44_q_c_3_)) ; dff REG_44_reg_q_3_ (.Q (reg_44_q_c_3_), .QB (\$dummy [104]), .D (nx3440), .CLK ( CLK)) ; xor2 ix3441 (.Y (nx3440), .A0 (nx2960), .A1 (nx3438)) ; mux21 ix2961 (.Y (nx2960), .A0 (reg_27_q_c_2_), .A1 (nx7557), .S0 (nx2318) ) ; dff REG_10_reg_q_3_ (.Q (reg_10_q_c_3_), .QB (\$dummy [105]), .D (nx3430), .CLK ( CLK)) ; xnor2 ix3431 (.Y (nx3430), .A0 (nx2968), .A1 (nx8071)) ; oai22 ix2969 (.Y (nx2968), .A0 (nx7567), .A1 (nx7571), .B0 (nx7801), .B1 ( nx7770)) ; dff REG_11_reg_q_3_ (.Q (reg_11_q_c_3_), .QB (\$dummy [106]), .D (nx3420), .CLK ( CLK)) ; xor2 ix3421 (.Y (nx3420), .A0 (nx8075), .A1 (nx8083)) ; aoi22 ix8076 (.Y (nx8075), .A0 (nx6433), .A1 (reg_45_q_c_2_), .B0 (nx15867) , .B1 (nx2298)) ; dff REG_45_reg_q_3_ (.Q (reg_45_q_c_3_), .QB (nx8289), .D (nx3410), .CLK ( CLK)) ; xnor2 ix3411 (.Y (nx3410), .A0 (nx2984), .A1 (nx8089)) ; oai22 ix2985 (.Y (nx2984), .A0 (nx7588), .A1 (nx7591), .B0 (nx7799), .B1 ( nx7781)) ; dff REG_27_reg_q_3_ (.Q (reg_27_q_c_3_), .QB (nx8267), .D (nx3352), .CLK ( CLK)) ; xor2 ix3353 (.Y (nx3352), .A0 (nx2994), .A1 (nx3350)) ; dff REG_13_reg_q_3_ (.Q (reg_13_q_c_3_), .QB (nx8123), .D (nx3488), .CLK ( CLK)) ; xor2 ix3489 (.Y (nx3488), .A0 (nx2926), .A1 (nx3486)) ; mux21 ix2927 (.Y (nx2926), .A0 (reg_35_q_c_2_), .A1 (nx7603), .S0 (nx2366) ) ; dff REG_1_reg_q_3_ (.Q (PRI_OUT_0[3]), .QB (\$dummy [107]), .D (nx3478), .CLK ( CLK)) ; xor2 ix3479 (.Y (nx3478), .A0 (nx2934), .A1 (nx3476)) ; oai22 ix2935 (.Y (nx2934), .A0 (nx7610), .A1 (nx8107), .B0 (nx7619), .B1 ( nx7817)) ; xnor2 ix3477 (.Y (nx3476), .A0 (reg_41_q_c_3_), .A1 (nx8111)) ; mux21 ix8112 (.Y (nx8111), .A0 (reg_25_q_c_3_), .A1 (PRI_OUT_8[3]), .S0 ( C_MUX2_8_SEL)) ; dff REG_25_reg_q_3_ (.Q (reg_25_q_c_3_), .QB (\$dummy [108]), .D (nx3460), .CLK ( CLK)) ; xor2 ix3461 (.Y (nx3460), .A0 (nx8115), .A1 (nx8119)) ; aoi22 ix8116 (.Y (nx8115), .A0 (nx14717_XX0_XREP27), .A1 (reg_37_q_c_2_), .B0 ( nx1822), .B1 (nx2338)) ; dff REG_31_reg_q_3_ (.Q (reg_31_q_c_3_), .QB (nx8265), .D (nx3342), .CLK ( CLK)) ; xor2 ix3343 (.Y (nx3342), .A0 (nx3004), .A1 (nx3340)) ; mux21 ix3005 (.Y (nx3004), .A0 (nx2210), .A1 (nx7635), .S0 (nx2220)) ; xnor2 ix3341 (.Y (nx3340), .A0 (nx8131), .A1 (nx8262)) ; mux21 ix8132 (.Y (nx8131), .A0 (reg_38_q_c_3_), .A1 (reg_37_q_c_3_), .S0 ( C_MUX2_3_SEL)) ; dff REG_38_reg_q_3_ (.Q (reg_38_q_c_3_), .QB (\$dummy [109]), .D (nx3316), .CLK ( CLK)) ; xnor2 ix3317 (.Y (nx3316), .A0 (nx8135), .A1 (nx3314)) ; mux21 ix8136 (.Y (nx8135), .A0 (nx7773), .A1 (nx1894), .S0 (nx2194)) ; xnor2 ix3315 (.Y (nx3314), .A0 (reg_22_q_c_3_), .A1 (nx3160)) ; dff REG_22_reg_q_3_ (.Q (reg_22_q_c_3_), .QB (\$dummy [110]), .D (nx3306), .CLK ( CLK)) ; xor2 ix3307 (.Y (nx3306), .A0 (nx8141), .A1 (nx8144)) ; mux21 ix8147 (.Y (nx8146), .A0 (reg_10_q_c_3_), .A1 (nx6443), .S0 ( C_MUX2_14_SEL)) ; mux21 ix3299 (.Y (nx6443), .A0 (nx8149), .A1 (nx8257), .S0 (C_MUX2_21_SEL) ) ; mux21 ix8150 (.Y (nx8149), .A0 (PRI_OUT_7[3]), .A1 (nx14719), .S0 ( C_MUX2_17_SEL)) ; dff REG_6_reg_q_3_ (.Q (PRI_OUT_7[3]), .QB (\$dummy [111]), .D (nx3276), .CLK ( CLK)) ; xnor2 ix3277 (.Y (nx3276), .A0 (nx8154), .A1 (nx3274)) ; mux21 ix8155 (.Y (nx8154), .A0 (nx2152), .A1 (nx1916), .S0 (nx2154)) ; xnor2 ix3275 (.Y (nx3274), .A0 (nx3218), .A1 (nx8243)) ; mux21 ix3219 (.Y (nx3218), .A0 (nx8149), .A1 (nx8164), .S0 (C_MUX2_15_SEL) ) ; oai22 ix3049 (.Y (nx3048), .A0 (nx7681), .A1 (nx7685), .B0 (nx7748), .B1 ( nx15461)) ; xnor2 ix8170 (.Y (nx8169), .A0 (nx15463), .A1 (reg_19_q_c_3_)) ; dff REG_19_reg_q_3_ (.Q (reg_19_q_c_3_), .QB (\$dummy [112]), .D (nx3170), .CLK ( CLK)) ; xor2 ix3171 (.Y (nx3170), .A0 (nx8175), .A1 (nx8184)) ; aoi22 ix8176 (.Y (nx8175), .A0 (nx14767), .A1 (reg_31_q_c_2_), .B0 (nx1938) , .B1 (nx2048)) ; dff REG_7_reg_q_3_ (.Q (\$dummy [113]), .QB (nx8191), .D (nx3630), .CLK (CLK )) ; mux21 ix8194 (.Y (nx8193), .A0 (nx14719), .A1 (reg_5_q_c_3_), .S0 ( C_MUX2_18_SEL)) ; ao21 ix3135 (.Y (nx3134), .A0 (C_MUX2_22_SEL), .A1 (reg_14_q_c_3_), .B0 ( nx3070)) ; dff REG_14_reg_q_3_ (.Q (reg_14_q_c_3_), .QB (\$dummy [114]), .D (nx3124), .CLK ( CLK)) ; xnor2 ix3125 (.Y (nx3124), .A0 (nx8199), .A1 (nx3122)) ; aoi22 ix8200 (.Y (nx8199), .A0 (nx8201), .A1 (PRI_OUT_6[2]), .B0 (nx1960), .B1 ( nx2002)) ; inv02 ix8202 (.Y (nx8201), .A (PRI_IN_7[2])) ; xnor2 ix3123 (.Y (nx3122), .A0 (PRI_IN_7[3]), .A1 (PRI_OUT_6[3])) ; dff REG_23_reg_q_3_ (.Q (PRI_OUT_6[3]), .QB (\$dummy [115]), .D (nx3114), .CLK ( CLK)) ; xnor2 ix3115 (.Y (nx3114), .A0 (nx3090), .A1 (nx8211)) ; xnor2 ix8212 (.Y (nx8211), .A0 (reg_30_q_c_3_), .A1 (nx8164)) ; dff REG_30_reg_q_3_ (.Q (reg_30_q_c_3_), .QB (\$dummy [116]), .D (nx3104), .CLK ( CLK)) ; xor2 ix3105 (.Y (nx3104), .A0 (nx3100), .A1 (nx3102)) ; oai22 ix3101 (.Y (nx3100), .A0 (nx7733), .A1 (nx8217), .B0 (PRI_IN_0[2]), .B1 ( nx15461)) ; xnor2 ix3103 (.Y (nx3102), .A0 (PRI_IN_0[3]), .A1 (nx15463)) ; nor02 ix3071 (.Y (nx3070), .A0 (C_MUX2_22_SEL), .A1 (nx8227)) ; mux21 ix8228 (.Y (nx8227), .A0 (reg_19_q_c_3_), .A1 (nx14719), .S0 ( C_MUX2_20_SEL)) ; nor02 ix3141 (.Y (nx3140), .A0 (C_MUX2_9_SEL), .A1 (nx7943)) ; dff REG_16_reg_q_3_ (.Q (reg_16_q_c_3_), .QB (nx8241), .D (nx3204), .CLK ( CLK)) ; xor2 ix3205 (.Y (nx3204), .A0 (nx3200), .A1 (nx3202)) ; mux21 ix3201 (.Y (nx3200), .A0 (reg_20_q_c_2_), .A1 (nx7753), .S0 (nx2082) ) ; mux21 ix8244 (.Y (nx8243), .A0 (reg_36_q_c_3_), .A1 (reg_35_q_c_3_), .S0 ( C_MUX2_11_SEL)) ; dff REG_36_reg_q_3_ (.Q (reg_36_q_c_3_), .QB (\$dummy [117]), .D (nx3232), .CLK ( CLK)) ; xnor2 ix3233 (.Y (nx3232), .A0 (nx3228), .A1 (nx8251)) ; mux21 ix3229 (.Y (nx3228), .A0 (nx7762), .A1 (reg_16_q_c_2_), .S0 (nx7764) ) ; dff REG_5_reg_q_3_ (.Q (reg_5_q_c_3_), .QB (nx8257), .D (nx3660), .CLK (CLK) ) ; mux21 ix8264 (.Y (nx8262), .A0 (reg_19_q_c_3_), .A1 (reg_11_q_c_3_), .S0 ( C_MUX2_7_SEL)) ; dff REG_47_reg_q_3_ (.Q (reg_47_q_c_3_), .QB (\$dummy [118]), .D (nx3400), .CLK ( CLK)) ; xor2 ix3401 (.Y (nx3400), .A0 (nx8271), .A1 (nx8273)) ; mux21 ix8272 (.Y (nx8271), .A0 (nx2248), .A1 (nx14767), .S0 (nx7787)) ; xnor2 ix8274 (.Y (nx8273), .A0 (nx8187), .A1 (nx3396)) ; xor2 ix3387 (.Y (nx3386), .A0 (nx3382), .A1 (nx3384)) ; mux21 ix3383 (.Y (nx3382), .A0 (nx6437), .A1 (nx7792), .S0 (nx2264)) ; xnor2 ix3385 (.Y (nx3384), .A0 (PRI_IN_1[3]), .A1 (nx6447)) ; ao21 ix3259 (.Y (nx6445), .A0 (C_MUX2_24_SEL), .A1 (reg_27_q_c_3_), .B0 ( nx3254)) ; nor02 ix3255 (.Y (nx3254), .A0 (C_MUX2_24_SEL), .A1 (nx8299)) ; mux21 ix8308 (.Y (nx8307), .A0 (reg_13_q_c_3_), .A1 (reg_11_q_c_3_), .S0 ( C_MUX2_5_SEL)) ; dff REG_43_reg_q_3_ (.Q (reg_43_q_c_3_), .QB (\$dummy [119]), .D (nx3580), .CLK ( CLK)) ; xor2 ix3581 (.Y (nx3580), .A0 (nx3576), .A1 (nx3578)) ; oai22 ix3577 (.Y (nx3576), .A0 (nx7823), .A1 (nx8315), .B0 (nx2276), .B1 ( nx7834)) ; xnor2 ix3579 (.Y (nx3578), .A0 (reg_34_q_c_3_), .A1 (nx3396)) ; mux21 ix8335 (.Y (nx8334), .A0 (reg_18_q_c_3_), .A1 (nx3218), .S0 ( C_MUX2_19_SEL)) ; dff REG_39_reg_q_3_ (.Q (reg_39_q_c_3_), .QB (\$dummy [120]), .D (nx3874), .CLK ( CLK)) ; mux21 ix3875 (.Y (nx3874), .A0 (nx8339), .A1 (nx8347), .S0 (C_MUX2_10_SEL) ) ; xnor2 ix8340 (.Y (nx8339), .A0 (nx3740), .A1 (nx3742)) ; oai22 ix3741 (.Y (nx3740), .A0 (nx7909), .A1 (nx8343), .B0 (nx14769), .B1 ( nx7803)) ; xnor2 ix3743 (.Y (nx3742), .A0 (reg_44_q_c_3_), .A1 (nx8187_XX0_XREP61)) ; xnor2 ix8348 (.Y (nx8347), .A0 (nx3756), .A1 (nx3868)) ; mux21 ix3757 (.Y (nx3756), .A0 (nx7853), .A1 (nx7847), .S0 (nx2748)) ; xnor2 ix3869 (.Y (nx3868), .A0 (PRI_IN_4[3]), .A1 (nx8353)) ; mux21 ix8354 (.Y (nx8353), .A0 (PRI_OUT_5[3]), .A1 (reg_22_q_c_3_), .S0 ( C_MUX2_25_SEL)) ; dff REG_21_reg_q_3_ (.Q (PRI_OUT_5[3]), .QB (\$dummy [121]), .D (nx3852), .CLK ( CLK)) ; xnor2 ix3853 (.Y (nx3852), .A0 (nx8358), .A1 (nx3850)) ; aoi22 ix8359 (.Y (nx8358), .A0 (nx2210), .A1 (reg_48_q_c_2_), .B0 (nx2644), .B1 (nx2730)) ; xnor2 ix3851 (.Y (nx3850), .A0 (reg_48_q_c_3_), .A1 (nx8131)) ; dff REG_48_reg_q_3_ (.Q (reg_48_q_c_3_), .QB (\$dummy [122]), .D (nx3842), .CLK ( CLK)) ; ao21 ix3843 (.Y (nx3842), .A0 (C_MUX2_23_SEL), .A1 (nx3838), .B0 (nx3780)) ; xnor2 ix3839 (.Y (nx3838), .A0 (nx3788), .A1 (nx8368)) ; ao21 ix3789 (.Y (nx3788), .A0 (nx2714), .A1 (reg_36_q_c_2_), .B0 (nx3786)) ; nor02 ix3787 (.Y (nx3786), .A0 (nx15498), .A1 (nx7881)) ; xnor2 ix8369 (.Y (nx8368), .A0 (reg_36_q_c_3_), .A1 (nx3834)) ; ao21 ix3835 (.Y (nx3834), .A0 (C_MUX2_4_SEL), .A1 (PRI_OUT_4[3]), .B0 ( nx3792)) ; dff REG_9_reg_q_3_ (.Q (PRI_OUT_4[3]), .QB (\$dummy [123]), .D (nx3824), .CLK ( CLK)) ; xnor2 ix3825 (.Y (nx3824), .A0 (nx3800), .A1 (nx8375)) ; oai22 ix3801 (.Y (nx3800), .A0 (nx7886), .A1 (nx7889), .B0 (nx7899), .B1 ( nx7773)) ; xnor2 ix8376 (.Y (nx8375), .A0 (reg_22_q_c_3_), .A1 (reg_46_q_c_3_)) ; dff REG_46_reg_q_3_ (.Q (reg_46_q_c_3_), .QB (\$dummy [124]), .D (nx3814), .CLK ( CLK)) ; xor2 ix3815 (.Y (nx3814), .A0 (nx3810), .A1 (nx3812)) ; mux21 ix3811 (.Y (nx3810), .A0 (reg_33_q_c_2_), .A1 (nx7894), .S0 (nx2692) ) ; nor02 ix3793 (.Y (nx3792), .A0 (C_MUX2_4_SEL), .A1 (nx8164)) ; nor02 ix3781 (.Y (nx3780), .A0 (C_MUX2_23_SEL), .A1 (nx8391)) ; xor2 ix8392 (.Y (nx8391), .A0 (nx3772), .A1 (nx8395)) ; oai22 ix3773 (.Y (nx3772), .A0 (nx7869), .A1 (nx7872), .B0 (nx7829), .B1 ( nx7631)) ; dff REG_26_reg_q_4_ (.Q (PRI_OUT_9[4]), .QB (\$dummy [125]), .D (nx5004), .CLK ( CLK)) ; xnor2 ix5005 (.Y (nx5004), .A0 (nx8405), .A1 (nx5002)) ; aoi22 ix8406 (.Y (nx8405), .A0 (nx8336), .A1 (reg_39_q_c_3_), .B0 (nx2780), .B1 (nx3882)) ; xnor2 ix5003 (.Y (nx5002), .A0 (reg_33_q_c_4_), .A1 (reg_39_q_c_4_)) ; dff REG_33_reg_q_4_ (.Q (reg_33_q_c_4_), .QB (\$dummy [126]), .D (nx4846), .CLK ( CLK)) ; xnor2 ix4847 (.Y (nx4846), .A0 (nx8412), .A1 (nx4844)) ; aoi22 ix8414 (.Y (nx8412), .A0 (nx3722), .A1 (reg_17_q_c_3_), .B0 (nx2788), .B1 (nx3724)) ; dff REG_17_reg_q_4_ (.Q (reg_17_q_c_4_), .QB (nx8877), .D (nx4828), .CLK ( CLK)) ; xnor2 ix4829 (.Y (nx4828), .A0 (nx8425), .A1 (nx4826)) ; aoi22 ix8426 (.Y (nx8425), .A0 (nx8299), .A1 (reg_29_q_c_3_), .B0 (nx2798), .B1 (nx3706)) ; dff REG_29_reg_q_4_ (.Q (reg_29_q_c_4_), .QB (\$dummy [127]), .D (nx4818), .CLK ( CLK)) ; xnor2 ix4819 (.Y (nx4818), .A0 (nx3928), .A1 (nx8435)) ; mux21 ix3929 (.Y (nx3928), .A0 (nx7935), .A1 (nx3134), .S0 (nx7941)) ; xnor2 ix8436 (.Y (nx8435), .A0 (nx8437), .A1 (nx4254)) ; mux21 ix8438 (.Y (nx8437), .A0 (reg_20_q_c_4_), .A1 (reg_17_q_c_4_), .S0 ( C_MUX2_16_SEL)) ; dff REG_20_reg_q_4_ (.Q (reg_20_q_c_4_), .QB (\$dummy [128]), .D (nx4800), .CLK ( CLK)) ; xnor2 ix4801 (.Y (nx4800), .A0 (nx8443), .A1 (nx4798)) ; aoi22 ix8444 (.Y (nx8443), .A0 (nx8299), .A1 (reg_28_q_c_3_), .B0 (nx2818), .B1 (nx3678)) ; dff REG_28_reg_q_4_ (.Q (reg_28_q_c_4_), .QB (\$dummy [129]), .D (nx4790), .CLK ( CLK)) ; xnor2 ix4791 (.Y (nx4790), .A0 (nx8451), .A1 (nx4788)) ; aoi22 ix8452 (.Y (nx8451), .A0 (nx8453), .A1 (reg_5_q_c_3_), .B0 (nx2828), .B1 ( nx3668)) ; inv02 ix8454 (.Y (nx8453), .A (PRI_IN_2[3])) ; xnor2 ix4781 (.Y (nx4780), .A0 (nx8461), .A1 (nx4778)) ; aoi22 ix8462 (.Y (nx8461), .A0 (nx8463), .A1 (reg_34_q_c_3_), .B0 (nx2838), .B1 (nx3658)) ; inv02 ix8464 (.Y (nx8463), .A (PRI_IN_3[3])) ; dff REG_34_reg_q_4_ (.Q (\$dummy [130]), .QB (nx8869), .D (nx4770), .CLK ( CLK)) ; xor2 ix4771 (.Y (nx4770), .A0 (nx8471), .A1 (nx8475)) ; aoi22 ix8472 (.Y (nx8471), .A0 (reg_19_q_c_3_), .A1 (reg_18_q_c_3_), .B0 ( nx2846), .B1 (nx3648)) ; dff REG_18_reg_q_4_ (.Q (reg_18_q_c_4_), .QB (nx8868), .D (nx4760), .CLK ( CLK)) ; xnor2 ix4761 (.Y (nx4760), .A0 (nx8481), .A1 (nx4758)) ; aoi22 ix8482 (.Y (nx8481), .A0 (nx8191), .A1 (PRI_IN_9[3]), .B0 (nx2856), .B1 ( nx3638)) ; xor2 ix4751 (.Y (nx4750), .A0 (nx8489), .A1 (nx8493)) ; aoi22 ix8490 (.Y (nx8489), .A0 (reg_42_q_c_3_), .A1 (reg_17_q_c_3_), .B0 ( nx2864), .B1 (nx3628)) ; dff REG_42_reg_q_4_ (.Q (\$dummy [131]), .QB (nx8867), .D (nx4740), .CLK ( CLK)) ; xnor2 ix4741 (.Y (nx4740), .A0 (nx3992), .A1 (nx8503)) ; oai22 ix3993 (.Y (nx3992), .A0 (nx7985), .A1 (nx7991), .B0 (nx8501), .B1 ( nx8324)) ; dff REG_40_reg_q_4_ (.Q (reg_40_q_c_4_), .QB (nx8866), .D (nx4730), .CLK ( CLK)) ; xnor2 ix4731 (.Y (nx4730), .A0 (nx8509), .A1 (nx4728)) ; aoi22 ix8510 (.Y (nx8509), .A0 (nx8257), .A1 (PRI_OUT_3[3]), .B0 (nx2882), .B1 ( nx3608)) ; dff REG_4_reg_q_4_ (.Q (PRI_OUT_3[4]), .QB (\$dummy [132]), .D (nx4720), .CLK ( CLK)) ; xnor2 ix4721 (.Y (nx4720), .A0 (nx8517), .A1 (nx4718)) ; aoi22 ix8518 (.Y (nx8517), .A0 (nx8323), .A1 (reg_32_q_c_3_), .B0 (nx2892), .B1 (nx3598)) ; xnor2 ix4719 (.Y (nx4718), .A0 (PRI_OUT_3[4]), .A1 (reg_32_q_c_4_)) ; dff REG_32_reg_q_4_ (.Q (reg_32_q_c_4_), .QB (\$dummy [133]), .D (nx4710), .CLK ( CLK)) ; xor2 ix4711 (.Y (nx4710), .A0 (nx8525), .A1 (nx8529)) ; aoi22 ix8526 (.Y (nx8525), .A0 (reg_43_q_c_3_), .A1 (PRI_OUT_8[3]), .B0 ( nx2900), .B1 (nx3588)) ; xnor2 ix8530 (.Y (nx8529), .A0 (PRI_OUT_8[4]), .A1 (reg_43_q_c_4_)) ; dff REG_24_reg_q_4_ (.Q (PRI_OUT_8[4]), .QB (\$dummy [134]), .D (nx4680), .CLK ( CLK)) ; xor2 ix4681 (.Y (nx4680), .A0 (nx8535), .A1 (nx8539)) ; aoi22 ix8536 (.Y (nx8535), .A0 (reg_41_q_c_3_), .A1 (reg_34_q_c_3_), .B0 ( nx2908), .B1 (nx3558)) ; dff REG_41_reg_q_4_ (.Q (\$dummy [135]), .QB (nx8853), .D (nx4670), .CLK ( CLK)) ; xor2 ix4671 (.Y (nx4670), .A0 (nx8545), .A1 (nx8553)) ; aoi22 ix8546 (.Y (nx8545), .A0 (nx6447), .A1 (PRI_IN_8[3]), .B0 (nx2916), .B1 ( nx3548)) ; xnor2 ix8554 (.Y (nx8553), .A0 (PRI_IN_8[4]), .A1 (nx14725)) ; ao21 ix4667 (.Y (nx6457), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_4_), .B0 ( nx4626)) ; dff REG_8_reg_q_4_ (.Q (reg_8_q_c_4_), .QB (\$dummy [136]), .D (nx4656), .CLK ( CLK)) ; xor2 ix4657 (.Y (nx4656), .A0 (nx8561), .A1 (nx8565)) ; aoi22 ix8562 (.Y (nx8561), .A0 (reg_37_q_c_3_), .A1 (PRI_OUT_1[3]), .B0 ( nx3514), .B1 (nx3534)) ; dff REG_2_reg_q_4_ (.Q (PRI_OUT_1[4]), .QB (\$dummy [137]), .D (nx4646), .CLK ( CLK)) ; xor2 ix4647 (.Y (nx4646), .A0 (nx8571), .A1 (nx8575)) ; aoi22 ix8572 (.Y (nx8571), .A0 (reg_45_q_c_3_), .A1 (reg_35_q_c_3_), .B0 ( nx3522), .B1 (nx3524)) ; dff REG_35_reg_q_4_ (.Q (reg_35_q_c_4_), .QB (nx8845), .D (nx4382), .CLK ( CLK)) ; xnor2 ix4383 (.Y (nx4382), .A0 (nx4370), .A1 (nx8583)) ; oai22 ix4371 (.Y (nx4370), .A0 (nx8047), .A1 (nx8051), .B0 (nx8299), .B1 ( nx8296)) ; dff REG_37_reg_q_4_ (.Q (reg_37_q_c_4_), .QB (nx8840), .D (nx4570), .CLK ( CLK)) ; xor2 ix4571 (.Y (nx4570), .A0 (nx8589), .A1 (nx8593)) ; aoi22 ix8590 (.Y (nx8589), .A0 (reg_44_q_c_3_), .A1 (PRI_IN_6[3]), .B0 ( nx2950), .B1 (nx3448)) ; dff REG_44_reg_q_4_ (.Q (reg_44_q_c_4_), .QB (nx8839), .D (nx4560), .CLK ( CLK)) ; xnor2 ix4561 (.Y (nx4560), .A0 (nx8599), .A1 (nx4558)) ; aoi22 ix8600 (.Y (nx8599), .A0 (nx8267), .A1 (reg_10_q_c_3_), .B0 (nx2960), .B1 (nx3438)) ; dff REG_10_reg_q_4_ (.Q (reg_10_q_c_4_), .QB (\$dummy [138]), .D (nx4550), .CLK ( CLK)) ; xor2 ix4551 (.Y (nx4550), .A0 (nx8607), .A1 (nx8611)) ; aoi22 ix8608 (.Y (nx8607), .A0 (reg_11_q_c_3_), .A1 (reg_5_q_c_3_), .B0 ( nx2968), .B1 (nx3428)) ; dff REG_11_reg_q_4_ (.Q (reg_11_q_c_4_), .QB (nx8837), .D (nx4540), .CLK ( CLK)) ; xnor2 ix4541 (.Y (nx4540), .A0 (nx4096), .A1 (nx8623)) ; oai22 ix4097 (.Y (nx4096), .A0 (nx8075), .A1 (nx8083), .B0 (nx8619), .B1 ( nx8289)) ; dff REG_45_reg_q_4_ (.Q (reg_45_q_c_4_), .QB (nx8836), .D (nx4530), .CLK ( CLK)) ; xor2 ix4531 (.Y (nx4530), .A0 (nx8629), .A1 (nx8633)) ; aoi22 ix8630 (.Y (nx8629), .A0 (reg_47_q_c_3_), .A1 (reg_27_q_c_3_), .B0 ( nx2984), .B1 (nx3408)) ; dff REG_27_reg_q_4_ (.Q (reg_27_q_c_4_), .QB (nx8814), .D (nx4472), .CLK ( CLK)) ; xnor2 ix4473 (.Y (nx4472), .A0 (nx8639), .A1 (nx4470)) ; aoi22 ix8640 (.Y (nx8639), .A0 (nx8123), .A1 (reg_31_q_c_3_), .B0 (nx2994), .B1 (nx3350)) ; dff REG_13_reg_q_4_ (.Q (reg_13_q_c_4_), .QB (nx8675), .D (nx4608), .CLK ( CLK)) ; xnor2 ix4609 (.Y (nx4608), .A0 (nx8647), .A1 (nx4606)) ; aoi22 ix8648 (.Y (nx8647), .A0 (nx8301), .A1 (PRI_OUT_0[3]), .B0 (nx2926), .B1 ( nx3486)) ; dff REG_1_reg_q_4_ (.Q (PRI_OUT_0[4]), .QB (\$dummy [139]), .D (nx4598), .CLK ( CLK)) ; xnor2 ix4599 (.Y (nx4598), .A0 (nx8655), .A1 (nx4596)) ; aoi22 ix8656 (.Y (nx8655), .A0 (nx3474), .A1 (reg_41_q_c_3_), .B0 (nx2934), .B1 (nx3476)) ; mux21 ix8664 (.Y (nx8663), .A0 (reg_25_q_c_4_), .A1 (PRI_OUT_8[4]), .S0 ( C_MUX2_8_SEL)) ; dff REG_25_reg_q_4_ (.Q (reg_25_q_c_4_), .QB (\$dummy [140]), .D (nx4580), .CLK ( CLK)) ; xnor2 ix4581 (.Y (nx4580), .A0 (nx4062), .A1 (nx8671)) ; oai22 ix4063 (.Y (nx4062), .A0 (nx8115), .A1 (nx8119), .B0 (nx8549), .B1 ( nx8296)) ; dff REG_31_reg_q_4_ (.Q (reg_31_q_c_4_), .QB (nx8813), .D (nx4462), .CLK ( CLK)) ; xnor2 ix4463 (.Y (nx4462), .A0 (nx8679), .A1 (nx4460)) ; mux21 ix8680 (.Y (nx8679), .A0 (nx8131), .A1 (nx3004), .S0 (nx3340)) ; xnor2 ix4461 (.Y (nx4460), .A0 (nx8683), .A1 (nx8810)) ; mux21 ix8684 (.Y (nx8683), .A0 (reg_38_q_c_4_), .A1 (reg_37_q_c_4_), .S0 ( C_MUX2_3_SEL)) ; dff REG_38_reg_q_4_ (.Q (reg_38_q_c_4_), .QB (\$dummy [141]), .D (nx4436), .CLK ( CLK)) ; xor2 ix4437 (.Y (nx4436), .A0 (nx4134), .A1 (nx4434)) ; mux21 ix4135 (.Y (nx4134), .A0 (reg_22_q_c_3_), .A1 (nx8135), .S0 (nx3314) ) ; dff REG_22_reg_q_4_ (.Q (reg_22_q_c_4_), .QB (nx8808), .D (nx4426), .CLK ( CLK)) ; xnor2 ix4427 (.Y (nx4426), .A0 (nx4144), .A1 (nx8703)) ; oai22 ix4145 (.Y (nx4144), .A0 (nx15751), .A1 (nx8144), .B0 (nx6444), .B1 ( nx8328)) ; xnor2 ix8704 (.Y (nx8703), .A0 (reg_28_q_c_4_), .A1 (nx8705)) ; mux21 ix8706 (.Y (nx8705), .A0 (reg_10_q_c_4_), .A1 (nx6453), .S0 ( C_MUX2_14_SEL)) ; mux21 ix4419 (.Y (nx6453), .A0 (nx8708), .A1 (nx8806), .S0 (C_MUX2_21_SEL) ) ; mux21 ix8709 (.Y (nx8708), .A0 (PRI_OUT_7[4]), .A1 (reg_17_q_c_4_), .S0 ( C_MUX2_17_SEL)) ; dff REG_6_reg_q_4_ (.Q (PRI_OUT_7[4]), .QB (\$dummy [142]), .D (nx4396), .CLK ( CLK)) ; xor2 ix4397 (.Y (nx4396), .A0 (nx4156), .A1 (nx4394)) ; mux21 ix4157 (.Y (nx4156), .A0 (nx8243), .A1 (nx8154), .S0 (nx3274)) ; xnor2 ix4395 (.Y (nx4394), .A0 (nx4338), .A1 (nx8792)) ; mux21 ix4339 (.Y (nx4338), .A0 (nx8708), .A1 (nx8717), .S0 (C_MUX2_15_SEL) ) ; mux21 ix8718 (.Y (nx8717), .A0 (reg_12_q_c_4_), .A1 (reg_16_q_c_4_), .S0 ( C_MUX2_2_SEL)) ; dff REG_12_reg_q_4_ (.Q (reg_12_q_c_4_), .QB (nx8785), .D (nx4300), .CLK ( CLK)) ; xor2 ix4301 (.Y (nx4300), .A0 (nx8721), .A1 (nx8725)) ; aoi22 ix8722 (.Y (nx8721), .A0 (reg_19_q_c_3_), .A1 (nx15463), .B0 (nx3048) , .B1 (nx3178)) ; dff REG_19_reg_q_4_ (.Q (reg_19_q_c_4_), .QB (nx8784), .D (nx4290), .CLK ( CLK)) ; xnor2 ix4291 (.Y (nx4290), .A0 (nx4178), .A1 (nx8735)) ; oai22 ix4179 (.Y (nx4178), .A0 (nx8175), .A1 (nx8184), .B0 (nx3166), .B1 ( nx8265)) ; mux21 ix4281 (.Y (nx4280), .A0 (nx8741), .A1 (nx8743), .S0 (C_MUX2_6_SEL)) ; dff REG_7_reg_q_4_ (.Q (reg_7_q_c_4_), .QB (nx8741), .D (nx4750), .CLK (CLK) ) ; mux21 ix8744 (.Y (nx8743), .A0 (reg_17_q_c_4_), .A1 (reg_5_q_c_4_), .S0 ( C_MUX2_18_SEL)) ; ao21 ix4263 (.Y (nx4262), .A0 (C_MUX2_9_SEL), .A1 (nx4254), .B0 (nx4260)) ; ao21 ix4255 (.Y (nx4254), .A0 (C_MUX2_22_SEL), .A1 (reg_14_q_c_4_), .B0 ( nx4190)) ; dff REG_14_reg_q_4_ (.Q (reg_14_q_c_4_), .QB (\$dummy [143]), .D (nx4244), .CLK ( CLK)) ; xor2 ix4245 (.Y (nx4244), .A0 (nx4200), .A1 (nx4242)) ; mux21 ix4201 (.Y (nx4200), .A0 (PRI_IN_7[3]), .A1 (nx8199), .S0 (nx3122)) ; xnor2 ix4243 (.Y (nx4242), .A0 (PRI_IN_7[4]), .A1 (PRI_OUT_6[4])) ; dff REG_23_reg_q_4_ (.Q (PRI_OUT_6[4]), .QB (\$dummy [144]), .D (nx4234), .CLK ( CLK)) ; xor2 ix4235 (.Y (nx4234), .A0 (nx8757), .A1 (nx8765)) ; xnor2 ix8766 (.Y (nx8765), .A0 (reg_30_q_c_4_), .A1 (nx8717)) ; dff REG_30_reg_q_4_ (.Q (reg_30_q_c_4_), .QB (\$dummy [145]), .D (nx4224), .CLK ( CLK)) ; xnor2 ix4225 (.Y (nx4224), .A0 (nx8771), .A1 (nx4222)) ; aoi22 ix8772 (.Y (nx8771), .A0 (nx8773), .A1 (nx15463), .B0 (nx3100), .B1 ( nx3102)) ; inv02 ix8774 (.Y (nx8773), .A (PRI_IN_0[3])) ; nor02 ix4191 (.Y (nx4190), .A0 (C_MUX2_22_SEL), .A1 (nx8781)) ; mux21 ix8782 (.Y (nx8781), .A0 (reg_19_q_c_4_), .A1 (reg_17_q_c_4_), .S0 ( C_MUX2_20_SEL)) ; nor02 ix4261 (.Y (nx4260), .A0 (C_MUX2_9_SEL), .A1 (nx8437)) ; dff REG_16_reg_q_4_ (.Q (reg_16_q_c_4_), .QB (\$dummy [146]), .D (nx4324), .CLK ( CLK)) ; xnor2 ix4325 (.Y (nx4324), .A0 (nx8788), .A1 (nx4322)) ; mux21 ix8789 (.Y (nx8788), .A0 (nx8329), .A1 (nx3200), .S0 (nx3202)) ; xnor2 ix4323 (.Y (nx4322), .A0 (reg_20_q_c_4_), .A1 (nx6453)) ; mux21 ix8794 (.Y (nx8792), .A0 (reg_36_q_c_4_), .A1 (reg_35_q_c_4_), .S0 ( C_MUX2_11_SEL)) ; dff REG_36_reg_q_4_ (.Q (reg_36_q_c_4_), .QB (\$dummy [147]), .D (nx4352), .CLK ( CLK)) ; xor2 ix4353 (.Y (nx4352), .A0 (nx8799), .A1 (nx8801)) ; mux21 ix8800 (.Y (nx8799), .A0 (nx3228), .A1 (nx8241), .S0 (nx8251)) ; xnor2 ix8802 (.Y (nx8801), .A0 (reg_16_q_c_4_), .A1 (nx8705)) ; dff REG_5_reg_q_4_ (.Q (reg_5_q_c_4_), .QB (nx8806), .D (nx4780), .CLK (CLK) ) ; mux21 ix8811 (.Y (nx8810), .A0 (reg_19_q_c_4_), .A1 (reg_11_q_c_4_), .S0 ( C_MUX2_7_SEL)) ; dff REG_47_reg_q_4_ (.Q (\$dummy [148]), .QB (nx8835), .D (nx4520), .CLK ( CLK)) ; xnor2 ix4521 (.Y (nx4520), .A0 (nx4488), .A1 (nx8821)) ; mux21 ix4489 (.Y (nx4488), .A0 (nx8271), .A1 (nx3166), .S0 (nx8273)) ; xnor2 ix8822 (.Y (nx8821), .A0 (nx14771), .A1 (nx4516)) ; xnor2 ix4507 (.Y (nx4506), .A0 (nx8829), .A1 (nx4504)) ; aoi22 ix8830 (.Y (nx8829), .A0 (nx8549), .A1 (PRI_IN_1[3]), .B0 (nx3382), .B1 ( nx3384)) ; xnor2 ix4505 (.Y (nx4504), .A0 (PRI_IN_1[4]), .A1 (nx14725)) ; nor02 ix4375 (.Y (nx4374), .A0 (C_MUX2_24_SEL), .A1 (nx14821)) ; nor02 ix4627 (.Y (nx4626), .A0 (C_MUX2_13_SEL), .A1 (nx8851)) ; mux21 ix8852 (.Y (nx8851), .A0 (reg_13_q_c_4_), .A1 (reg_11_q_c_4_), .S0 ( C_MUX2_5_SEL)) ; dff REG_43_reg_q_4_ (.Q (reg_43_q_c_4_), .QB (\$dummy [149]), .D (nx4700), .CLK ( CLK)) ; xnor2 ix4701 (.Y (nx4700), .A0 (nx8859), .A1 (nx4698)) ; aoi22 ix8860 (.Y (nx8859), .A0 (nx8501), .A1 (reg_34_q_c_3_), .B0 (nx3576), .B1 (nx3578)) ; mux21 ix8879 (.Y (nx8878), .A0 (reg_18_q_c_4_), .A1 (nx4338), .S0 ( C_MUX2_19_SEL)) ; dff REG_39_reg_q_4_ (.Q (reg_39_q_c_4_), .QB (\$dummy [150]), .D (nx4994), .CLK ( CLK)) ; ao21 ix4995 (.Y (nx4994), .A0 (C_MUX2_10_SEL), .A1 (nx4990), .B0 (nx4868)) ; xnor2 ix4991 (.Y (nx4990), .A0 (nx8884), .A1 (nx4988)) ; aoi22 ix8885 (.Y (nx8884), .A0 (nx3866), .A1 (PRI_IN_4[3]), .B0 (nx3756), .B1 ( nx3868)) ; xnor2 ix4989 (.Y (nx4988), .A0 (PRI_IN_4[4]), .A1 (nx8891)) ; mux21 ix8892 (.Y (nx8891), .A0 (PRI_OUT_5[4]), .A1 (reg_22_q_c_4_), .S0 ( C_MUX2_25_SEL)) ; dff REG_21_reg_q_4_ (.Q (PRI_OUT_5[4]), .QB (\$dummy [151]), .D (nx4972), .CLK ( CLK)) ; xor2 ix4973 (.Y (nx4972), .A0 (nx4884), .A1 (nx4970)) ; mux21 ix4885 (.Y (nx4884), .A0 (nx8131), .A1 (nx8358), .S0 (nx3850)) ; xnor2 ix4971 (.Y (nx4970), .A0 (reg_48_q_c_4_), .A1 (nx8683)) ; dff REG_48_reg_q_4_ (.Q (reg_48_q_c_4_), .QB (\$dummy [152]), .D (nx4962), .CLK ( CLK)) ; mux21 ix4963 (.Y (nx4962), .A0 (nx8903), .A1 (nx8911), .S0 (C_MUX2_23_SEL) ) ; xnor2 ix8904 (.Y (nx8903), .A0 (nx8905), .A1 (nx8909)) ; aoi22 ix8906 (.Y (nx8905), .A0 (reg_40_q_c_3_), .A1 (reg_13_q_c_3_), .B0 ( nx3772), .B1 (nx3774)) ; xnor2 ix8912 (.Y (nx8911), .A0 (nx8913), .A1 (nx8917)) ; xnor2 ix8918 (.Y (nx8917), .A0 (reg_36_q_c_4_), .A1 (nx4954)) ; ao21 ix4955 (.Y (nx4954), .A0 (C_MUX2_4_SEL), .A1 (PRI_OUT_4[4]), .B0 ( nx4912)) ; dff REG_9_reg_q_4_ (.Q (PRI_OUT_4[4]), .QB (\$dummy [153]), .D (nx4944), .CLK ( CLK)) ; xor2 ix4945 (.Y (nx4944), .A0 (nx8922), .A1 (nx8925)) ; aoi22 ix8923 (.Y (nx8922), .A0 (reg_46_q_c_3_), .A1 (reg_22_q_c_3_), .B0 ( nx3800), .B1 (nx3822)) ; dff REG_46_reg_q_4_ (.Q (\$dummy [154]), .QB (nx8935), .D (nx4934), .CLK ( CLK)) ; xnor2 ix4935 (.Y (nx4934), .A0 (nx8931), .A1 (nx4932)) ; aoi22 ix8932 (.Y (nx8931), .A0 (nx8336), .A1 (PRI_IN_5[3]), .B0 (nx3810), .B1 ( nx3812)) ; xnor2 ix4933 (.Y (nx4932), .A0 (PRI_IN_5[4]), .A1 (reg_33_q_c_4_)) ; nor02 ix4913 (.Y (nx4912), .A0 (C_MUX2_4_SEL), .A1 (nx8717)) ; nor02 ix4869 (.Y (nx4868), .A0 (C_MUX2_10_SEL), .A1 (nx8943)) ; xor2 ix8944 (.Y (nx8943), .A0 (nx8945), .A1 (nx4862)) ; aoi22 ix8946 (.Y (nx8945), .A0 (nx3166), .A1 (reg_44_q_c_3_), .B0 (nx3740), .B1 (nx3742)) ; dff REG_26_reg_q_5_ (.Q (PRI_OUT_9[5]), .QB (\$dummy [155]), .D (nx6124), .CLK ( CLK)) ; xor2 ix6125 (.Y (nx6124), .A0 (nx5020), .A1 (nx6122)) ; mux21 ix5021 (.Y (nx5020), .A0 (reg_33_q_c_4_), .A1 (nx8405), .S0 (nx5002) ) ; dff REG_33_reg_q_5_ (.Q (\$dummy [156]), .QB (nx9355), .D (nx5966), .CLK ( CLK)) ; xor2 ix5967 (.Y (nx5966), .A0 (nx5028), .A1 (nx5964)) ; oai22 ix5029 (.Y (nx5028), .A0 (nx8412), .A1 (nx8959), .B0 (nx8878), .B1 ( nx8877)) ; xnor2 ix5965 (.Y (nx5964), .A0 (reg_17_q_c_5_), .A1 (nx9353)) ; dff REG_17_reg_q_5_ (.Q (reg_17_q_c_5_), .QB (\$dummy [157]), .D (nx5948), .CLK ( CLK)) ; xor2 ix5949 (.Y (nx5948), .A0 (nx5038), .A1 (nx5946)) ; mux21 ix5039 (.Y (nx5038), .A0 (nx14721), .A1 (nx8425), .S0 (nx4826)) ; xnor2 ix5947 (.Y (nx5946), .A0 (reg_29_q_c_5_), .A1 (nx6467)) ; dff REG_29_reg_q_5_ (.Q (reg_29_q_c_5_), .QB (\$dummy [158]), .D (nx5938), .CLK ( CLK)) ; xor2 ix5939 (.Y (nx5938), .A0 (nx8973), .A1 (nx8979)) ; mux21 ix8974 (.Y (nx8973), .A0 (nx3928), .A1 (nx8975), .S0 (nx8435)) ; xnor2 ix8980 (.Y (nx8979), .A0 (nx8981), .A1 (nx5374)) ; mux21 ix8982 (.Y (nx8981), .A0 (reg_20_q_c_5_), .A1 (nx14727), .S0 ( C_MUX2_16_SEL)) ; dff REG_20_reg_q_5_ (.Q (reg_20_q_c_5_), .QB (nx9349), .D (nx5920), .CLK ( CLK)) ; xor2 ix5921 (.Y (nx5920), .A0 (nx5058), .A1 (nx5918)) ; mux21 ix5059 (.Y (nx5058), .A0 (nx14721), .A1 (nx8443), .S0 (nx4798)) ; dff REG_28_reg_q_5_ (.Q (reg_28_q_c_5_), .QB (nx9347), .D (nx5910), .CLK ( CLK)) ; xor2 ix5911 (.Y (nx5910), .A0 (nx5068), .A1 (nx5908)) ; oai22 ix5069 (.Y (nx5068), .A0 (nx8451), .A1 (nx8993), .B0 (PRI_IN_2[4]), .B1 ( nx8806)) ; xor2 ix5901 (.Y (nx5900), .A0 (nx5078), .A1 (nx5898)) ; oai22 ix5079 (.Y (nx5078), .A0 (nx8461), .A1 (nx9003), .B0 (PRI_IN_3[4]), .B1 ( nx8869)) ; xnor2 ix5899 (.Y (nx5898), .A0 (PRI_IN_3[5]), .A1 (reg_34_q_c_5_)) ; dff REG_34_reg_q_5_ (.Q (reg_34_q_c_5_), .QB (\$dummy [159]), .D (nx5890), .CLK ( CLK)) ; xnor2 ix5891 (.Y (nx5890), .A0 (nx5086), .A1 (nx9009)) ; oai22 ix5087 (.Y (nx5086), .A0 (nx8471), .A1 (nx8475), .B0 (nx8784), .B1 ( nx8868)) ; xnor2 ix9010 (.Y (nx9009), .A0 (reg_18_q_c_5_), .A1 (reg_19_q_c_5_)) ; dff REG_18_reg_q_5_ (.Q (reg_18_q_c_5_), .QB (\$dummy [160]), .D (nx5880), .CLK ( CLK)) ; xor2 ix5881 (.Y (nx5880), .A0 (nx5096), .A1 (nx5878)) ; mux21 ix5097 (.Y (nx5096), .A0 (reg_7_q_c_4_), .A1 (nx8481), .S0 (nx4758)) ; xnor2 ix5871 (.Y (nx5870), .A0 (nx5104), .A1 (nx9019)) ; oai22 ix5105 (.Y (nx5104), .A0 (nx8489), .A1 (nx8493), .B0 (nx8867), .B1 ( nx8877)) ; xnor2 ix9020 (.Y (nx9019), .A0 (nx14727), .A1 (reg_42_q_c_5_)) ; dff REG_42_reg_q_5_ (.Q (reg_42_q_c_5_), .QB (\$dummy [161]), .D (nx5860), .CLK ( CLK)) ; xor2 ix5861 (.Y (nx5860), .A0 (nx9024), .A1 (nx9029)) ; aoi22 ix9025 (.Y (nx9024), .A0 (nx4516), .A1 (reg_40_q_c_4_), .B0 (nx3992), .B1 (nx4738)) ; dff REG_40_reg_q_5_ (.Q (reg_40_q_c_5_), .QB (nx9341), .D (nx5850), .CLK ( CLK)) ; xor2 ix5851 (.Y (nx5850), .A0 (nx5122), .A1 (nx5848)) ; mux21 ix5123 (.Y (nx5122), .A0 (reg_5_q_c_4_), .A1 (nx8509), .S0 (nx4728)) ; dff REG_4_reg_q_5_ (.Q (PRI_OUT_3[5]), .QB (nx9339), .D (nx5840), .CLK (CLK) ) ; xor2 ix5841 (.Y (nx5840), .A0 (nx5132), .A1 (nx5838)) ; mux21 ix5133 (.Y (nx5132), .A0 (PRI_OUT_3[4]), .A1 (nx8517), .S0 (nx4718)) ; dff REG_32_reg_q_5_ (.Q (reg_32_q_c_5_), .QB (\$dummy [162]), .D (nx5830), .CLK ( CLK)) ; xnor2 ix5831 (.Y (nx5830), .A0 (nx5140), .A1 (nx9046)) ; ao21 ix5141 (.Y (nx5140), .A0 (reg_43_q_c_4_), .A1 (PRI_OUT_8[4]), .B0 ( nx5138)) ; nor02 ix5139 (.Y (nx5138), .A0 (nx8525), .A1 (nx8529)) ; xnor2 ix9047 (.Y (nx9046), .A0 (PRI_OUT_8[5]), .A1 (reg_43_q_c_5_)) ; dff REG_24_reg_q_5_ (.Q (PRI_OUT_8[5]), .QB (\$dummy [163]), .D (nx5800), .CLK ( CLK)) ; xnor2 ix5801 (.Y (nx5800), .A0 (nx5148), .A1 (nx9053)) ; oai22 ix5149 (.Y (nx5148), .A0 (nx8535), .A1 (nx8539), .B0 (nx8853), .B1 ( nx8869)) ; xnor2 ix9054 (.Y (nx9053), .A0 (reg_34_q_c_5_), .A1 (reg_41_q_c_5_)) ; dff REG_41_reg_q_5_ (.Q (reg_41_q_c_5_), .QB (\$dummy [164]), .D (nx5790), .CLK ( CLK)) ; xnor2 ix5791 (.Y (nx5790), .A0 (nx5156), .A1 (nx9063)) ; mux21 ix5157 (.Y (nx5156), .A0 (nx8545), .A1 (nx9059), .S0 (nx8553)) ; xnor2 ix9064 (.Y (nx9063), .A0 (PRI_IN_8[5]), .A1 (nx15466)) ; xnor2 ix5777 (.Y (nx5776), .A0 (nx5754), .A1 (nx9069)) ; mux21 ix5755 (.Y (nx5754), .A0 (nx8561), .A1 (nx8840), .S0 (nx8565)) ; dff REG_2_reg_q_5_ (.Q (PRI_OUT_1[5]), .QB (\$dummy [165]), .D (nx5766), .CLK ( CLK)) ; xnor2 ix5767 (.Y (nx5766), .A0 (nx5762), .A1 (nx9077)) ; oai22 ix5763 (.Y (nx5762), .A0 (nx8571), .A1 (nx8575), .B0 (nx8836), .B1 ( nx8845)) ; dff REG_35_reg_q_5_ (.Q (reg_35_q_c_5_), .QB (nx9319), .D (nx5502), .CLK ( CLK)) ; xor2 ix5503 (.Y (nx5502), .A0 (nx9082), .A1 (nx9085)) ; aoi22 ix9083 (.Y (nx9082), .A0 (nx14723), .A1 (reg_37_q_c_4_), .B0 (nx4370) , .B1 (nx4380)) ; dff REG_37_reg_q_5_ (.Q (reg_37_q_c_5_), .QB (nx9314), .D (nx5690), .CLK ( CLK)) ; xnor2 ix5691 (.Y (nx5690), .A0 (nx5190), .A1 (nx9093)) ; ao21 ix5191 (.Y (nx5190), .A0 (reg_44_q_c_4_), .A1 (PRI_IN_6[4]), .B0 ( nx5188)) ; nor02 ix5189 (.Y (nx5188), .A0 (nx8589), .A1 (nx8593)) ; xnor2 ix9094 (.Y (nx9093), .A0 (PRI_IN_6[5]), .A1 (reg_44_q_c_5_)) ; dff REG_44_reg_q_5_ (.Q (reg_44_q_c_5_), .QB (\$dummy [166]), .D (nx5680), .CLK ( CLK)) ; xor2 ix5681 (.Y (nx5680), .A0 (nx5200), .A1 (nx5678)) ; mux21 ix5201 (.Y (nx5200), .A0 (reg_27_q_c_4_), .A1 (nx8599), .S0 (nx4558) ) ; dff REG_10_reg_q_5_ (.Q (reg_10_q_c_5_), .QB (\$dummy [167]), .D (nx5670), .CLK ( CLK)) ; xnor2 ix5671 (.Y (nx5670), .A0 (nx5208), .A1 (nx9105)) ; oai22 ix5209 (.Y (nx5208), .A0 (nx8607), .A1 (nx8611), .B0 (nx8837), .B1 ( nx8806)) ; dff REG_11_reg_q_5_ (.Q (reg_11_q_c_5_), .QB (\$dummy [168]), .D (nx5660), .CLK ( CLK)) ; xor2 ix5661 (.Y (nx5660), .A0 (nx9111), .A1 (nx9119)) ; aoi22 ix9112 (.Y (nx9111), .A0 (nx6453), .A1 (reg_45_q_c_4_), .B0 (nx4096), .B1 (nx4538)) ; dff REG_45_reg_q_5_ (.Q (reg_45_q_c_5_), .QB (nx9310), .D (nx5650), .CLK ( CLK)) ; xnor2 ix5651 (.Y (nx5650), .A0 (nx5224), .A1 (nx9127)) ; oai22 ix5225 (.Y (nx5224), .A0 (nx8629), .A1 (nx8633), .B0 (nx8835), .B1 ( nx8814)) ; dff REG_27_reg_q_5_ (.Q (reg_27_q_c_5_), .QB (nx9293), .D (nx5592), .CLK ( CLK)) ; xor2 ix5593 (.Y (nx5592), .A0 (nx5234), .A1 (nx5590)) ; dff REG_13_reg_q_5_ (.Q (reg_13_q_c_5_), .QB (nx9162), .D (nx5728), .CLK ( CLK)) ; xor2 ix5729 (.Y (nx5728), .A0 (nx5166), .A1 (nx5726)) ; mux21 ix5167 (.Y (nx5166), .A0 (reg_35_q_c_4_), .A1 (nx8647), .S0 (nx4606) ) ; dff REG_1_reg_q_5_ (.Q (PRI_OUT_0[5]), .QB (\$dummy [169]), .D (nx5718), .CLK ( CLK)) ; xor2 ix5719 (.Y (nx5718), .A0 (nx5174), .A1 (nx5716)) ; oai22 ix5175 (.Y (nx5174), .A0 (nx8655), .A1 (nx9145), .B0 (nx8663), .B1 ( nx8853)) ; xnor2 ix5717 (.Y (nx5716), .A0 (reg_41_q_c_5_), .A1 (nx9149)) ; mux21 ix9150 (.Y (nx9149), .A0 (reg_25_q_c_5_), .A1 (PRI_OUT_8[5]), .S0 ( C_MUX2_8_SEL)) ; dff REG_25_reg_q_5_ (.Q (reg_25_q_c_5_), .QB (\$dummy [170]), .D (nx5700), .CLK ( CLK)) ; xor2 ix5701 (.Y (nx5700), .A0 (nx9154), .A1 (nx9157)) ; aoi22 ix9155 (.Y (nx9154), .A0 (nx14725), .A1 (reg_37_q_c_4_), .B0 (nx4062) , .B1 (nx4578)) ; dff REG_31_reg_q_5_ (.Q (reg_31_q_c_5_), .QB (nx9291), .D (nx5582), .CLK ( CLK)) ; xor2 ix5583 (.Y (nx5582), .A0 (nx5244), .A1 (nx5580)) ; mux21 ix5245 (.Y (nx5244), .A0 (nx4450), .A1 (nx8679), .S0 (nx4460)) ; xnor2 ix5581 (.Y (nx5580), .A0 (nx9169), .A1 (nx9289)) ; mux21 ix9170 (.Y (nx9169), .A0 (reg_38_q_c_5_), .A1 (reg_37_q_c_5_), .S0 ( C_MUX2_3_SEL)) ; dff REG_38_reg_q_5_ (.Q (reg_38_q_c_5_), .QB (\$dummy [171]), .D (nx5556), .CLK ( CLK)) ; xnor2 ix5557 (.Y (nx5556), .A0 (nx9175), .A1 (nx5554)) ; mux21 ix9176 (.Y (nx9175), .A0 (nx8808), .A1 (nx4134), .S0 (nx4434)) ; xnor2 ix5555 (.Y (nx5554), .A0 (reg_22_q_c_5_), .A1 (nx5400)) ; dff REG_22_reg_q_5_ (.Q (reg_22_q_c_5_), .QB (\$dummy [172]), .D (nx5546), .CLK ( CLK)) ; xor2 ix5547 (.Y (nx5546), .A0 (nx9183), .A1 (nx9186)) ; aoi22 ix9184 (.Y (nx9183), .A0 (nx8705), .A1 (reg_28_q_c_4_), .B0 (nx4144), .B1 (nx4424)) ; mux21 ix9190 (.Y (nx9189), .A0 (reg_10_q_c_5_), .A1 (nx6465), .S0 ( C_MUX2_14_SEL)) ; mux21 ix5539 (.Y (nx6465), .A0 (nx9193), .A1 (nx9285), .S0 (C_MUX2_21_SEL) ) ; mux21 ix9194 (.Y (nx9193), .A0 (PRI_OUT_7[5]), .A1 (nx14727), .S0 ( C_MUX2_17_SEL)) ; dff REG_6_reg_q_5_ (.Q (PRI_OUT_7[5]), .QB (\$dummy [173]), .D (nx5516), .CLK ( CLK)) ; xnor2 ix5517 (.Y (nx5516), .A0 (nx9197), .A1 (nx5514)) ; mux21 ix9198 (.Y (nx9197), .A0 (nx4392), .A1 (nx4156), .S0 (nx4394)) ; xnor2 ix5515 (.Y (nx5514), .A0 (nx5458), .A1 (nx9275)) ; mux21 ix5459 (.Y (nx5458), .A0 (nx9193), .A1 (nx9205), .S0 (C_MUX2_15_SEL) ) ; mux21 ix9206 (.Y (nx9205), .A0 (reg_12_q_c_5_), .A1 (reg_16_q_c_5_), .S0 ( C_MUX2_2_SEL)) ; oai22 ix5289 (.Y (nx5288), .A0 (nx8721), .A1 (nx8725), .B0 (nx8784), .B1 ( nx8785)) ; xnor2 ix9212 (.Y (nx9211), .A0 (nx15464), .A1 (reg_19_q_c_5_)) ; dff REG_19_reg_q_5_ (.Q (reg_19_q_c_5_), .QB (\$dummy [174]), .D (nx5410), .CLK ( CLK)) ; xor2 ix5411 (.Y (nx5410), .A0 (nx9215), .A1 (nx9223)) ; aoi22 ix9216 (.Y (nx9215), .A0 (nx14771), .A1 (reg_31_q_c_4_), .B0 (nx4178) , .B1 (nx4288)) ; dff REG_7_reg_q_5_ (.Q (\$dummy [175]), .QB (nx9229), .D (nx5870), .CLK (CLK )) ; mux21 ix9232 (.Y (nx9231), .A0 (nx14727), .A1 (reg_5_q_c_5_), .S0 ( C_MUX2_18_SEL)) ; ao21 ix5375 (.Y (nx5374), .A0 (C_MUX2_22_SEL), .A1 (reg_14_q_c_5_), .B0 ( nx5310)) ; dff REG_14_reg_q_5_ (.Q (reg_14_q_c_5_), .QB (\$dummy [176]), .D (nx5364), .CLK ( CLK)) ; xnor2 ix5365 (.Y (nx5364), .A0 (nx9237), .A1 (nx5362)) ; aoi22 ix9238 (.Y (nx9237), .A0 (nx9239), .A1 (PRI_OUT_6[4]), .B0 (nx4200), .B1 ( nx4242)) ; inv02 ix9240 (.Y (nx9239), .A (PRI_IN_7[4])) ; xnor2 ix5363 (.Y (nx5362), .A0 (PRI_IN_7[5]), .A1 (PRI_OUT_6[5])) ; dff REG_23_reg_q_5_ (.Q (PRI_OUT_6[5]), .QB (\$dummy [177]), .D (nx5354), .CLK ( CLK)) ; xnor2 ix5355 (.Y (nx5354), .A0 (nx5330), .A1 (nx9247)) ; ao21 ix5331 (.Y (nx5330), .A0 (nx8717), .A1 (reg_30_q_c_4_), .B0 (nx5328)) ; nor02 ix5329 (.Y (nx5328), .A0 (nx15651), .A1 (nx8765)) ; xnor2 ix9248 (.Y (nx9247), .A0 (reg_30_q_c_5_), .A1 (nx9205)) ; dff REG_30_reg_q_5_ (.Q (reg_30_q_c_5_), .QB (\$dummy [178]), .D (nx5344), .CLK ( CLK)) ; xor2 ix5345 (.Y (nx5344), .A0 (nx5340), .A1 (nx5342)) ; oai22 ix5341 (.Y (nx5340), .A0 (nx8771), .A1 (nx9254), .B0 (PRI_IN_0[4]), .B1 ( nx8785)) ; xnor2 ix5343 (.Y (nx5342), .A0 (PRI_IN_0[5]), .A1 (nx15464)) ; nor02 ix5311 (.Y (nx5310), .A0 (C_MUX2_22_SEL), .A1 (nx9263)) ; mux21 ix9264 (.Y (nx9263), .A0 (reg_19_q_c_5_), .A1 (nx14727), .S0 ( C_MUX2_20_SEL)) ; nor02 ix5381 (.Y (nx5380), .A0 (C_MUX2_9_SEL), .A1 (nx8981)) ; dff REG_16_reg_q_5_ (.Q (reg_16_q_c_5_), .QB (nx9274), .D (nx5444), .CLK ( CLK)) ; xor2 ix5445 (.Y (nx5444), .A0 (nx5440), .A1 (nx5442)) ; mux21 ix5441 (.Y (nx5440), .A0 (reg_20_q_c_4_), .A1 (nx8788), .S0 (nx4322) ) ; mux21 ix9276 (.Y (nx9275), .A0 (reg_36_q_c_5_), .A1 (reg_35_q_c_5_), .S0 ( C_MUX2_11_SEL)) ; dff REG_36_reg_q_5_ (.Q (reg_36_q_c_5_), .QB (\$dummy [179]), .D (nx5472), .CLK ( CLK)) ; xnor2 ix5473 (.Y (nx5472), .A0 (nx5468), .A1 (nx9281)) ; mux21 ix5469 (.Y (nx5468), .A0 (nx8799), .A1 (reg_16_q_c_4_), .S0 (nx8801) ) ; dff REG_5_reg_q_5_ (.Q (reg_5_q_c_5_), .QB (nx9285), .D (nx5900), .CLK (CLK) ) ; mux21 ix9290 (.Y (nx9289), .A0 (reg_19_q_c_5_), .A1 (reg_11_q_c_5_), .S0 ( C_MUX2_7_SEL)) ; dff REG_47_reg_q_5_ (.Q (reg_47_q_c_5_), .QB (\$dummy [180]), .D (nx5640), .CLK ( CLK)) ; xor2 ix5641 (.Y (nx5640), .A0 (nx9297), .A1 (nx9299)) ; mux21 ix9298 (.Y (nx9297), .A0 (nx4488), .A1 (nx14771), .S0 (nx8821)) ; xnor2 ix9300 (.Y (nx9299), .A0 (nx9225), .A1 (nx15465)) ; xor2 ix5627 (.Y (nx5626), .A0 (nx5622), .A1 (nx5624)) ; mux21 ix5623 (.Y (nx5622), .A0 (nx6457), .A1 (nx8829), .S0 (nx4504)) ; xnor2 ix5625 (.Y (nx5624), .A0 (PRI_IN_1[5]), .A1 (nx15466)) ; ao21 ix5499 (.Y (nx6467), .A0 (C_MUX2_24_SEL), .A1 (reg_27_q_c_5_), .B0 ( nx5494)) ; nor02 ix5495 (.Y (nx5494), .A0 (C_MUX2_24_SEL), .A1 (nx9317)) ; mux21 ix9326 (.Y (nx9325), .A0 (reg_13_q_c_5_), .A1 (reg_11_q_c_5_), .S0 ( C_MUX2_5_SEL)) ; dff REG_43_reg_q_5_ (.Q (reg_43_q_c_5_), .QB (\$dummy [181]), .D (nx5820), .CLK ( CLK)) ; xor2 ix5821 (.Y (nx5820), .A0 (nx5816), .A1 (nx5818)) ; oai22 ix5817 (.Y (nx5816), .A0 (nx8859), .A1 (nx9332), .B0 (nx4516), .B1 ( nx8869)) ; xnor2 ix5819 (.Y (nx5818), .A0 (reg_34_q_c_5_), .A1 (nx15465)) ; mux21 ix9354 (.Y (nx9353), .A0 (reg_18_q_c_5_), .A1 (nx5458), .S0 ( C_MUX2_19_SEL)) ; dff REG_39_reg_q_5_ (.Q (reg_39_q_c_5_), .QB (\$dummy [182]), .D (nx6114), .CLK ( CLK)) ; mux21 ix6115 (.Y (nx6114), .A0 (nx9359), .A1 (nx9367), .S0 (C_MUX2_10_SEL) ) ; xnor2 ix9360 (.Y (nx9359), .A0 (nx5980), .A1 (nx5982)) ; oai22 ix5981 (.Y (nx5980), .A0 (nx8945), .A1 (nx9363), .B0 (nx14773), .B1 ( nx8839)) ; xnor2 ix5983 (.Y (nx5982), .A0 (reg_44_q_c_5_), .A1 (nx9225_XX0_XREP83)) ; xnor2 ix9368 (.Y (nx9367), .A0 (nx5996), .A1 (nx6108)) ; mux21 ix5997 (.Y (nx5996), .A0 (nx8891), .A1 (nx8884), .S0 (nx4988)) ; xnor2 ix6109 (.Y (nx6108), .A0 (PRI_IN_4[5]), .A1 (nx9373)) ; mux21 ix9374 (.Y (nx9373), .A0 (PRI_OUT_5[5]), .A1 (reg_22_q_c_5_), .S0 ( C_MUX2_25_SEL)) ; dff REG_21_reg_q_5_ (.Q (PRI_OUT_5[5]), .QB (\$dummy [183]), .D (nx6092), .CLK ( CLK)) ; xnor2 ix6093 (.Y (nx6092), .A0 (nx9379), .A1 (nx6090)) ; aoi22 ix9380 (.Y (nx9379), .A0 (nx4450), .A1 (reg_48_q_c_4_), .B0 (nx4884), .B1 (nx4970)) ; xnor2 ix6091 (.Y (nx6090), .A0 (reg_48_q_c_5_), .A1 (nx9169)) ; dff REG_48_reg_q_5_ (.Q (reg_48_q_c_5_), .QB (\$dummy [184]), .D (nx6082), .CLK ( CLK)) ; ao21 ix6083 (.Y (nx6082), .A0 (C_MUX2_23_SEL), .A1 (nx6078), .B0 (nx6020)) ; xnor2 ix6079 (.Y (nx6078), .A0 (nx6028), .A1 (nx9393)) ; ao21 ix6029 (.Y (nx6028), .A0 (nx4954), .A1 (reg_36_q_c_4_), .B0 (nx6026)) ; xnor2 ix9394 (.Y (nx9393), .A0 (reg_36_q_c_5_), .A1 (nx6074)) ; ao21 ix6075 (.Y (nx6074), .A0 (C_MUX2_4_SEL), .A1 (PRI_OUT_4[5]), .B0 ( nx6032)) ; dff REG_9_reg_q_5_ (.Q (PRI_OUT_4[5]), .QB (\$dummy [185]), .D (nx6064), .CLK ( CLK)) ; xnor2 ix6065 (.Y (nx6064), .A0 (nx6040), .A1 (nx9403)) ; oai22 ix6041 (.Y (nx6040), .A0 (nx8922), .A1 (nx8925), .B0 (nx8935), .B1 ( nx8808)) ; xnor2 ix9404 (.Y (nx9403), .A0 (reg_22_q_c_5_), .A1 (reg_46_q_c_5_)) ; dff REG_46_reg_q_5_ (.Q (reg_46_q_c_5_), .QB (\$dummy [186]), .D (nx6054), .CLK ( CLK)) ; xor2 ix6055 (.Y (nx6054), .A0 (nx6050), .A1 (nx6052)) ; mux21 ix6051 (.Y (nx6050), .A0 (reg_33_q_c_4_), .A1 (nx8931), .S0 (nx4932) ) ; nor02 ix6033 (.Y (nx6032), .A0 (C_MUX2_4_SEL), .A1 (nx9205)) ; nor02 ix6021 (.Y (nx6020), .A0 (C_MUX2_23_SEL), .A1 (nx9418)) ; xor2 ix9419 (.Y (nx9418), .A0 (nx6012), .A1 (nx9421)) ; oai22 ix6013 (.Y (nx6012), .A0 (nx8905), .A1 (nx8909), .B0 (nx8866), .B1 ( nx8675)) ; dff REG_26_reg_q_6_ (.Q (PRI_OUT_9[6]), .QB (\$dummy [187]), .D (nx7244), .CLK ( CLK)) ; xnor2 ix7245 (.Y (nx7244), .A0 (nx9430), .A1 (nx7242)) ; aoi22 ix9431 (.Y (nx9430), .A0 (nx9355), .A1 (reg_39_q_c_5_), .B0 (nx5020), .B1 (nx6122)) ; xnor2 ix7243 (.Y (nx7242), .A0 (reg_33_q_c_6_), .A1 (reg_39_q_c_6_)) ; dff REG_33_reg_q_6_ (.Q (reg_33_q_c_6_), .QB (\$dummy [188]), .D (nx7086), .CLK ( CLK)) ; xnor2 ix7087 (.Y (nx7086), .A0 (nx9437), .A1 (nx7084)) ; aoi22 ix9438 (.Y (nx9437), .A0 (nx5962), .A1 (reg_17_q_c_5_), .B0 (nx5028), .B1 (nx5964)) ; dff REG_17_reg_q_6_ (.Q (reg_17_q_c_6_), .QB (nx9905), .D (nx7068), .CLK ( CLK)) ; xnor2 ix7069 (.Y (nx7068), .A0 (nx9447), .A1 (nx7066)) ; aoi22 ix9448 (.Y (nx9447), .A0 (nx9317), .A1 (reg_29_q_c_5_), .B0 (nx5038), .B1 (nx5946)) ; dff REG_29_reg_q_6_ (.Q (reg_29_q_c_6_), .QB (\$dummy [189]), .D (nx7058), .CLK ( CLK)) ; xnor2 ix7059 (.Y (nx7058), .A0 (nx6168), .A1 (nx9455)) ; mux21 ix6169 (.Y (nx6168), .A0 (nx8973), .A1 (nx5374), .S0 (nx8979)) ; xnor2 ix9456 (.Y (nx9455), .A0 (nx9457), .A1 (nx6494)) ; mux21 ix9458 (.Y (nx9457), .A0 (reg_20_q_c_6_), .A1 (reg_17_q_c_6_), .S0 ( C_MUX2_16_SEL)) ; dff REG_20_reg_q_6_ (.Q (reg_20_q_c_6_), .QB (\$dummy [190]), .D (nx7040), .CLK ( CLK)) ; xnor2 ix7041 (.Y (nx7040), .A0 (nx9461), .A1 (nx7038)) ; aoi22 ix9462 (.Y (nx9461), .A0 (nx9317), .A1 (reg_28_q_c_5_), .B0 (nx5058), .B1 (nx5918)) ; dff REG_28_reg_q_6_ (.Q (reg_28_q_c_6_), .QB (\$dummy [191]), .D (nx7030), .CLK ( CLK)) ; xnor2 ix7031 (.Y (nx7030), .A0 (nx9469), .A1 (nx7028)) ; aoi22 ix9470 (.Y (nx9469), .A0 (nx9471), .A1 (reg_5_q_c_5_), .B0 (nx5068), .B1 ( nx5908)) ; inv02 ix9472 (.Y (nx9471), .A (PRI_IN_2[5])) ; xnor2 ix7021 (.Y (nx7020), .A0 (nx9478), .A1 (nx7018)) ; aoi22 ix9479 (.Y (nx9478), .A0 (nx9480), .A1 (reg_34_q_c_5_), .B0 (nx5078), .B1 (nx5898)) ; inv02 ix9481 (.Y (nx9480), .A (PRI_IN_3[5])) ; dff REG_34_reg_q_6_ (.Q (\$dummy [192]), .QB (nx9901), .D (nx7010), .CLK ( CLK)) ; xor2 ix7011 (.Y (nx7010), .A0 (nx9487), .A1 (nx9490)) ; aoi22 ix9488 (.Y (nx9487), .A0 (reg_19_q_c_5_), .A1 (reg_18_q_c_5_), .B0 ( nx5086), .B1 (nx5888)) ; dff REG_18_reg_q_6_ (.Q (reg_18_q_c_6_), .QB (nx9899), .D (nx7000), .CLK ( CLK)) ; xnor2 ix7001 (.Y (nx7000), .A0 (nx9495), .A1 (nx6998)) ; aoi22 ix9496 (.Y (nx9495), .A0 (nx9229), .A1 (PRI_IN_9[5]), .B0 (nx5096), .B1 ( nx5878)) ; xor2 ix6991 (.Y (nx6990), .A0 (nx9503), .A1 (nx9507)) ; aoi22 ix9504 (.Y (nx9503), .A0 (reg_42_q_c_5_), .A1 (reg_17_q_c_5_), .B0 ( nx5104), .B1 (nx5868)) ; dff REG_42_reg_q_6_ (.Q (\$dummy [193]), .QB (nx9897), .D (nx6980), .CLK ( CLK)) ; xnor2 ix6981 (.Y (nx6980), .A0 (nx6232), .A1 (nx9517)) ; oai22 ix6233 (.Y (nx6232), .A0 (nx9024), .A1 (nx9029), .B0 (nx9515), .B1 ( nx9341)) ; dff REG_40_reg_q_6_ (.Q (reg_40_q_c_6_), .QB (nx9896), .D (nx6970), .CLK ( CLK)) ; xnor2 ix6971 (.Y (nx6970), .A0 (nx9523), .A1 (nx6968)) ; aoi22 ix9524 (.Y (nx9523), .A0 (nx9285), .A1 (PRI_OUT_3[5]), .B0 (nx5122), .B1 ( nx5848)) ; dff REG_4_reg_q_6_ (.Q (PRI_OUT_3[6]), .QB (\$dummy [194]), .D (nx6960), .CLK ( CLK)) ; xnor2 ix6961 (.Y (nx6960), .A0 (nx9531), .A1 (nx6958)) ; aoi22 ix9532 (.Y (nx9531), .A0 (nx9339), .A1 (reg_32_q_c_5_), .B0 (nx5132), .B1 (nx5838)) ; xnor2 ix6959 (.Y (nx6958), .A0 (PRI_OUT_3[6]), .A1 (reg_32_q_c_6_)) ; dff REG_32_reg_q_6_ (.Q (reg_32_q_c_6_), .QB (\$dummy [195]), .D (nx6950), .CLK ( CLK)) ; xor2 ix6951 (.Y (nx6950), .A0 (nx9539), .A1 (nx9543)) ; aoi22 ix9540 (.Y (nx9539), .A0 (reg_43_q_c_5_), .A1 (PRI_OUT_8[5]), .B0 ( nx5140), .B1 (nx5828)) ; xnor2 ix9544 (.Y (nx9543), .A0 (PRI_OUT_8[6]), .A1 (reg_43_q_c_6_)) ; dff REG_24_reg_q_6_ (.Q (PRI_OUT_8[6]), .QB (\$dummy [196]), .D (nx6920), .CLK ( CLK)) ; xor2 ix6921 (.Y (nx6920), .A0 (nx9549), .A1 (nx9553)) ; aoi22 ix9550 (.Y (nx9549), .A0 (reg_41_q_c_5_), .A1 (reg_34_q_c_5_), .B0 ( nx5148), .B1 (nx5798)) ; dff REG_41_reg_q_6_ (.Q (\$dummy [197]), .QB (nx9883), .D (nx6910), .CLK ( CLK)) ; xor2 ix6911 (.Y (nx6910), .A0 (nx9559), .A1 (nx9567)) ; aoi22 ix9560 (.Y (nx9559), .A0 (nx15466), .A1 (PRI_IN_8[5]), .B0 (nx5156), .B1 ( nx5788)) ; xnor2 ix9568 (.Y (nx9567), .A0 (PRI_IN_8[6]), .A1 (nx14733)) ; ao21 ix6907 (.Y (nx6477), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_6_), .B0 ( nx6866)) ; dff REG_8_reg_q_6_ (.Q (reg_8_q_c_6_), .QB (\$dummy [198]), .D (nx6896), .CLK ( CLK)) ; xor2 ix6897 (.Y (nx6896), .A0 (nx9575), .A1 (nx9579)) ; aoi22 ix9576 (.Y (nx9575), .A0 (reg_37_q_c_5_), .A1 (PRI_OUT_1[5]), .B0 ( nx5754), .B1 (nx5774)) ; dff REG_2_reg_q_6_ (.Q (PRI_OUT_1[6]), .QB (\$dummy [199]), .D (nx6886), .CLK ( CLK)) ; xor2 ix6887 (.Y (nx6886), .A0 (nx9585), .A1 (nx9589)) ; aoi22 ix9586 (.Y (nx9585), .A0 (reg_45_q_c_5_), .A1 (reg_35_q_c_5_), .B0 ( nx5762), .B1 (nx5764)) ; dff REG_35_reg_q_6_ (.Q (reg_35_q_c_6_), .QB (nx9875), .D (nx6622), .CLK ( CLK)) ; xnor2 ix6623 (.Y (nx6622), .A0 (nx6610), .A1 (nx9597)) ; oai22 ix6611 (.Y (nx6610), .A0 (nx9082), .A1 (nx9085), .B0 (nx9317), .B1 ( nx9314)) ; dff REG_37_reg_q_6_ (.Q (reg_37_q_c_6_), .QB (nx9869), .D (nx6810), .CLK ( CLK)) ; xor2 ix6811 (.Y (nx6810), .A0 (nx9603), .A1 (nx9607)) ; dff REG_44_reg_q_6_ (.Q (reg_44_q_c_6_), .QB (nx9867), .D (nx6800), .CLK ( CLK)) ; xnor2 ix6801 (.Y (nx6800), .A0 (nx9613), .A1 (nx6798)) ; aoi22 ix9614 (.Y (nx9613), .A0 (nx9293), .A1 (reg_10_q_c_5_), .B0 (nx5200), .B1 (nx5678)) ; dff REG_10_reg_q_6_ (.Q (reg_10_q_c_6_), .QB (\$dummy [200]), .D (nx6790), .CLK ( CLK)) ; xor2 ix6791 (.Y (nx6790), .A0 (nx9621), .A1 (nx9625)) ; aoi22 ix9622 (.Y (nx9621), .A0 (reg_11_q_c_5_), .A1 (reg_5_q_c_5_), .B0 ( nx5208), .B1 (nx5668)) ; dff REG_11_reg_q_6_ (.Q (reg_11_q_c_6_), .QB (nx9865), .D (nx6780), .CLK ( CLK)) ; xnor2 ix6781 (.Y (nx6780), .A0 (nx6336), .A1 (nx9637)) ; oai22 ix6337 (.Y (nx6336), .A0 (nx9111), .A1 (nx9119), .B0 (nx9633), .B1 ( nx9310)) ; dff REG_45_reg_q_6_ (.Q (reg_45_q_c_6_), .QB (nx9863), .D (nx6770), .CLK ( CLK)) ; xor2 ix6771 (.Y (nx6770), .A0 (nx9643), .A1 (nx9647)) ; aoi22 ix9644 (.Y (nx9643), .A0 (reg_47_q_c_5_), .A1 (reg_27_q_c_5_), .B0 ( nx5224), .B1 (nx5648)) ; dff REG_27_reg_q_6_ (.Q (reg_27_q_c_6_), .QB (nx9845), .D (nx6712), .CLK ( CLK)) ; xnor2 ix6713 (.Y (nx6712), .A0 (nx9653), .A1 (nx6710)) ; aoi22 ix9654 (.Y (nx9653), .A0 (nx9162), .A1 (reg_31_q_c_5_), .B0 (nx5234), .B1 (nx5590)) ; dff REG_13_reg_q_6_ (.Q (reg_13_q_c_6_), .QB (nx9690), .D (nx6848), .CLK ( CLK)) ; xnor2 ix6849 (.Y (nx6848), .A0 (nx9661), .A1 (nx6846)) ; aoi22 ix9662 (.Y (nx9661), .A0 (nx9319), .A1 (PRI_OUT_0[5]), .B0 (nx5166), .B1 ( nx5726)) ; dff REG_1_reg_q_6_ (.Q (PRI_OUT_0[6]), .QB (\$dummy [201]), .D (nx6838), .CLK ( CLK)) ; xnor2 ix6839 (.Y (nx6838), .A0 (nx9669), .A1 (nx6836)) ; aoi22 ix9670 (.Y (nx9669), .A0 (nx5714), .A1 (reg_41_q_c_5_), .B0 (nx5174), .B1 (nx5716)) ; mux21 ix9678 (.Y (nx9677), .A0 (reg_25_q_c_6_), .A1 (PRI_OUT_8[6]), .S0 ( C_MUX2_8_SEL)) ; dff REG_25_reg_q_6_ (.Q (reg_25_q_c_6_), .QB (\$dummy [202]), .D (nx6820), .CLK ( CLK)) ; xnor2 ix6821 (.Y (nx6820), .A0 (nx6302), .A1 (nx9685)) ; oai22 ix6303 (.Y (nx6302), .A0 (nx9154), .A1 (nx9157), .B0 (nx9563), .B1 ( nx9314)) ; dff REG_31_reg_q_6_ (.Q (reg_31_q_c_6_), .QB (nx9843), .D (nx6702), .CLK ( CLK)) ; xnor2 ix6703 (.Y (nx6702), .A0 (nx9695), .A1 (nx6700)) ; mux21 ix9696 (.Y (nx9695), .A0 (nx9169), .A1 (nx5244), .S0 (nx5580)) ; xnor2 ix6701 (.Y (nx6700), .A0 (nx9699), .A1 (nx9840)) ; mux21 ix9700 (.Y (nx9699), .A0 (reg_38_q_c_6_), .A1 (reg_37_q_c_6_), .S0 ( C_MUX2_3_SEL)) ; dff REG_38_reg_q_6_ (.Q (reg_38_q_c_6_), .QB (\$dummy [203]), .D (nx6676), .CLK ( CLK)) ; xor2 ix6677 (.Y (nx6676), .A0 (nx6374), .A1 (nx6674)) ; mux21 ix6375 (.Y (nx6374), .A0 (reg_22_q_c_5_), .A1 (nx9175), .S0 (nx5554) ) ; dff REG_22_reg_q_6_ (.Q (reg_22_q_c_6_), .QB (nx9838), .D (nx6666), .CLK ( CLK)) ; xnor2 ix6667 (.Y (nx6666), .A0 (nx6384), .A1 (nx9719)) ; oai22 ix6385 (.Y (nx6384), .A0 (nx9183), .A1 (nx9186), .B0 (nx6466), .B1 ( nx9347)) ; xnor2 ix9720 (.Y (nx9719), .A0 (reg_28_q_c_6_), .A1 (nx9721)) ; mux21 ix9722 (.Y (nx9721), .A0 (reg_10_q_c_6_), .A1 (nx6473), .S0 ( C_MUX2_14_SEL)) ; mux21 ix6659 (.Y (nx6473), .A0 (nx9725), .A1 (nx9836), .S0 (C_MUX2_21_SEL) ) ; mux21 ix9726 (.Y (nx9725), .A0 (PRI_OUT_7[6]), .A1 (reg_17_q_c_6_), .S0 ( C_MUX2_17_SEL)) ; dff REG_6_reg_q_6_ (.Q (PRI_OUT_7[6]), .QB (\$dummy [204]), .D (nx6636), .CLK ( CLK)) ; xor2 ix6637 (.Y (nx6636), .A0 (nx6396), .A1 (nx6634)) ; mux21 ix6397 (.Y (nx6396), .A0 (nx9275), .A1 (nx9197), .S0 (nx5514)) ; xnor2 ix6635 (.Y (nx6634), .A0 (nx6578), .A1 (nx9823)) ; mux21 ix6579 (.Y (nx6578), .A0 (nx9725), .A1 (nx9737), .S0 (C_MUX2_15_SEL) ) ; dff REG_12_reg_q_6_ (.Q (reg_12_q_c_6_), .QB (nx9812), .D (nx6540), .CLK ( CLK)) ; xor2 ix6541 (.Y (nx6540), .A0 (nx9743), .A1 (nx9747)) ; aoi22 ix9744 (.Y (nx9743), .A0 (reg_19_q_c_5_), .A1 (nx15464), .B0 (nx5288) , .B1 (nx5418)) ; dff REG_19_reg_q_6_ (.Q (reg_19_q_c_6_), .QB (nx9811), .D (nx6530), .CLK ( CLK)) ; xnor2 ix6531 (.Y (nx6530), .A0 (nx6418), .A1 (nx9761)) ; oai22 ix6419 (.Y (nx6418), .A0 (nx9215), .A1 (nx9223), .B0 (nx5406), .B1 ( nx9291)) ; mux21 ix6521 (.Y (nx6520), .A0 (nx9767), .A1 (nx9769), .S0 (C_MUX2_6_SEL)) ; dff REG_7_reg_q_6_ (.Q (reg_7_q_c_6_), .QB (nx9767), .D (nx6990), .CLK (CLK) ) ; mux21 ix9770 (.Y (nx9769), .A0 (reg_17_q_c_6_), .A1 (reg_5_q_c_6_), .S0 ( C_MUX2_18_SEL)) ; ao21 ix6503 (.Y (nx6502), .A0 (C_MUX2_9_SEL), .A1 (nx6494), .B0 (nx6500)) ; ao21 ix6495 (.Y (nx6494), .A0 (C_MUX2_22_SEL), .A1 (reg_14_q_c_6_), .B0 ( nx6430)) ; dff REG_14_reg_q_6_ (.Q (reg_14_q_c_6_), .QB (\$dummy [205]), .D (nx6484), .CLK ( CLK)) ; xor2 ix6485 (.Y (nx6484), .A0 (nx6440), .A1 (nx6482)) ; mux21 ix6441 (.Y (nx6440), .A0 (PRI_IN_7[5]), .A1 (nx9237), .S0 (nx5362)) ; xnor2 ix6483 (.Y (nx6482), .A0 (PRI_IN_7[6]), .A1 (PRI_OUT_6[6])) ; dff REG_23_reg_q_6_ (.Q (PRI_OUT_6[6]), .QB (\$dummy [206]), .D (nx6474), .CLK ( CLK)) ; xor2 ix6475 (.Y (nx6474), .A0 (nx9785), .A1 (nx9791)) ; aoi22 ix9786 (.Y (nx9785), .A0 (nx9205), .A1 (reg_30_q_c_5_), .B0 (nx5330), .B1 (nx5352)) ; dff REG_30_reg_q_6_ (.Q (reg_30_q_c_6_), .QB (\$dummy [207]), .D (nx6464), .CLK ( CLK)) ; xnor2 ix6465 (.Y (nx6464), .A0 (nx9797), .A1 (nx6462)) ; aoi22 ix9798 (.Y (nx9797), .A0 (nx9799), .A1 (nx15464), .B0 (nx5340), .B1 ( nx5342)) ; inv02 ix9800 (.Y (nx9799), .A (PRI_IN_0[5])) ; nor02 ix6431 (.Y (nx6430), .A0 (C_MUX2_22_SEL), .A1 (nx9807)) ; mux21 ix9808 (.Y (nx9807), .A0 (reg_19_q_c_6_), .A1 (reg_17_q_c_6_), .S0 ( C_MUX2_20_SEL)) ; nor02 ix6501 (.Y (nx6500), .A0 (C_MUX2_9_SEL), .A1 (nx9457)) ; dff REG_16_reg_q_6_ (.Q (reg_16_q_c_6_), .QB (\$dummy [208]), .D (nx6564), .CLK ( CLK)) ; xnor2 ix6565 (.Y (nx6564), .A0 (nx9817), .A1 (nx6562)) ; mux21 ix9818 (.Y (nx9817), .A0 (nx9349), .A1 (nx5440), .S0 (nx5442)) ; xnor2 ix6563 (.Y (nx6562), .A0 (reg_20_q_c_6_), .A1 (nx6473)) ; mux21 ix9824 (.Y (nx9823), .A0 (reg_36_q_c_6_), .A1 (reg_35_q_c_6_), .S0 ( C_MUX2_11_SEL)) ; dff REG_36_reg_q_6_ (.Q (reg_36_q_c_6_), .QB (\$dummy [209]), .D (nx6592), .CLK ( CLK)) ; xor2 ix6593 (.Y (nx6592), .A0 (nx9828), .A1 (nx9830)) ; mux21 ix9829 (.Y (nx9828), .A0 (nx5468), .A1 (nx9274), .S0 (nx9281)) ; xnor2 ix9831 (.Y (nx9830), .A0 (reg_16_q_c_6_), .A1 (nx9721)) ; dff REG_5_reg_q_6_ (.Q (reg_5_q_c_6_), .QB (nx9836), .D (nx7020), .CLK (CLK) ) ; mux21 ix9841 (.Y (nx9840), .A0 (reg_19_q_c_6_), .A1 (reg_11_q_c_6_), .S0 ( C_MUX2_7_SEL)) ; dff REG_47_reg_q_6_ (.Q (\$dummy [210]), .QB (nx9861), .D (nx6760), .CLK ( CLK)) ; xnor2 ix6761 (.Y (nx6760), .A0 (nx6728), .A1 (nx9849)) ; mux21 ix6729 (.Y (nx6728), .A0 (nx9297), .A1 (nx5406), .S0 (nx9299)) ; xnor2 ix9850 (.Y (nx9849), .A0 (nx14775), .A1 (nx6756)) ; xnor2 ix6747 (.Y (nx6746), .A0 (nx9855), .A1 (nx6744)) ; aoi22 ix9856 (.Y (nx9855), .A0 (nx9563), .A1 (PRI_IN_1[5]), .B0 (nx5622), .B1 ( nx5624)) ; xnor2 ix6745 (.Y (nx6744), .A0 (PRI_IN_1[6]), .A1 (nx14733)) ; nor02 ix6615 (.Y (nx6614), .A0 (C_MUX2_24_SEL), .A1 (nx14823)) ; nor02 ix6867 (.Y (nx6866), .A0 (C_MUX2_13_SEL), .A1 (nx9881)) ; mux21 ix9882 (.Y (nx9881), .A0 (reg_13_q_c_6_), .A1 (reg_11_q_c_6_), .S0 ( C_MUX2_5_SEL)) ; dff REG_43_reg_q_6_ (.Q (reg_43_q_c_6_), .QB (\$dummy [211]), .D (nx6940), .CLK ( CLK)) ; xnor2 ix6941 (.Y (nx6940), .A0 (nx9889), .A1 (nx6938)) ; aoi22 ix9890 (.Y (nx9889), .A0 (nx9515), .A1 (reg_34_q_c_5_), .B0 (nx5816), .B1 (nx5818)) ; mux21 ix9907 (.Y (nx9906), .A0 (reg_18_q_c_6_), .A1 (nx6578), .S0 ( C_MUX2_19_SEL)) ; dff REG_39_reg_q_6_ (.Q (reg_39_q_c_6_), .QB (\$dummy [212]), .D (nx7234), .CLK ( CLK)) ; ao21 ix7235 (.Y (nx7234), .A0 (C_MUX2_10_SEL), .A1 (nx7230), .B0 (nx7108)) ; xnor2 ix7231 (.Y (nx7230), .A0 (nx9913), .A1 (nx7228)) ; aoi22 ix9914 (.Y (nx9913), .A0 (nx6106), .A1 (PRI_IN_4[5]), .B0 (nx5996), .B1 ( nx6108)) ; xnor2 ix7229 (.Y (nx7228), .A0 (PRI_IN_4[6]), .A1 (nx9921)) ; mux21 ix9922 (.Y (nx9921), .A0 (PRI_OUT_5[6]), .A1 (reg_22_q_c_6_), .S0 ( C_MUX2_25_SEL)) ; dff REG_21_reg_q_6_ (.Q (PRI_OUT_5[6]), .QB (\$dummy [213]), .D (nx7212), .CLK ( CLK)) ; xor2 ix7213 (.Y (nx7212), .A0 (nx7124), .A1 (nx7210)) ; mux21 ix7125 (.Y (nx7124), .A0 (nx9169), .A1 (nx9379), .S0 (nx6090)) ; xnor2 ix7211 (.Y (nx7210), .A0 (reg_48_q_c_6_), .A1 (nx9699)) ; dff REG_48_reg_q_6_ (.Q (reg_48_q_c_6_), .QB (\$dummy [214]), .D (nx7202), .CLK ( CLK)) ; mux21 ix7203 (.Y (nx7202), .A0 (nx9931), .A1 (nx9939), .S0 (C_MUX2_23_SEL) ) ; xnor2 ix9932 (.Y (nx9931), .A0 (nx9933), .A1 (nx9937)) ; aoi22 ix9934 (.Y (nx9933), .A0 (reg_40_q_c_5_), .A1 (reg_13_q_c_5_), .B0 ( nx6012), .B1 (nx6014)) ; xnor2 ix9940 (.Y (nx9939), .A0 (nx9941), .A1 (nx9945)) ; xnor2 ix9946 (.Y (nx9945), .A0 (reg_36_q_c_6_), .A1 (nx7194)) ; ao21 ix7195 (.Y (nx7194), .A0 (C_MUX2_4_SEL), .A1 (PRI_OUT_4[6]), .B0 ( nx7152)) ; dff REG_9_reg_q_6_ (.Q (PRI_OUT_4[6]), .QB (\$dummy [215]), .D (nx7184), .CLK ( CLK)) ; xor2 ix7185 (.Y (nx7184), .A0 (nx9953), .A1 (nx9956)) ; aoi22 ix9954 (.Y (nx9953), .A0 (reg_46_q_c_5_), .A1 (reg_22_q_c_5_), .B0 ( nx6040), .B1 (nx6062)) ; dff REG_46_reg_q_6_ (.Q (\$dummy [216]), .QB (nx9963), .D (nx7174), .CLK ( CLK)) ; xnor2 ix7175 (.Y (nx7174), .A0 (nx9960), .A1 (nx7172)) ; aoi22 ix9961 (.Y (nx9960), .A0 (nx9355), .A1 (PRI_IN_5[5]), .B0 (nx6050), .B1 ( nx6052)) ; xnor2 ix7173 (.Y (nx7172), .A0 (PRI_IN_5[6]), .A1 (reg_33_q_c_6_)) ; nor02 ix7153 (.Y (nx7152), .A0 (C_MUX2_4_SEL), .A1 (nx9737)) ; nor02 ix7109 (.Y (nx7108), .A0 (C_MUX2_10_SEL), .A1 (nx9971)) ; xor2 ix9972 (.Y (nx9971), .A0 (nx9973), .A1 (nx7102)) ; aoi22 ix9974 (.Y (nx9973), .A0 (nx5406), .A1 (reg_44_q_c_5_), .B0 (nx5980), .B1 (nx5982)) ; dff REG_26_reg_q_7_ (.Q (PRI_OUT_9[7]), .QB (\$dummy [217]), .D (nx8364), .CLK ( CLK)) ; xor2 ix8365 (.Y (nx8364), .A0 (nx7260), .A1 (nx8362)) ; mux21 ix7261 (.Y (nx7260), .A0 (reg_33_q_c_6_), .A1 (nx9430), .S0 (nx7242) ) ; dff REG_33_reg_q_7_ (.Q (\$dummy [218]), .QB (nx10384), .D (nx8206), .CLK ( CLK)) ; xor2 ix8207 (.Y (nx8206), .A0 (nx7268), .A1 (nx8204)) ; oai22 ix7269 (.Y (nx7268), .A0 (nx9437), .A1 (nx9989), .B0 (nx9906), .B1 ( nx9905)) ; xnor2 ix8205 (.Y (nx8204), .A0 (reg_17_q_c_7_), .A1 (nx10382)) ; dff REG_17_reg_q_7_ (.Q (reg_17_q_c_7_), .QB (\$dummy [219]), .D (nx8188), .CLK ( CLK)) ; xor2 ix8189 (.Y (nx8188), .A0 (nx7278), .A1 (nx8186)) ; mux21 ix7279 (.Y (nx7278), .A0 (nx14729), .A1 (nx9447), .S0 (nx7066)) ; xnor2 ix8187 (.Y (nx8186), .A0 (reg_29_q_c_7_), .A1 (nx6485)) ; dff REG_29_reg_q_7_ (.Q (reg_29_q_c_7_), .QB (\$dummy [220]), .D (nx8178), .CLK ( CLK)) ; xor2 ix8179 (.Y (nx8178), .A0 (nx10002), .A1 (nx10007)) ; mux21 ix10003 (.Y (nx10002), .A0 (nx6168), .A1 (nx10004), .S0 (nx9455)) ; xnor2 ix10008 (.Y (nx10007), .A0 (nx10009), .A1 (nx7614)) ; mux21 ix10010 (.Y (nx10009), .A0 (reg_20_q_c_7_), .A1 (nx14735), .S0 ( C_MUX2_16_SEL)) ; dff REG_20_reg_q_7_ (.Q (reg_20_q_c_7_), .QB (nx10377), .D (nx8160), .CLK ( CLK)) ; xor2 ix8161 (.Y (nx8160), .A0 (nx7298), .A1 (nx8158)) ; mux21 ix7299 (.Y (nx7298), .A0 (nx14729), .A1 (nx9461), .S0 (nx7038)) ; dff REG_28_reg_q_7_ (.Q (reg_28_q_c_7_), .QB (nx10376), .D (nx8150), .CLK ( CLK)) ; xor2 ix8151 (.Y (nx8150), .A0 (nx7308), .A1 (nx8148)) ; oai22 ix7309 (.Y (nx7308), .A0 (nx9469), .A1 (nx10023), .B0 (PRI_IN_2[6]), .B1 ( nx9836)) ; xor2 ix8141 (.Y (nx8140), .A0 (nx7318), .A1 (nx8138)) ; oai22 ix7319 (.Y (nx7318), .A0 (nx9478), .A1 (nx10031), .B0 (PRI_IN_3[6]), .B1 ( nx9901)) ; xnor2 ix8139 (.Y (nx8138), .A0 (PRI_IN_3[7]), .A1 (reg_34_q_c_7_)) ; dff REG_34_reg_q_7_ (.Q (reg_34_q_c_7_), .QB (\$dummy [221]), .D (nx8130), .CLK ( CLK)) ; xnor2 ix8131 (.Y (nx8130), .A0 (nx7326), .A1 (nx10039)) ; oai22 ix7327 (.Y (nx7326), .A0 (nx9487), .A1 (nx9490), .B0 (nx9811), .B1 ( nx9899)) ; xnor2 ix10040 (.Y (nx10039), .A0 (reg_18_q_c_7_), .A1 (reg_19_q_c_7_)) ; dff REG_18_reg_q_7_ (.Q (reg_18_q_c_7_), .QB (\$dummy [222]), .D (nx8120), .CLK ( CLK)) ; xor2 ix8121 (.Y (nx8120), .A0 (nx7336), .A1 (nx8118)) ; mux21 ix7337 (.Y (nx7336), .A0 (reg_7_q_c_6_), .A1 (nx9495), .S0 (nx6998)) ; xnor2 ix8111 (.Y (nx8110), .A0 (nx7344), .A1 (nx10049)) ; oai22 ix7345 (.Y (nx7344), .A0 (nx9503), .A1 (nx9507), .B0 (nx9897), .B1 ( nx9905)) ; xnor2 ix10050 (.Y (nx10049), .A0 (nx14735), .A1 (reg_42_q_c_7_)) ; dff REG_42_reg_q_7_ (.Q (reg_42_q_c_7_), .QB (\$dummy [223]), .D (nx8100), .CLK ( CLK)) ; xor2 ix8101 (.Y (nx8100), .A0 (nx10055), .A1 (nx10061)) ; aoi22 ix10056 (.Y (nx10055), .A0 (nx15467), .A1 (reg_40_q_c_6_), .B0 (nx6232 ), .B1 (nx6978)) ; dff REG_40_reg_q_7_ (.Q (reg_40_q_c_7_), .QB (nx10372), .D (nx8090), .CLK ( CLK)) ; xor2 ix8091 (.Y (nx8090), .A0 (nx7362), .A1 (nx8088)) ; mux21 ix7363 (.Y (nx7362), .A0 (reg_5_q_c_6_), .A1 (nx9523), .S0 (nx6968)) ; dff REG_4_reg_q_7_ (.Q (PRI_OUT_3[7]), .QB (nx10371), .D (nx8080), .CLK (CLK )) ; xor2 ix8081 (.Y (nx8080), .A0 (nx7372), .A1 (nx8078)) ; mux21 ix7373 (.Y (nx7372), .A0 (PRI_OUT_3[6]), .A1 (nx9531), .S0 (nx6958)) ; dff REG_32_reg_q_7_ (.Q (reg_32_q_c_7_), .QB (\$dummy [224]), .D (nx8070), .CLK ( CLK)) ; xnor2 ix8071 (.Y (nx8070), .A0 (nx7380), .A1 (nx10078)) ; ao21 ix7381 (.Y (nx7380), .A0 (reg_43_q_c_6_), .A1 (PRI_OUT_8[6]), .B0 ( nx7378)) ; nor02 ix7379 (.Y (nx7378), .A0 (nx9539), .A1 (nx9543)) ; xnor2 ix10079 (.Y (nx10078), .A0 (PRI_OUT_8[7]), .A1 (reg_43_q_c_7_)) ; dff REG_24_reg_q_7_ (.Q (PRI_OUT_8[7]), .QB (\$dummy [225]), .D (nx8040), .CLK ( CLK)) ; xnor2 ix8041 (.Y (nx8040), .A0 (nx7388), .A1 (nx10083)) ; oai22 ix7389 (.Y (nx7388), .A0 (nx9549), .A1 (nx9553), .B0 (nx9883), .B1 ( nx9901)) ; xnor2 ix10084 (.Y (nx10083), .A0 (reg_34_q_c_7_), .A1 (reg_41_q_c_7_)) ; dff REG_41_reg_q_7_ (.Q (reg_41_q_c_7_), .QB (\$dummy [226]), .D (nx8030), .CLK ( CLK)) ; xnor2 ix8031 (.Y (nx8030), .A0 (nx7396), .A1 (nx10095)) ; mux21 ix7397 (.Y (nx7396), .A0 (nx9559), .A1 (nx10091), .S0 (nx9567)) ; xnor2 ix10096 (.Y (nx10095), .A0 (PRI_IN_8[7]), .A1 (nx6486)) ; ao21 ix8027 (.Y (nx6486), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_7_), .B0 ( nx7986)) ; dff REG_8_reg_q_7_ (.Q (reg_8_q_c_7_), .QB (\$dummy [227]), .D (nx8016), .CLK ( CLK)) ; xnor2 ix8017 (.Y (nx8016), .A0 (nx7994), .A1 (nx10105)) ; mux21 ix7995 (.Y (nx7994), .A0 (nx9575), .A1 (nx9869), .S0 (nx9579)) ; dff REG_2_reg_q_7_ (.Q (PRI_OUT_1[7]), .QB (\$dummy [228]), .D (nx8006), .CLK ( CLK)) ; xnor2 ix8007 (.Y (nx8006), .A0 (nx8002), .A1 (nx10111)) ; oai22 ix8003 (.Y (nx8002), .A0 (nx9585), .A1 (nx9589), .B0 (nx9863), .B1 ( nx9875)) ; dff REG_35_reg_q_7_ (.Q (reg_35_q_c_7_), .QB (nx10351), .D (nx7742), .CLK ( CLK)) ; xor2 ix7743 (.Y (nx7742), .A0 (nx10115), .A1 (nx10119)) ; aoi22 ix10116 (.Y (nx10115), .A0 (nx14731), .A1 (reg_37_q_c_6_), .B0 (nx6610 ), .B1 (nx6620)) ; dff REG_37_reg_q_7_ (.Q (reg_37_q_c_7_), .QB (nx10346), .D (nx7930), .CLK ( CLK)) ; xnor2 ix7931 (.Y (nx7930), .A0 (nx7430), .A1 (nx10126)) ; xnor2 ix10127 (.Y (nx10126), .A0 (PRI_IN_6[7]), .A1 (reg_44_q_c_7_)) ; dff REG_44_reg_q_7_ (.Q (reg_44_q_c_7_), .QB (\$dummy [229]), .D (nx7920), .CLK ( CLK)) ; xor2 ix7921 (.Y (nx7920), .A0 (nx7440), .A1 (nx7918)) ; mux21 ix7441 (.Y (nx7440), .A0 (reg_27_q_c_6_), .A1 (nx9613), .S0 (nx6798) ) ; dff REG_10_reg_q_7_ (.Q (reg_10_q_c_7_), .QB (\$dummy [230]), .D (nx7910), .CLK ( CLK)) ; xnor2 ix7911 (.Y (nx7910), .A0 (nx7448), .A1 (nx10137)) ; oai22 ix7449 (.Y (nx7448), .A0 (nx9621), .A1 (nx9625), .B0 (nx9865), .B1 ( nx9836)) ; dff REG_11_reg_q_7_ (.Q (reg_11_q_c_7_), .QB (\$dummy [231]), .D (nx7900), .CLK ( CLK)) ; xor2 ix7901 (.Y (nx7900), .A0 (nx10143), .A1 (nx10149)) ; aoi22 ix10144 (.Y (nx10143), .A0 (nx6473), .A1 (reg_45_q_c_6_), .B0 (nx6336) , .B1 (nx6778)) ; dff REG_45_reg_q_7_ (.Q (reg_45_q_c_7_), .QB (nx10342), .D (nx7890), .CLK ( CLK)) ; xnor2 ix7891 (.Y (nx7890), .A0 (nx7464), .A1 (nx10155)) ; oai22 ix7465 (.Y (nx7464), .A0 (nx9643), .A1 (nx9647), .B0 (nx9861), .B1 ( nx9845)) ; dff REG_27_reg_q_7_ (.Q (reg_27_q_c_7_), .QB (nx10325), .D (nx7832), .CLK ( CLK)) ; xor2 ix7833 (.Y (nx7832), .A0 (nx7474), .A1 (nx7830)) ; dff REG_13_reg_q_7_ (.Q (reg_13_q_c_7_), .QB (nx10188), .D (nx7968), .CLK ( CLK)) ; xor2 ix7969 (.Y (nx7968), .A0 (nx7406), .A1 (nx7966)) ; mux21 ix7407 (.Y (nx7406), .A0 (reg_35_q_c_6_), .A1 (nx9661), .S0 (nx6846) ) ; dff REG_1_reg_q_7_ (.Q (PRI_OUT_0[7]), .QB (\$dummy [232]), .D (nx7958), .CLK ( CLK)) ; xor2 ix7959 (.Y (nx7958), .A0 (nx7414), .A1 (nx7956)) ; oai22 ix7415 (.Y (nx7414), .A0 (nx9669), .A1 (nx10173), .B0 (nx9677), .B1 ( nx9883)) ; xnor2 ix7957 (.Y (nx7956), .A0 (reg_41_q_c_7_), .A1 (nx10176)) ; mux21 ix10177 (.Y (nx10176), .A0 (reg_25_q_c_7_), .A1 (PRI_OUT_8[7]), .S0 ( C_MUX2_8_SEL)) ; dff REG_25_reg_q_7_ (.Q (reg_25_q_c_7_), .QB (\$dummy [233]), .D (nx7940), .CLK ( CLK)) ; xor2 ix7941 (.Y (nx7940), .A0 (nx10181), .A1 (nx10184)) ; aoi22 ix10182 (.Y (nx10181), .A0 (nx14733), .A1 (reg_37_q_c_6_), .B0 (nx6302 ), .B1 (nx6818)) ; dff REG_31_reg_q_7_ (.Q (reg_31_q_c_7_), .QB (nx10324), .D (nx7822), .CLK ( CLK)) ; xor2 ix7823 (.Y (nx7822), .A0 (nx7484), .A1 (nx7820)) ; mux21 ix7485 (.Y (nx7484), .A0 (nx6690), .A1 (nx9695), .S0 (nx6700)) ; xnor2 ix7821 (.Y (nx7820), .A0 (nx10199), .A1 (nx10322)) ; mux21 ix10200 (.Y (nx10199), .A0 (reg_38_q_c_7_), .A1 (reg_37_q_c_7_), .S0 ( C_MUX2_3_SEL)) ; dff REG_38_reg_q_7_ (.Q (reg_38_q_c_7_), .QB (\$dummy [234]), .D (nx7796), .CLK ( CLK)) ; xnor2 ix7797 (.Y (nx7796), .A0 (nx10203), .A1 (nx7794)) ; mux21 ix10204 (.Y (nx10203), .A0 (nx9838), .A1 (nx6374), .S0 (nx6674)) ; xnor2 ix7795 (.Y (nx7794), .A0 (reg_22_q_c_7_), .A1 (nx7640)) ; dff REG_22_reg_q_7_ (.Q (reg_22_q_c_7_), .QB (\$dummy [235]), .D (nx7786), .CLK ( CLK)) ; xor2 ix7787 (.Y (nx7786), .A0 (nx10209), .A1 (nx10212)) ; aoi22 ix10210 (.Y (nx10209), .A0 (nx9721), .A1 (reg_28_q_c_6_), .B0 (nx6384) , .B1 (nx6664)) ; mux21 ix10215 (.Y (nx10214), .A0 (reg_10_q_c_7_), .A1 (nx6481), .S0 ( C_MUX2_14_SEL)) ; mux21 ix7779 (.Y (nx6481), .A0 (nx10217), .A1 (nx10317), .S0 (C_MUX2_21_SEL) ) ; mux21 ix10218 (.Y (nx10217), .A0 (PRI_OUT_7[7]), .A1 (nx14735), .S0 ( C_MUX2_17_SEL)) ; dff REG_6_reg_q_7_ (.Q (PRI_OUT_7[7]), .QB (\$dummy [236]), .D (nx7756), .CLK ( CLK)) ; xnor2 ix7757 (.Y (nx7756), .A0 (nx10223), .A1 (nx7754)) ; mux21 ix10224 (.Y (nx10223), .A0 (nx6632), .A1 (nx6396), .S0 (nx6634)) ; xnor2 ix7755 (.Y (nx7754), .A0 (nx7698), .A1 (nx10306)) ; mux21 ix7699 (.Y (nx7698), .A0 (nx10217), .A1 (nx10231), .S0 (C_MUX2_15_SEL) ) ; oai22 ix7529 (.Y (nx7528), .A0 (nx9743), .A1 (nx9747), .B0 (nx9811), .B1 ( nx9812)) ; xnor2 ix10240 (.Y (nx10239), .A0 (nx15468), .A1 (reg_19_q_c_7_)) ; dff REG_19_reg_q_7_ (.Q (reg_19_q_c_7_), .QB (\$dummy [237]), .D (nx7650), .CLK ( CLK)) ; xor2 ix7651 (.Y (nx7650), .A0 (nx10245), .A1 (nx10253)) ; aoi22 ix10246 (.Y (nx10245), .A0 (nx14775), .A1 (reg_31_q_c_6_), .B0 (nx6418 ), .B1 (nx6528)) ; mux21 ix10256 (.Y (nx10255), .A0 (nx7640), .A1 (nx7622), .S0 (C_MUX2_1_SEL) ) ; mux21 ix7641 (.Y (nx7640), .A0 (nx10259), .A1 (nx10261), .S0 (C_MUX2_6_SEL) ) ; dff REG_7_reg_q_7_ (.Q (\$dummy [238]), .QB (nx10259), .D (nx8110), .CLK ( CLK)) ; mux21 ix10262 (.Y (nx10261), .A0 (nx14735), .A1 (reg_5_q_c_7_), .S0 ( C_MUX2_18_SEL)) ; ao21 ix7623 (.Y (nx7622), .A0 (C_MUX2_9_SEL), .A1 (nx7614), .B0 (nx7620)) ; ao21 ix7615 (.Y (nx7614), .A0 (C_MUX2_22_SEL), .A1 (reg_14_q_c_7_), .B0 ( nx7550)) ; dff REG_14_reg_q_7_ (.Q (reg_14_q_c_7_), .QB (\$dummy [239]), .D (nx7604), .CLK ( CLK)) ; xnor2 ix7605 (.Y (nx7604), .A0 (nx10269), .A1 (nx7602)) ; aoi22 ix10270 (.Y (nx10269), .A0 (nx10271), .A1 (PRI_OUT_6[6]), .B0 (nx6440) , .B1 (nx6482)) ; inv02 ix10272 (.Y (nx10271), .A (PRI_IN_7[6])) ; xnor2 ix7603 (.Y (nx7602), .A0 (PRI_IN_7[7]), .A1 (PRI_OUT_6[7])) ; dff REG_23_reg_q_7_ (.Q (PRI_OUT_6[7]), .QB (\$dummy [240]), .D (nx7594), .CLK ( CLK)) ; xnor2 ix7595 (.Y (nx7594), .A0 (nx7570), .A1 (nx10279)) ; xnor2 ix10280 (.Y (nx10279), .A0 (reg_30_q_c_7_), .A1 (nx10231)) ; dff REG_30_reg_q_7_ (.Q (reg_30_q_c_7_), .QB (\$dummy [241]), .D (nx7584), .CLK ( CLK)) ; xor2 ix7585 (.Y (nx7584), .A0 (nx7580), .A1 (nx7582)) ; oai22 ix7581 (.Y (nx7580), .A0 (nx9797), .A1 (nx10285), .B0 (PRI_IN_0[6]), .B1 ( nx9812)) ; xnor2 ix7583 (.Y (nx7582), .A0 (PRI_IN_0[7]), .A1 (nx15468)) ; nor02 ix7551 (.Y (nx7550), .A0 (C_MUX2_22_SEL), .A1 (nx10293)) ; mux21 ix10294 (.Y (nx10293), .A0 (reg_19_q_c_7_), .A1 (nx14735), .S0 ( C_MUX2_20_SEL)) ; nor02 ix7621 (.Y (nx7620), .A0 (C_MUX2_9_SEL), .A1 (nx10009)) ; dff REG_16_reg_q_7_ (.Q (reg_16_q_c_7_), .QB (nx10305), .D (nx7684), .CLK ( CLK)) ; xor2 ix7685 (.Y (nx7684), .A0 (nx7680), .A1 (nx7682)) ; mux21 ix7681 (.Y (nx7680), .A0 (reg_20_q_c_6_), .A1 (nx9817), .S0 (nx6562) ) ; mux21 ix10307 (.Y (nx10306), .A0 (reg_36_q_c_7_), .A1 (reg_35_q_c_7_), .S0 ( C_MUX2_11_SEL)) ; dff REG_36_reg_q_7_ (.Q (reg_36_q_c_7_), .QB (\$dummy [242]), .D (nx7712), .CLK ( CLK)) ; xnor2 ix7713 (.Y (nx7712), .A0 (nx7708), .A1 (nx10313)) ; mux21 ix7709 (.Y (nx7708), .A0 (nx9828), .A1 (reg_16_q_c_6_), .S0 (nx9830) ) ; dff REG_5_reg_q_7_ (.Q (reg_5_q_c_7_), .QB (nx10317), .D (nx8140), .CLK (CLK )) ; mux21 ix10323 (.Y (nx10322), .A0 (reg_19_q_c_7_), .A1 (reg_11_q_c_7_), .S0 ( C_MUX2_7_SEL)) ; dff REG_47_reg_q_7_ (.Q (reg_47_q_c_7_), .QB (\$dummy [243]), .D (nx7880), .CLK ( CLK)) ; xor2 ix7881 (.Y (nx7880), .A0 (nx10329), .A1 (nx10331)) ; mux21 ix10330 (.Y (nx10329), .A0 (nx6728), .A1 (nx14775), .S0 (nx9849)) ; xnor2 ix10332 (.Y (nx10331), .A0 (nx10255), .A1 (nx7876)) ; ao21 ix7877 (.Y (nx7876), .A0 (C_MUX2_12_SEL), .A1 (reg_15_q_c_7_), .B0 ( nx7852)) ; dff REG_15_reg_q_7_ (.Q (reg_15_q_c_7_), .QB (\$dummy [244]), .D (nx7866), .CLK ( CLK)) ; xor2 ix7867 (.Y (nx7866), .A0 (nx7862), .A1 (nx7864)) ; mux21 ix7863 (.Y (nx7862), .A0 (nx6477), .A1 (nx9855), .S0 (nx6744)) ; xnor2 ix7865 (.Y (nx7864), .A0 (PRI_IN_1[7]), .A1 (nx6486)) ; nor02 ix7853 (.Y (nx7852), .A0 (C_MUX2_12_SEL), .A1 (nx10261)) ; ao21 ix7739 (.Y (nx6485), .A0 (C_MUX2_24_SEL), .A1 (reg_27_q_c_7_), .B0 ( nx7734)) ; nor02 ix7735 (.Y (nx7734), .A0 (C_MUX2_24_SEL), .A1 (nx10349)) ; nor02 ix7987 (.Y (nx7986), .A0 (C_MUX2_13_SEL), .A1 (nx10355)) ; mux21 ix10356 (.Y (nx10355), .A0 (reg_13_q_c_7_), .A1 (reg_11_q_c_7_), .S0 ( C_MUX2_5_SEL)) ; dff REG_43_reg_q_7_ (.Q (reg_43_q_c_7_), .QB (\$dummy [245]), .D (nx8060), .CLK ( CLK)) ; xor2 ix8061 (.Y (nx8060), .A0 (nx8056), .A1 (nx8058)) ; oai22 ix8057 (.Y (nx8056), .A0 (nx9889), .A1 (nx10364), .B0 (nx15467), .B1 ( nx9901)) ; xnor2 ix8059 (.Y (nx8058), .A0 (reg_34_q_c_7_), .A1 (nx7876)) ; mux21 ix10383 (.Y (nx10382), .A0 (reg_18_q_c_7_), .A1 (nx7698), .S0 ( C_MUX2_19_SEL)) ; dff REG_39_reg_q_7_ (.Q (reg_39_q_c_7_), .QB (\$dummy [246]), .D (nx8354), .CLK ( CLK)) ; mux21 ix8355 (.Y (nx8354), .A0 (nx10387), .A1 (nx10394), .S0 (C_MUX2_10_SEL) ) ; xnor2 ix10388 (.Y (nx10387), .A0 (nx8220), .A1 (nx8222)) ; oai22 ix8221 (.Y (nx8220), .A0 (nx9973), .A1 (nx10391), .B0 (nx14777), .B1 ( nx9867)) ; xnor2 ix8223 (.Y (nx8222), .A0 (reg_44_q_c_7_), .A1 (nx10255)) ; xnor2 ix10395 (.Y (nx10394), .A0 (nx8236), .A1 (nx8348)) ; mux21 ix8237 (.Y (nx8236), .A0 (nx9921), .A1 (nx9913), .S0 (nx7228)) ; xnor2 ix8349 (.Y (nx8348), .A0 (PRI_IN_4[7]), .A1 (nx10399)) ; mux21 ix10400 (.Y (nx10399), .A0 (PRI_OUT_5[7]), .A1 (reg_22_q_c_7_), .S0 ( C_MUX2_25_SEL)) ; dff REG_21_reg_q_7_ (.Q (PRI_OUT_5[7]), .QB (\$dummy [247]), .D (nx8332), .CLK ( CLK)) ; xnor2 ix8333 (.Y (nx8332), .A0 (nx10404), .A1 (nx8330)) ; aoi22 ix10405 (.Y (nx10404), .A0 (nx6690), .A1 (reg_48_q_c_6_), .B0 (nx7124) , .B1 (nx7210)) ; xnor2 ix8331 (.Y (nx8330), .A0 (reg_48_q_c_7_), .A1 (nx10199)) ; dff REG_48_reg_q_7_ (.Q (reg_48_q_c_7_), .QB (\$dummy [248]), .D (nx8322), .CLK ( CLK)) ; ao21 ix8323 (.Y (nx8322), .A0 (C_MUX2_23_SEL), .A1 (nx8318), .B0 (nx8260)) ; xnor2 ix8319 (.Y (nx8318), .A0 (nx8268), .A1 (nx10413)) ; ao21 ix8269 (.Y (nx8268), .A0 (nx7194), .A1 (reg_36_q_c_6_), .B0 (nx8266)) ; xnor2 ix10414 (.Y (nx10413), .A0 (reg_36_q_c_7_), .A1 (nx8314)) ; ao21 ix8315 (.Y (nx8314), .A0 (C_MUX2_4_SEL), .A1 (PRI_OUT_4[7]), .B0 ( nx8272)) ; dff REG_9_reg_q_7_ (.Q (PRI_OUT_4[7]), .QB (\$dummy [249]), .D (nx8304), .CLK ( CLK)) ; xnor2 ix8305 (.Y (nx8304), .A0 (nx8280), .A1 (nx10422)) ; oai22 ix8281 (.Y (nx8280), .A0 (nx9953), .A1 (nx9956), .B0 (nx9963), .B1 ( nx9838)) ; xnor2 ix10423 (.Y (nx10422), .A0 (reg_22_q_c_7_), .A1 (reg_46_q_c_7_)) ; dff REG_46_reg_q_7_ (.Q (reg_46_q_c_7_), .QB (\$dummy [250]), .D (nx8294), .CLK ( CLK)) ; xor2 ix8295 (.Y (nx8294), .A0 (nx8290), .A1 (nx8292)) ; mux21 ix8291 (.Y (nx8290), .A0 (reg_33_q_c_6_), .A1 (nx9960), .S0 (nx7172) ) ; nor02 ix8273 (.Y (nx8272), .A0 (C_MUX2_4_SEL), .A1 (nx10231)) ; nor02 ix8261 (.Y (nx8260), .A0 (C_MUX2_23_SEL), .A1 (nx10433)) ; xor2 ix10434 (.Y (nx10433), .A0 (nx8252), .A1 (nx10436)) ; oai22 ix8253 (.Y (nx8252), .A0 (nx9933), .A1 (nx9937), .B0 (nx9896), .B1 ( nx9690)) ; dff REG_26_reg_q_8_ (.Q (PRI_OUT_9[8]), .QB (\$dummy [251]), .D (nx9484), .CLK ( CLK)) ; xnor2 ix9485 (.Y (nx9484), .A0 (nx10449), .A1 (nx9482)) ; aoi22 ix10450 (.Y (nx10449), .A0 (nx10384), .A1 (reg_39_q_c_7_), .B0 (nx7260 ), .B1 (nx8362)) ; xnor2 ix9483 (.Y (nx9482), .A0 (reg_33_q_c_8_), .A1 (reg_39_q_c_8_)) ; dff REG_33_reg_q_8_ (.Q (reg_33_q_c_8_), .QB (\$dummy [252]), .D (nx9326), .CLK ( CLK)) ; xnor2 ix9327 (.Y (nx9326), .A0 (nx10454), .A1 (nx9324)) ; aoi22 ix10456 (.Y (nx10454), .A0 (nx8202), .A1 (reg_17_q_c_7_), .B0 (nx7268) , .B1 (nx8204)) ; dff REG_17_reg_q_8_ (.Q (reg_17_q_c_8_), .QB (nx10919), .D (nx9308), .CLK ( CLK)) ; xnor2 ix9309 (.Y (nx9308), .A0 (nx10467), .A1 (nx9306)) ; aoi22 ix10468 (.Y (nx10467), .A0 (nx10349), .A1 (reg_29_q_c_7_), .B0 (nx7278 ), .B1 (nx8186)) ; dff REG_29_reg_q_8_ (.Q (reg_29_q_c_8_), .QB (\$dummy [253]), .D (nx9298), .CLK ( CLK)) ; xnor2 ix9299 (.Y (nx9298), .A0 (nx8408), .A1 (nx10477)) ; mux21 ix8409 (.Y (nx8408), .A0 (nx10002), .A1 (nx7614), .S0 (nx10007)) ; xnor2 ix10478 (.Y (nx10477), .A0 (nx10479), .A1 (nx8734)) ; mux21 ix10480 (.Y (nx10479), .A0 (reg_20_q_c_8_), .A1 (reg_17_q_c_8_), .S0 ( C_MUX2_16_SEL)) ; dff REG_20_reg_q_8_ (.Q (reg_20_q_c_8_), .QB (\$dummy [254]), .D (nx9280), .CLK ( CLK)) ; xnor2 ix9281 (.Y (nx9280), .A0 (nx10485), .A1 (nx9278)) ; aoi22 ix10486 (.Y (nx10485), .A0 (nx10349), .A1 (reg_28_q_c_7_), .B0 (nx7298 ), .B1 (nx8158)) ; dff REG_28_reg_q_8_ (.Q (reg_28_q_c_8_), .QB (\$dummy [255]), .D (nx9270), .CLK ( CLK)) ; xnor2 ix9271 (.Y (nx9270), .A0 (nx10493), .A1 (nx9268)) ; aoi22 ix10494 (.Y (nx10493), .A0 (nx10495), .A1 (reg_5_q_c_7_), .B0 (nx7308) , .B1 (nx8148)) ; inv02 ix10496 (.Y (nx10495), .A (PRI_IN_2[7])) ; xnor2 ix9261 (.Y (nx9260), .A0 (nx10501), .A1 (nx9258)) ; aoi22 ix10502 (.Y (nx10501), .A0 (nx10503), .A1 (reg_34_q_c_7_), .B0 (nx7318 ), .B1 (nx8138)) ; inv02 ix10504 (.Y (nx10503), .A (PRI_IN_3[7])) ; dff REG_34_reg_q_8_ (.Q (\$dummy [256]), .QB (nx10913), .D (nx9250), .CLK ( CLK)) ; xor2 ix9251 (.Y (nx9250), .A0 (nx10511), .A1 (nx10515)) ; aoi22 ix10512 (.Y (nx10511), .A0 (reg_19_q_c_7_), .A1 (reg_18_q_c_7_), .B0 ( nx7326), .B1 (nx8128)) ; dff REG_18_reg_q_8_ (.Q (reg_18_q_c_8_), .QB (nx10912), .D (nx9240), .CLK ( CLK)) ; xnor2 ix9241 (.Y (nx9240), .A0 (nx10521), .A1 (nx9238)) ; aoi22 ix10522 (.Y (nx10521), .A0 (nx10259), .A1 (PRI_IN_9[7]), .B0 (nx7336) , .B1 (nx8118)) ; xor2 ix9231 (.Y (nx9230), .A0 (nx10527), .A1 (nx10531)) ; aoi22 ix10528 (.Y (nx10527), .A0 (reg_42_q_c_7_), .A1 (reg_17_q_c_7_), .B0 ( nx7344), .B1 (nx8108)) ; dff REG_42_reg_q_8_ (.Q (\$dummy [257]), .QB (nx10911), .D (nx9220), .CLK ( CLK)) ; xnor2 ix9221 (.Y (nx9220), .A0 (nx8472), .A1 (nx10540)) ; oai22 ix8473 (.Y (nx8472), .A0 (nx10055), .A1 (nx10061), .B0 (nx10538), .B1 ( nx10372)) ; dff REG_40_reg_q_8_ (.Q (reg_40_q_c_8_), .QB (nx10909), .D (nx9210), .CLK ( CLK)) ; xnor2 ix9211 (.Y (nx9210), .A0 (nx10545), .A1 (nx9208)) ; aoi22 ix10546 (.Y (nx10545), .A0 (nx10317), .A1 (PRI_OUT_3[7]), .B0 (nx7362) , .B1 (nx8088)) ; dff REG_4_reg_q_8_ (.Q (PRI_OUT_3[8]), .QB (\$dummy [258]), .D (nx9200), .CLK ( CLK)) ; xnor2 ix9201 (.Y (nx9200), .A0 (nx10550), .A1 (nx9198)) ; aoi22 ix10551 (.Y (nx10550), .A0 (nx10371), .A1 (reg_32_q_c_7_), .B0 (nx7372 ), .B1 (nx8078)) ; xnor2 ix9199 (.Y (nx9198), .A0 (PRI_OUT_3[8]), .A1 (reg_32_q_c_8_)) ; dff REG_32_reg_q_8_ (.Q (reg_32_q_c_8_), .QB (\$dummy [259]), .D (nx9190), .CLK ( CLK)) ; xor2 ix9191 (.Y (nx9190), .A0 (nx10557), .A1 (nx10561)) ; aoi22 ix10558 (.Y (nx10557), .A0 (reg_43_q_c_7_), .A1 (PRI_OUT_8[7]), .B0 ( nx7380), .B1 (nx8068)) ; xnor2 ix10562 (.Y (nx10561), .A0 (PRI_OUT_8[8]), .A1 (reg_43_q_c_8_)) ; dff REG_24_reg_q_8_ (.Q (PRI_OUT_8[8]), .QB (\$dummy [260]), .D (nx9160), .CLK ( CLK)) ; xor2 ix9161 (.Y (nx9160), .A0 (nx10566), .A1 (nx10569)) ; aoi22 ix10567 (.Y (nx10566), .A0 (reg_41_q_c_7_), .A1 (reg_34_q_c_7_), .B0 ( nx7388), .B1 (nx8038)) ; dff REG_41_reg_q_8_ (.Q (\$dummy [261]), .QB (nx10895), .D (nx9150), .CLK ( CLK)) ; xor2 ix9151 (.Y (nx9150), .A0 (nx10575), .A1 (nx10581)) ; aoi22 ix10576 (.Y (nx10575), .A0 (nx6486), .A1 (PRI_IN_8[7]), .B0 (nx7396), .B1 (nx8028)) ; xnor2 ix10582 (.Y (nx10581), .A0 (PRI_IN_8[8]), .A1 (nx14741)) ; ao21 ix9147 (.Y (nx6495), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_8_), .B0 ( nx9106)) ; dff REG_8_reg_q_8_ (.Q (reg_8_q_c_8_), .QB (\$dummy [262]), .D (nx9136), .CLK ( CLK)) ; xor2 ix9137 (.Y (nx9136), .A0 (nx10589), .A1 (nx10593)) ; aoi22 ix10590 (.Y (nx10589), .A0 (reg_37_q_c_7_), .A1 (PRI_OUT_1[7]), .B0 ( nx7994), .B1 (nx8014)) ; dff REG_2_reg_q_8_ (.Q (PRI_OUT_1[8]), .QB (\$dummy [263]), .D (nx9126), .CLK ( CLK)) ; xor2 ix9127 (.Y (nx9126), .A0 (nx10598), .A1 (nx10601)) ; aoi22 ix10599 (.Y (nx10598), .A0 (reg_45_q_c_7_), .A1 (reg_35_q_c_7_), .B0 ( nx8002), .B1 (nx8004)) ; dff REG_35_reg_q_8_ (.Q (reg_35_q_c_8_), .QB (nx10889), .D (nx8862), .CLK ( CLK)) ; xnor2 ix8863 (.Y (nx8862), .A0 (nx8850), .A1 (nx10608)) ; oai22 ix8851 (.Y (nx8850), .A0 (nx10115), .A1 (nx10119), .B0 (nx10349), .B1 ( nx10346)) ; dff REG_37_reg_q_8_ (.Q (reg_37_q_c_8_), .QB (nx10883), .D (nx9050), .CLK ( CLK)) ; xor2 ix9051 (.Y (nx9050), .A0 (nx10612), .A1 (nx10617)) ; dff REG_44_reg_q_8_ (.Q (reg_44_q_c_8_), .QB (nx10882), .D (nx9040), .CLK ( CLK)) ; xnor2 ix9041 (.Y (nx9040), .A0 (nx10623), .A1 (nx9038)) ; aoi22 ix10624 (.Y (nx10623), .A0 (nx10325), .A1 (reg_10_q_c_7_), .B0 (nx7440 ), .B1 (nx7918)) ; dff REG_10_reg_q_8_ (.Q (reg_10_q_c_8_), .QB (\$dummy [264]), .D (nx9030), .CLK ( CLK)) ; xor2 ix9031 (.Y (nx9030), .A0 (nx10631), .A1 (nx10635)) ; aoi22 ix10632 (.Y (nx10631), .A0 (reg_11_q_c_7_), .A1 (reg_5_q_c_7_), .B0 ( nx7448), .B1 (nx7908)) ; dff REG_11_reg_q_8_ (.Q (reg_11_q_c_8_), .QB (nx10879), .D (nx9020), .CLK ( CLK)) ; xnor2 ix9021 (.Y (nx9020), .A0 (nx8576), .A1 (nx10647)) ; oai22 ix8577 (.Y (nx8576), .A0 (nx10143), .A1 (nx10149), .B0 (nx10643), .B1 ( nx10342)) ; dff REG_45_reg_q_8_ (.Q (reg_45_q_c_8_), .QB (nx10878), .D (nx9010), .CLK ( CLK)) ; xor2 ix9011 (.Y (nx9010), .A0 (nx10652), .A1 (nx10657)) ; aoi22 ix10654 (.Y (nx10652), .A0 (reg_47_q_c_7_), .A1 (reg_27_q_c_7_), .B0 ( nx7464), .B1 (nx7888)) ; dff REG_27_reg_q_8_ (.Q (reg_27_q_c_8_), .QB (nx10858), .D (nx8952), .CLK ( CLK)) ; xnor2 ix8953 (.Y (nx8952), .A0 (nx10663), .A1 (nx8950)) ; aoi22 ix10664 (.Y (nx10663), .A0 (nx10188), .A1 (reg_31_q_c_7_), .B0 (nx7474 ), .B1 (nx7830)) ; dff REG_13_reg_q_8_ (.Q (reg_13_q_c_8_), .QB (nx10699), .D (nx9088), .CLK ( CLK)) ; xnor2 ix9089 (.Y (nx9088), .A0 (nx10671), .A1 (nx9086)) ; aoi22 ix10672 (.Y (nx10671), .A0 (nx10351), .A1 (PRI_OUT_0[7]), .B0 (nx7406) , .B1 (nx7966)) ; dff REG_1_reg_q_8_ (.Q (PRI_OUT_0[8]), .QB (\$dummy [265]), .D (nx9078), .CLK ( CLK)) ; xnor2 ix9079 (.Y (nx9078), .A0 (nx10679), .A1 (nx9076)) ; aoi22 ix10680 (.Y (nx10679), .A0 (nx7954), .A1 (reg_41_q_c_7_), .B0 (nx7414) , .B1 (nx7956)) ; mux21 ix10688 (.Y (nx10687), .A0 (reg_25_q_c_8_), .A1 (PRI_OUT_8[8]), .S0 ( C_MUX2_8_SEL)) ; dff REG_25_reg_q_8_ (.Q (reg_25_q_c_8_), .QB (\$dummy [266]), .D (nx9060), .CLK ( CLK)) ; xnor2 ix9061 (.Y (nx9060), .A0 (nx8542), .A1 (nx10695)) ; oai22 ix8543 (.Y (nx8542), .A0 (nx10181), .A1 (nx10184), .B0 (nx10578), .B1 ( nx10346)) ; dff REG_31_reg_q_8_ (.Q (reg_31_q_c_8_), .QB (nx10857), .D (nx8942), .CLK ( CLK)) ; xnor2 ix8943 (.Y (nx8942), .A0 (nx10703), .A1 (nx8940)) ; mux21 ix10704 (.Y (nx10703), .A0 (nx10199), .A1 (nx7484), .S0 (nx7820)) ; xnor2 ix8941 (.Y (nx8940), .A0 (nx10707), .A1 (nx10855)) ; mux21 ix10708 (.Y (nx10707), .A0 (reg_38_q_c_8_), .A1 (reg_37_q_c_8_), .S0 ( C_MUX2_3_SEL)) ; dff REG_38_reg_q_8_ (.Q (reg_38_q_c_8_), .QB (\$dummy [267]), .D (nx8916), .CLK ( CLK)) ; xor2 ix8917 (.Y (nx8916), .A0 (nx8614), .A1 (nx8914)) ; mux21 ix8615 (.Y (nx8614), .A0 (reg_22_q_c_7_), .A1 (nx10203), .S0 (nx7794) ) ; dff REG_22_reg_q_8_ (.Q (reg_22_q_c_8_), .QB (nx10851), .D (nx8906), .CLK ( CLK)) ; xnor2 ix8907 (.Y (nx8906), .A0 (nx8624), .A1 (nx10727)) ; oai22 ix8625 (.Y (nx8624), .A0 (nx10209), .A1 (nx10212), .B0 (nx6483), .B1 ( nx10376)) ; xnor2 ix10728 (.Y (nx10727), .A0 (reg_28_q_c_8_), .A1 (nx10729)) ; mux21 ix10730 (.Y (nx10729), .A0 (reg_10_q_c_8_), .A1 (nx6490), .S0 ( C_MUX2_14_SEL)) ; mux21 ix8899 (.Y (nx6490), .A0 (nx10733), .A1 (nx10849), .S0 (C_MUX2_21_SEL) ) ; mux21 ix10734 (.Y (nx10733), .A0 (PRI_OUT_7[8]), .A1 (reg_17_q_c_8_), .S0 ( C_MUX2_17_SEL)) ; dff REG_6_reg_q_8_ (.Q (PRI_OUT_7[8]), .QB (\$dummy [268]), .D (nx8876), .CLK ( CLK)) ; xor2 ix8877 (.Y (nx8876), .A0 (nx8636), .A1 (nx8874)) ; mux21 ix8637 (.Y (nx8636), .A0 (nx10306), .A1 (nx10223), .S0 (nx7754)) ; xnor2 ix8875 (.Y (nx8874), .A0 (nx8818), .A1 (nx10836)) ; mux21 ix8819 (.Y (nx8818), .A0 (nx10733), .A1 (nx10745), .S0 (C_MUX2_15_SEL) ) ; dff REG_12_reg_q_8_ (.Q (reg_12_q_c_8_), .QB (nx10826), .D (nx8780), .CLK ( CLK)) ; xor2 ix8781 (.Y (nx8780), .A0 (nx10751), .A1 (nx10755)) ; aoi22 ix10752 (.Y (nx10751), .A0 (reg_19_q_c_7_), .A1 (nx15468), .B0 (nx7528 ), .B1 (nx7658)) ; dff REG_19_reg_q_8_ (.Q (reg_19_q_c_8_), .QB (nx10825), .D (nx8770), .CLK ( CLK)) ; xnor2 ix8771 (.Y (nx8770), .A0 (nx8658), .A1 (nx10769)) ; oai22 ix8659 (.Y (nx8658), .A0 (nx10245), .A1 (nx10253), .B0 (nx7646), .B1 ( nx10324)) ; mux21 ix8761 (.Y (nx8760), .A0 (nx10775), .A1 (nx10777), .S0 (C_MUX2_6_SEL) ) ; dff REG_7_reg_q_8_ (.Q (reg_7_q_c_8_), .QB (nx10775), .D (nx9230), .CLK (CLK )) ; mux21 ix10778 (.Y (nx10777), .A0 (reg_17_q_c_8_), .A1 (reg_5_q_c_8_), .S0 ( C_MUX2_18_SEL)) ; ao21 ix8743 (.Y (nx8742), .A0 (C_MUX2_9_SEL), .A1 (nx8734), .B0 (nx8740)) ; ao21 ix8735 (.Y (nx8734), .A0 (C_MUX2_22_SEL), .A1 (reg_14_q_c_8_), .B0 ( nx8670)) ; dff REG_14_reg_q_8_ (.Q (reg_14_q_c_8_), .QB (\$dummy [269]), .D (nx8724), .CLK ( CLK)) ; xor2 ix8725 (.Y (nx8724), .A0 (nx8680), .A1 (nx8722)) ; mux21 ix8681 (.Y (nx8680), .A0 (PRI_IN_7[7]), .A1 (nx10269), .S0 (nx7602)) ; xnor2 ix8723 (.Y (nx8722), .A0 (PRI_IN_7[8]), .A1 (PRI_OUT_6[8])) ; dff REG_23_reg_q_8_ (.Q (PRI_OUT_6[8]), .QB (\$dummy [270]), .D (nx8714), .CLK ( CLK)) ; xor2 ix8715 (.Y (nx8714), .A0 (nx15724), .A1 (nx10803)) ; dff REG_30_reg_q_8_ (.Q (reg_30_q_c_8_), .QB (\$dummy [271]), .D (nx8704), .CLK ( CLK)) ; xnor2 ix8705 (.Y (nx8704), .A0 (nx10809), .A1 (nx8702)) ; aoi22 ix10810 (.Y (nx10809), .A0 (nx10811), .A1 (nx15468), .B0 (nx7580), .B1 ( nx7582)) ; inv02 ix10812 (.Y (nx10811), .A (PRI_IN_0[7])) ; nor02 ix8671 (.Y (nx8670), .A0 (C_MUX2_22_SEL), .A1 (nx10821)) ; mux21 ix10822 (.Y (nx10821), .A0 (reg_19_q_c_8_), .A1 (reg_17_q_c_8_), .S0 ( C_MUX2_20_SEL)) ; nor02 ix8741 (.Y (nx8740), .A0 (C_MUX2_9_SEL), .A1 (nx10479)) ; dff REG_16_reg_q_8_ (.Q (reg_16_q_c_8_), .QB (\$dummy [272]), .D (nx8804), .CLK ( CLK)) ; xnor2 ix8805 (.Y (nx8804), .A0 (nx10831), .A1 (nx8802)) ; mux21 ix10832 (.Y (nx10831), .A0 (nx10377), .A1 (nx7680), .S0 (nx7682)) ; xnor2 ix8803 (.Y (nx8802), .A0 (reg_20_q_c_8_), .A1 (nx6490)) ; mux21 ix10838 (.Y (nx10836), .A0 (reg_36_q_c_8_), .A1 (reg_35_q_c_8_), .S0 ( C_MUX2_11_SEL)) ; dff REG_36_reg_q_8_ (.Q (reg_36_q_c_8_), .QB (\$dummy [273]), .D (nx8832), .CLK ( CLK)) ; xor2 ix8833 (.Y (nx8832), .A0 (nx10843), .A1 (nx10845)) ; mux21 ix10844 (.Y (nx10843), .A0 (nx7708), .A1 (nx10305), .S0 (nx10313)) ; xnor2 ix10846 (.Y (nx10845), .A0 (reg_16_q_c_8_), .A1 (nx10729)) ; dff REG_5_reg_q_8_ (.Q (reg_5_q_c_8_), .QB (nx10849), .D (nx9260), .CLK (CLK )) ; mux21 ix10856 (.Y (nx10855), .A0 (reg_19_q_c_8_), .A1 (reg_11_q_c_8_), .S0 ( C_MUX2_7_SEL)) ; dff REG_47_reg_q_8_ (.Q (\$dummy [274]), .QB (nx10877), .D (nx9000), .CLK ( CLK)) ; xnor2 ix9001 (.Y (nx9000), .A0 (nx8968), .A1 (nx10865)) ; mux21 ix8969 (.Y (nx8968), .A0 (nx10329), .A1 (nx7646), .S0 (nx10331)) ; xnor2 ix10866 (.Y (nx10865), .A0 (nx14779), .A1 (nx8996)) ; ao21 ix8997 (.Y (nx8996), .A0 (C_MUX2_12_SEL), .A1 (reg_15_q_c_8_), .B0 ( nx8972)) ; dff REG_15_reg_q_8_ (.Q (reg_15_q_c_8_), .QB (\$dummy [275]), .D (nx8986), .CLK ( CLK)) ; xnor2 ix8987 (.Y (nx8986), .A0 (nx10871), .A1 (nx8984)) ; aoi22 ix10872 (.Y (nx10871), .A0 (nx10578), .A1 (PRI_IN_1[7]), .B0 (nx7862) , .B1 (nx7864)) ; xnor2 ix8985 (.Y (nx8984), .A0 (PRI_IN_1[8]), .A1 (nx14741)) ; nor02 ix8973 (.Y (nx8972), .A0 (C_MUX2_12_SEL), .A1 (nx10777)) ; nor02 ix8855 (.Y (nx8854), .A0 (C_MUX2_24_SEL), .A1 (nx14825)) ; nor02 ix9107 (.Y (nx9106), .A0 (C_MUX2_13_SEL), .A1 (nx10893)) ; mux21 ix10894 (.Y (nx10893), .A0 (reg_13_q_c_8_), .A1 (reg_11_q_c_8_), .S0 ( C_MUX2_5_SEL)) ; dff REG_43_reg_q_8_ (.Q (reg_43_q_c_8_), .QB (\$dummy [276]), .D (nx9180), .CLK ( CLK)) ; xnor2 ix9181 (.Y (nx9180), .A0 (nx10901), .A1 (nx9178)) ; aoi22 ix10902 (.Y (nx10901), .A0 (nx10538), .A1 (reg_34_q_c_7_), .B0 (nx8056 ), .B1 (nx8058)) ; mux21 ix10922 (.Y (nx10921), .A0 (reg_18_q_c_8_), .A1 (nx8818), .S0 ( C_MUX2_19_SEL)) ; dff REG_39_reg_q_8_ (.Q (reg_39_q_c_8_), .QB (\$dummy [277]), .D (nx9474), .CLK ( CLK)) ; ao21 ix9475 (.Y (nx9474), .A0 (C_MUX2_10_SEL), .A1 (nx9470), .B0 (nx9348)) ; xnor2 ix9471 (.Y (nx9470), .A0 (nx10929), .A1 (nx9468)) ; aoi22 ix10930 (.Y (nx10929), .A0 (nx8346), .A1 (PRI_IN_4[7]), .B0 (nx8236), .B1 (nx8348)) ; xnor2 ix9469 (.Y (nx9468), .A0 (PRI_IN_4[8]), .A1 (nx10937)) ; mux21 ix10938 (.Y (nx10937), .A0 (PRI_OUT_5[8]), .A1 (reg_22_q_c_8_), .S0 ( C_MUX2_25_SEL)) ; dff REG_21_reg_q_8_ (.Q (PRI_OUT_5[8]), .QB (\$dummy [278]), .D (nx9452), .CLK ( CLK)) ; xor2 ix9453 (.Y (nx9452), .A0 (nx9364), .A1 (nx9450)) ; mux21 ix9365 (.Y (nx9364), .A0 (nx10199), .A1 (nx10404), .S0 (nx8330)) ; xnor2 ix9451 (.Y (nx9450), .A0 (reg_48_q_c_8_), .A1 (nx10707)) ; dff REG_48_reg_q_8_ (.Q (reg_48_q_c_8_), .QB (\$dummy [279]), .D (nx9442), .CLK ( CLK)) ; mux21 ix9443 (.Y (nx9442), .A0 (nx10949), .A1 (nx10957), .S0 (C_MUX2_23_SEL) ) ; xnor2 ix10950 (.Y (nx10949), .A0 (nx10951), .A1 (nx10955)) ; aoi22 ix10952 (.Y (nx10951), .A0 (reg_40_q_c_7_), .A1 (reg_13_q_c_7_), .B0 ( nx8252), .B1 (nx8254)) ; xnor2 ix10958 (.Y (nx10957), .A0 (nx10959), .A1 (nx10963)) ; aoi22 ix10960 (.Y (nx10959), .A0 (nx8314), .A1 (reg_36_q_c_7_), .B0 (nx8268) , .B1 (nx8316)) ; xnor2 ix10964 (.Y (nx10963), .A0 (reg_36_q_c_8_), .A1 (nx9434)) ; ao21 ix9435 (.Y (nx9434), .A0 (C_MUX2_4_SEL), .A1 (PRI_OUT_4[8]), .B0 ( nx9392)) ; dff REG_9_reg_q_8_ (.Q (PRI_OUT_4[8]), .QB (\$dummy [280]), .D (nx9424), .CLK ( CLK)) ; xor2 ix9425 (.Y (nx9424), .A0 (nx10969), .A1 (nx10973)) ; aoi22 ix10970 (.Y (nx10969), .A0 (reg_46_q_c_7_), .A1 (reg_22_q_c_7_), .B0 ( nx8280), .B1 (nx8302)) ; dff REG_46_reg_q_8_ (.Q (\$dummy [281]), .QB (nx10983), .D (nx9414), .CLK ( CLK)) ; xnor2 ix9415 (.Y (nx9414), .A0 (nx10979), .A1 (nx9412)) ; aoi22 ix10980 (.Y (nx10979), .A0 (nx10384), .A1 (PRI_IN_5[7]), .B0 (nx8290) , .B1 (nx8292)) ; xnor2 ix9413 (.Y (nx9412), .A0 (PRI_IN_5[8]), .A1 (reg_33_q_c_8_)) ; nor02 ix9393 (.Y (nx9392), .A0 (C_MUX2_4_SEL), .A1 (nx10745)) ; nor02 ix9349 (.Y (nx9348), .A0 (C_MUX2_10_SEL), .A1 (nx10991)) ; xor2 ix10992 (.Y (nx10991), .A0 (nx10993), .A1 (nx9342)) ; aoi22 ix10994 (.Y (nx10993), .A0 (nx7646), .A1 (reg_44_q_c_7_), .B0 (nx8220) , .B1 (nx8222)) ; dff REG_26_reg_q_9_ (.Q (PRI_OUT_9[9]), .QB (\$dummy [282]), .D (nx10604), .CLK ( CLK)) ; xor2 ix10605 (.Y (nx10604), .A0 (nx9500), .A1 (nx10602)) ; mux21 ix9501 (.Y (nx9500), .A0 (reg_33_q_c_8_), .A1 (nx10449), .S0 (nx9482) ) ; dff REG_33_reg_q_9_ (.Q (\$dummy [283]), .QB (nx11413), .D (nx10446), .CLK ( CLK)) ; xor2 ix10447 (.Y (nx10446), .A0 (nx9508), .A1 (nx10444)) ; oai22 ix9509 (.Y (nx9508), .A0 (nx10454), .A1 (nx11011), .B0 (nx10921), .B1 ( nx10919)) ; xnor2 ix10445 (.Y (nx10444), .A0 (reg_17_q_c_9_), .A1 (nx11411)) ; dff REG_17_reg_q_9_ (.Q (reg_17_q_c_9_), .QB (\$dummy [284]), .D (nx10428), .CLK (CLK)) ; xor2 ix10429 (.Y (nx10428), .A0 (nx9518), .A1 (nx10426)) ; mux21 ix9519 (.Y (nx9518), .A0 (nx14737), .A1 (nx10467), .S0 (nx9306)) ; xnor2 ix10427 (.Y (nx10426), .A0 (reg_29_q_c_9_), .A1 (nx6507)) ; dff REG_29_reg_q_9_ (.Q (reg_29_q_c_9_), .QB (\$dummy [285]), .D (nx10418), .CLK (CLK)) ; xor2 ix10419 (.Y (nx10418), .A0 (nx11021), .A1 (nx11026)) ; mux21 ix11022 (.Y (nx11021), .A0 (nx8408), .A1 (nx11023), .S0 (nx10477)) ; xnor2 ix11027 (.Y (nx11026), .A0 (nx11028), .A1 (nx9854)) ; mux21 ix11029 (.Y (nx11028), .A0 (reg_20_q_c_9_), .A1 (nx14743), .S0 ( C_MUX2_16_SEL)) ; dff REG_20_reg_q_9_ (.Q (reg_20_q_c_9_), .QB (nx11408), .D (nx10400), .CLK ( CLK)) ; xor2 ix10401 (.Y (nx10400), .A0 (nx9538), .A1 (nx10398)) ; mux21 ix9539 (.Y (nx9538), .A0 (nx14737), .A1 (nx10485), .S0 (nx9278)) ; dff REG_28_reg_q_9_ (.Q (reg_28_q_c_9_), .QB (nx11407), .D (nx10390), .CLK ( CLK)) ; xor2 ix10391 (.Y (nx10390), .A0 (nx9548), .A1 (nx10388)) ; oai22 ix9549 (.Y (nx9548), .A0 (nx10493), .A1 (nx11043), .B0 (PRI_IN_2[8]), .B1 (nx10849)) ; xor2 ix10381 (.Y (nx10380), .A0 (nx9558), .A1 (nx10378)) ; oai22 ix9559 (.Y (nx9558), .A0 (nx10501), .A1 (nx11050), .B0 (PRI_IN_3[8]), .B1 (nx10913)) ; xnor2 ix10379 (.Y (nx10378), .A0 (PRI_IN_3[9]), .A1 (reg_34_q_c_9_)) ; dff REG_34_reg_q_9_ (.Q (reg_34_q_c_9_), .QB (\$dummy [286]), .D (nx10370), .CLK (CLK)) ; xnor2 ix10371 (.Y (nx10370), .A0 (nx9566), .A1 (nx11059)) ; oai22 ix9567 (.Y (nx9566), .A0 (nx10511), .A1 (nx10515), .B0 (nx10825), .B1 ( nx10912)) ; xnor2 ix11060 (.Y (nx11059), .A0 (reg_18_q_c_9_), .A1 (reg_19_q_c_9_)) ; dff REG_18_reg_q_9_ (.Q (reg_18_q_c_9_), .QB (\$dummy [287]), .D (nx10360), .CLK (CLK)) ; xor2 ix10361 (.Y (nx10360), .A0 (nx9576), .A1 (nx10358)) ; mux21 ix9577 (.Y (nx9576), .A0 (reg_7_q_c_8_), .A1 (nx10521), .S0 (nx9238) ) ; xnor2 ix10351 (.Y (nx10350), .A0 (nx9584), .A1 (nx11075)) ; oai22 ix9585 (.Y (nx9584), .A0 (nx10527), .A1 (nx10531), .B0 (nx10911), .B1 ( nx10919)) ; xnor2 ix11076 (.Y (nx11075), .A0 (nx14743), .A1 (reg_42_q_c_9_)) ; dff REG_42_reg_q_9_ (.Q (reg_42_q_c_9_), .QB (\$dummy [288]), .D (nx10340), .CLK (CLK)) ; xor2 ix10341 (.Y (nx10340), .A0 (nx11079), .A1 (nx11085)) ; aoi22 ix11080 (.Y (nx11079), .A0 (nx8996), .A1 (reg_40_q_c_8_), .B0 (nx8472) , .B1 (nx9218)) ; dff REG_40_reg_q_9_ (.Q (reg_40_q_c_9_), .QB (nx11403), .D (nx10330), .CLK ( CLK)) ; xor2 ix10331 (.Y (nx10330), .A0 (nx9602), .A1 (nx10328)) ; mux21 ix9603 (.Y (nx9602), .A0 (reg_5_q_c_8_), .A1 (nx10545), .S0 (nx9208) ) ; dff REG_4_reg_q_9_ (.Q (PRI_OUT_3[9]), .QB (nx11402), .D (nx10320), .CLK ( CLK)) ; xor2 ix10321 (.Y (nx10320), .A0 (nx9612), .A1 (nx10318)) ; mux21 ix9613 (.Y (nx9612), .A0 (PRI_OUT_3[8]), .A1 (nx10550), .S0 (nx9198) ) ; dff REG_32_reg_q_9_ (.Q (reg_32_q_c_9_), .QB (\$dummy [289]), .D (nx10310), .CLK (CLK)) ; xnor2 ix10311 (.Y (nx10310), .A0 (nx9620), .A1 (nx11107)) ; ao21 ix9621 (.Y (nx9620), .A0 (reg_43_q_c_8_), .A1 (PRI_OUT_8[8]), .B0 ( nx9618)) ; nor02 ix9619 (.Y (nx9618), .A0 (nx10557), .A1 (nx10561)) ; xnor2 ix11108 (.Y (nx11107), .A0 (PRI_OUT_8[9]), .A1 (reg_43_q_c_9_)) ; dff REG_24_reg_q_9_ (.Q (PRI_OUT_8[9]), .QB (\$dummy [290]), .D (nx10280), .CLK ( CLK)) ; xnor2 ix10281 (.Y (nx10280), .A0 (nx9628), .A1 (nx11113)) ; oai22 ix9629 (.Y (nx9628), .A0 (nx10566), .A1 (nx10569), .B0 (nx10895), .B1 ( nx10913)) ; xnor2 ix11114 (.Y (nx11113), .A0 (reg_34_q_c_9_), .A1 (reg_41_q_c_9_)) ; dff REG_41_reg_q_9_ (.Q (reg_41_q_c_9_), .QB (\$dummy [291]), .D (nx10270), .CLK (CLK)) ; xnor2 ix10271 (.Y (nx10270), .A0 (nx9636), .A1 (nx11123)) ; mux21 ix9637 (.Y (nx9636), .A0 (nx10575), .A1 (nx11120), .S0 (nx10581)) ; xnor2 ix11124 (.Y (nx11123), .A0 (PRI_IN_8[9]), .A1 (nx6509)) ; ao21 ix10267 (.Y (nx6509), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_9_), .B0 ( nx10226)) ; dff REG_8_reg_q_9_ (.Q (reg_8_q_c_9_), .QB (\$dummy [292]), .D (nx10256), .CLK ( CLK)) ; xnor2 ix10257 (.Y (nx10256), .A0 (nx10234), .A1 (nx11131)) ; mux21 ix10235 (.Y (nx10234), .A0 (nx10589), .A1 (nx10883), .S0 (nx10593)) ; dff REG_2_reg_q_9_ (.Q (PRI_OUT_1[9]), .QB (\$dummy [293]), .D (nx10246), .CLK ( CLK)) ; xnor2 ix10247 (.Y (nx10246), .A0 (nx10242), .A1 (nx11137)) ; oai22 ix10243 (.Y (nx10242), .A0 (nx10598), .A1 (nx10601), .B0 (nx10878), .B1 ( nx10889)) ; dff REG_35_reg_q_9_ (.Q (reg_35_q_c_9_), .QB (nx11385), .D (nx9982), .CLK ( CLK)) ; xor2 ix9983 (.Y (nx9982), .A0 (nx11143), .A1 (nx11147)) ; aoi22 ix11144 (.Y (nx11143), .A0 (nx14739), .A1 (reg_37_q_c_8_), .B0 (nx8850 ), .B1 (nx8860)) ; dff REG_37_reg_q_9_ (.Q (reg_37_q_c_9_), .QB (nx11379), .D (nx10170), .CLK ( CLK)) ; xnor2 ix10171 (.Y (nx10170), .A0 (nx9670), .A1 (nx11153)) ; ao21 ix9671 (.Y (nx9670), .A0 (reg_44_q_c_8_), .A1 (PRI_IN_6[8]), .B0 ( nx9668)) ; xnor2 ix11154 (.Y (nx11153), .A0 (PRI_IN_6[9]), .A1 (reg_44_q_c_9_)) ; dff REG_44_reg_q_9_ (.Q (reg_44_q_c_9_), .QB (\$dummy [294]), .D (nx10160), .CLK (CLK)) ; xor2 ix10161 (.Y (nx10160), .A0 (nx9680), .A1 (nx10158)) ; mux21 ix9681 (.Y (nx9680), .A0 (reg_27_q_c_8_), .A1 (nx10623), .S0 (nx9038) ) ; dff REG_10_reg_q_9_ (.Q (reg_10_q_c_9_), .QB (\$dummy [295]), .D (nx10150), .CLK (CLK)) ; xnor2 ix10151 (.Y (nx10150), .A0 (nx9688), .A1 (nx11164)) ; oai22 ix9689 (.Y (nx9688), .A0 (nx10631), .A1 (nx10635), .B0 (nx10879), .B1 ( nx10849)) ; dff REG_11_reg_q_9_ (.Q (reg_11_q_c_9_), .QB (\$dummy [296]), .D (nx10140), .CLK (CLK)) ; xor2 ix10141 (.Y (nx10140), .A0 (nx11169), .A1 (nx11177)) ; aoi22 ix11170 (.Y (nx11169), .A0 (nx6490), .A1 (reg_45_q_c_8_), .B0 (nx8576) , .B1 (nx9018)) ; dff REG_45_reg_q_9_ (.Q (reg_45_q_c_9_), .QB (nx11373), .D (nx10130), .CLK ( CLK)) ; xnor2 ix10131 (.Y (nx10130), .A0 (nx9704), .A1 (nx11185)) ; oai22 ix9705 (.Y (nx9704), .A0 (nx10652), .A1 (nx10657), .B0 (nx10877), .B1 ( nx10858)) ; dff REG_27_reg_q_9_ (.Q (reg_27_q_c_9_), .QB (nx11353), .D (nx10072), .CLK ( CLK)) ; xor2 ix10073 (.Y (nx10072), .A0 (nx9714), .A1 (nx10070)) ; dff REG_13_reg_q_9_ (.Q (reg_13_q_c_9_), .QB (nx11223), .D (nx10208), .CLK ( CLK)) ; xor2 ix10209 (.Y (nx10208), .A0 (nx9646), .A1 (nx10206)) ; mux21 ix9647 (.Y (nx9646), .A0 (reg_35_q_c_8_), .A1 (nx10671), .S0 (nx9086) ) ; dff REG_1_reg_q_9_ (.Q (PRI_OUT_0[9]), .QB (\$dummy [297]), .D (nx10198), .CLK ( CLK)) ; xor2 ix10199 (.Y (nx10198), .A0 (nx9654), .A1 (nx10196)) ; oai22 ix9655 (.Y (nx9654), .A0 (nx10679), .A1 (nx11202), .B0 (nx10687), .B1 ( nx10895)) ; xnor2 ix10197 (.Y (nx10196), .A0 (reg_41_q_c_9_), .A1 (nx11207)) ; mux21 ix11208 (.Y (nx11207), .A0 (reg_25_q_c_9_), .A1 (PRI_OUT_8[9]), .S0 ( C_MUX2_8_SEL)) ; dff REG_25_reg_q_9_ (.Q (reg_25_q_c_9_), .QB (\$dummy [298]), .D (nx10180), .CLK (CLK)) ; xor2 ix10181 (.Y (nx10180), .A0 (nx11213), .A1 (nx11217)) ; aoi22 ix11214 (.Y (nx11213), .A0 (nx14741), .A1 (reg_37_q_c_8_), .B0 (nx8542 ), .B1 (nx9058)) ; dff REG_31_reg_q_9_ (.Q (reg_31_q_c_9_), .QB (nx11351), .D (nx10062), .CLK ( CLK)) ; xor2 ix10063 (.Y (nx10062), .A0 (nx9724), .A1 (nx10060)) ; mux21 ix9725 (.Y (nx9724), .A0 (nx8930), .A1 (nx10703), .S0 (nx8940)) ; xnor2 ix10061 (.Y (nx10060), .A0 (nx11232), .A1 (nx11349)) ; mux21 ix11233 (.Y (nx11232), .A0 (reg_38_q_c_9_), .A1 (reg_37_q_c_9_), .S0 ( C_MUX2_3_SEL)) ; dff REG_38_reg_q_9_ (.Q (reg_38_q_c_9_), .QB (\$dummy [299]), .D (nx10036), .CLK (CLK)) ; xnor2 ix10037 (.Y (nx10036), .A0 (nx11237), .A1 (nx10034)) ; mux21 ix11238 (.Y (nx11237), .A0 (nx10851), .A1 (nx8614), .S0 (nx8914)) ; xnor2 ix10035 (.Y (nx10034), .A0 (reg_22_q_c_9_), .A1 (nx9880)) ; dff REG_22_reg_q_9_ (.Q (reg_22_q_c_9_), .QB (\$dummy [300]), .D (nx10026), .CLK (CLK)) ; xor2 ix10027 (.Y (nx10026), .A0 (nx11244), .A1 (nx11247)) ; aoi22 ix11245 (.Y (nx11244), .A0 (nx10729), .A1 (reg_28_q_c_8_), .B0 (nx8624 ), .B1 (nx8904)) ; mux21 ix11250 (.Y (nx11249), .A0 (reg_10_q_c_9_), .A1 (nx6503), .S0 ( C_MUX2_14_SEL)) ; mux21 ix10019 (.Y (nx6503), .A0 (nx11253), .A1 (nx11344), .S0 (C_MUX2_21_SEL )) ; mux21 ix11254 (.Y (nx11253), .A0 (PRI_OUT_7[9]), .A1 (nx14743), .S0 ( C_MUX2_17_SEL)) ; dff REG_6_reg_q_9_ (.Q (PRI_OUT_7[9]), .QB (\$dummy [301]), .D (nx9996), .CLK ( CLK)) ; xnor2 ix9997 (.Y (nx9996), .A0 (nx11257), .A1 (nx9994)) ; mux21 ix11258 (.Y (nx11257), .A0 (nx8872), .A1 (nx8636), .S0 (nx8874)) ; xnor2 ix9995 (.Y (nx9994), .A0 (nx9938), .A1 (nx11334)) ; mux21 ix9939 (.Y (nx9938), .A0 (nx11253), .A1 (nx11265), .S0 (C_MUX2_15_SEL) ) ; dff REG_12_reg_q_9_ (.Q (reg_12_q_c_9_), .QB (\$dummy [302]), .D (nx9900), .CLK ( CLK)) ; xnor2 ix9901 (.Y (nx9900), .A0 (nx9768), .A1 (nx11271)) ; oai22 ix9769 (.Y (nx9768), .A0 (nx10751), .A1 (nx10755), .B0 (nx10825), .B1 ( nx10826)) ; xnor2 ix11272 (.Y (nx11271), .A0 (reg_12_q_c_9_), .A1 (reg_19_q_c_9_)) ; dff REG_19_reg_q_9_ (.Q (reg_19_q_c_9_), .QB (\$dummy [303]), .D (nx9890), .CLK ( CLK)) ; xor2 ix9891 (.Y (nx9890), .A0 (nx11275), .A1 (nx11283)) ; aoi22 ix11276 (.Y (nx11275), .A0 (nx14779), .A1 (reg_31_q_c_8_), .B0 (nx8658 ), .B1 (nx8768)) ; mux21 ix11286 (.Y (nx11285), .A0 (nx9880), .A1 (nx9862), .S0 (C_MUX2_1_SEL) ) ; mux21 ix9881 (.Y (nx9880), .A0 (nx11289), .A1 (nx11291), .S0 (C_MUX2_6_SEL) ) ; dff REG_7_reg_q_9_ (.Q (\$dummy [304]), .QB (nx11289), .D (nx10350), .CLK ( CLK)) ; mux21 ix11292 (.Y (nx11291), .A0 (nx14743), .A1 (reg_5_q_c_9_), .S0 ( C_MUX2_18_SEL)) ; ao21 ix9863 (.Y (nx9862), .A0 (C_MUX2_9_SEL), .A1 (nx9854), .B0 (nx9860)) ; ao21 ix9855 (.Y (nx9854), .A0 (C_MUX2_22_SEL), .A1 (reg_14_q_c_9_), .B0 ( nx9790)) ; dff REG_14_reg_q_9_ (.Q (reg_14_q_c_9_), .QB (\$dummy [305]), .D (nx9844), .CLK ( CLK)) ; xnor2 ix9845 (.Y (nx9844), .A0 (nx11297), .A1 (nx9842)) ; aoi22 ix11298 (.Y (nx11297), .A0 (nx11299), .A1 (PRI_OUT_6[8]), .B0 (nx8680) , .B1 (nx8722)) ; inv02 ix11300 (.Y (nx11299), .A (PRI_IN_7[8])) ; xnor2 ix9843 (.Y (nx9842), .A0 (PRI_IN_7[9]), .A1 (PRI_OUT_6[9])) ; dff REG_23_reg_q_9_ (.Q (PRI_OUT_6[9]), .QB (\$dummy [306]), .D (nx9834), .CLK ( CLK)) ; xnor2 ix9835 (.Y (nx9834), .A0 (nx9810), .A1 (nx11307)) ; xnor2 ix11308 (.Y (nx11307), .A0 (reg_30_q_c_9_), .A1 (nx11265)) ; dff REG_30_reg_q_9_ (.Q (reg_30_q_c_9_), .QB (\$dummy [307]), .D (nx9824), .CLK ( CLK)) ; xor2 ix9825 (.Y (nx9824), .A0 (nx9820), .A1 (nx9822)) ; oai22 ix9821 (.Y (nx9820), .A0 (nx10809), .A1 (nx11313), .B0 (PRI_IN_0[8]), .B1 (nx10826)) ; xnor2 ix9823 (.Y (nx9822), .A0 (PRI_IN_0[9]), .A1 (reg_12_q_c_9_)) ; nor02 ix9791 (.Y (nx9790), .A0 (C_MUX2_22_SEL), .A1 (nx11322)) ; mux21 ix11323 (.Y (nx11322), .A0 (reg_19_q_c_9_), .A1 (nx14743), .S0 ( C_MUX2_20_SEL)) ; nor02 ix9861 (.Y (nx9860), .A0 (C_MUX2_9_SEL), .A1 (nx11028)) ; dff REG_16_reg_q_9_ (.Q (reg_16_q_c_9_), .QB (nx11333), .D (nx9924), .CLK ( CLK)) ; xor2 ix9925 (.Y (nx9924), .A0 (nx9920), .A1 (nx9922)) ; mux21 ix9921 (.Y (nx9920), .A0 (reg_20_q_c_8_), .A1 (nx10831), .S0 (nx8802) ) ; mux21 ix11335 (.Y (nx11334), .A0 (reg_36_q_c_9_), .A1 (reg_35_q_c_9_), .S0 ( C_MUX2_11_SEL)) ; dff REG_36_reg_q_9_ (.Q (reg_36_q_c_9_), .QB (\$dummy [308]), .D (nx9952), .CLK ( CLK)) ; xnor2 ix9953 (.Y (nx9952), .A0 (nx9948), .A1 (nx11339)) ; mux21 ix9949 (.Y (nx9948), .A0 (nx10843), .A1 (reg_16_q_c_8_), .S0 (nx10845) ) ; dff REG_5_reg_q_9_ (.Q (reg_5_q_c_9_), .QB (nx11344), .D (nx10380), .CLK ( CLK)) ; mux21 ix11350 (.Y (nx11349), .A0 (reg_19_q_c_9_), .A1 (reg_11_q_c_9_), .S0 ( C_MUX2_7_SEL)) ; dff REG_47_reg_q_9_ (.Q (reg_47_q_c_9_), .QB (\$dummy [309]), .D (nx10120), .CLK (CLK)) ; xor2 ix10121 (.Y (nx10120), .A0 (nx11357), .A1 (nx11359)) ; mux21 ix11358 (.Y (nx11357), .A0 (nx8968), .A1 (nx14779), .S0 (nx10865)) ; xnor2 ix11360 (.Y (nx11359), .A0 (nx11285), .A1 (nx10116)) ; ao21 ix10117 (.Y (nx10116), .A0 (C_MUX2_12_SEL), .A1 (reg_15_q_c_9_), .B0 ( nx10092)) ; dff REG_15_reg_q_9_ (.Q (reg_15_q_c_9_), .QB (\$dummy [310]), .D (nx10106), .CLK (CLK)) ; xor2 ix10107 (.Y (nx10106), .A0 (nx10102), .A1 (nx10104)) ; mux21 ix10103 (.Y (nx10102), .A0 (nx6495), .A1 (nx10871), .S0 (nx8984)) ; xnor2 ix10105 (.Y (nx10104), .A0 (PRI_IN_1[9]), .A1 (nx6509)) ; nor02 ix10093 (.Y (nx10092), .A0 (C_MUX2_12_SEL), .A1 (nx11291)) ; ao21 ix9979 (.Y (nx6507), .A0 (C_MUX2_24_SEL), .A1 (reg_27_q_c_9_), .B0 ( nx9974)) ; nor02 ix9975 (.Y (nx9974), .A0 (C_MUX2_24_SEL), .A1 (nx11382)) ; nor02 ix10227 (.Y (nx10226), .A0 (C_MUX2_13_SEL), .A1 (nx11389)) ; mux21 ix11390 (.Y (nx11389), .A0 (reg_13_q_c_9_), .A1 (reg_11_q_c_9_), .S0 ( C_MUX2_5_SEL)) ; dff REG_43_reg_q_9_ (.Q (reg_43_q_c_9_), .QB (\$dummy [311]), .D (nx10300), .CLK (CLK)) ; xor2 ix10301 (.Y (nx10300), .A0 (nx10296), .A1 (nx10298)) ; oai22 ix10297 (.Y (nx10296), .A0 (nx10901), .A1 (nx11396), .B0 (nx8996), .B1 ( nx10913)) ; xnor2 ix10299 (.Y (nx10298), .A0 (reg_34_q_c_9_), .A1 (nx10116)) ; mux21 ix11412 (.Y (nx11411), .A0 (reg_18_q_c_9_), .A1 (nx9938), .S0 ( C_MUX2_19_SEL)) ; dff REG_39_reg_q_9_ (.Q (reg_39_q_c_9_), .QB (\$dummy [312]), .D (nx10594), .CLK (CLK)) ; mux21 ix10595 (.Y (nx10594), .A0 (nx11417), .A1 (nx11424), .S0 ( C_MUX2_10_SEL)) ; xnor2 ix11418 (.Y (nx11417), .A0 (nx10460), .A1 (nx10462)) ; oai22 ix10461 (.Y (nx10460), .A0 (nx10993), .A1 (nx11421), .B0 (nx14781), .B1 ( nx10882)) ; xnor2 ix10463 (.Y (nx10462), .A0 (reg_44_q_c_9_), .A1 (nx11285)) ; xnor2 ix11425 (.Y (nx11424), .A0 (nx10476), .A1 (nx10588)) ; mux21 ix10477 (.Y (nx10476), .A0 (nx10937), .A1 (nx10929), .S0 (nx9468)) ; xnor2 ix10589 (.Y (nx10588), .A0 (PRI_IN_4[9]), .A1 (nx11429)) ; mux21 ix11430 (.Y (nx11429), .A0 (PRI_OUT_5[9]), .A1 (reg_22_q_c_9_), .S0 ( C_MUX2_25_SEL)) ; dff REG_21_reg_q_9_ (.Q (PRI_OUT_5[9]), .QB (\$dummy [313]), .D (nx10572), .CLK ( CLK)) ; xnor2 ix10573 (.Y (nx10572), .A0 (nx11434), .A1 (nx10570)) ; aoi22 ix11435 (.Y (nx11434), .A0 (nx8930), .A1 (reg_48_q_c_8_), .B0 (nx9364) , .B1 (nx9450)) ; xnor2 ix10571 (.Y (nx10570), .A0 (reg_48_q_c_9_), .A1 (nx11232)) ; dff REG_48_reg_q_9_ (.Q (reg_48_q_c_9_), .QB (\$dummy [314]), .D (nx10562), .CLK (CLK)) ; ao21 ix10563 (.Y (nx10562), .A0 (C_MUX2_23_SEL), .A1 (nx10558), .B0 (nx10500 )) ; xnor2 ix10559 (.Y (nx10558), .A0 (nx10508), .A1 (nx11444)) ; xnor2 ix11445 (.Y (nx11444), .A0 (reg_36_q_c_9_), .A1 (nx10554)) ; ao21 ix10555 (.Y (nx10554), .A0 (C_MUX2_4_SEL), .A1 (PRI_OUT_4[9]), .B0 ( nx10512)) ; dff REG_9_reg_q_9_ (.Q (PRI_OUT_4[9]), .QB (\$dummy [315]), .D (nx10544), .CLK ( CLK)) ; xnor2 ix10545 (.Y (nx10544), .A0 (nx10520), .A1 (nx11451)) ; oai22 ix10521 (.Y (nx10520), .A0 (nx10969), .A1 (nx10973), .B0 (nx10983), .B1 ( nx10851)) ; xnor2 ix11452 (.Y (nx11451), .A0 (reg_22_q_c_9_), .A1 (reg_46_q_c_9_)) ; dff REG_46_reg_q_9_ (.Q (reg_46_q_c_9_), .QB (\$dummy [316]), .D (nx10534), .CLK (CLK)) ; xor2 ix10535 (.Y (nx10534), .A0 (nx10530), .A1 (nx10532)) ; mux21 ix10531 (.Y (nx10530), .A0 (reg_33_q_c_8_), .A1 (nx10979), .S0 (nx9412 )) ; nor02 ix10513 (.Y (nx10512), .A0 (C_MUX2_4_SEL), .A1 (nx11265)) ; nor02 ix10501 (.Y (nx10500), .A0 (C_MUX2_23_SEL), .A1 (nx11463)) ; xor2 ix11464 (.Y (nx11463), .A0 (nx10492), .A1 (nx11466)) ; oai22 ix10493 (.Y (nx10492), .A0 (nx10951), .A1 (nx10955), .B0 (nx10909), .B1 ( nx10699)) ; dff REG_26_reg_q_10_ (.Q (PRI_OUT_9[10]), .QB (\$dummy [317]), .D (nx11724) , .CLK (CLK)) ; xnor2 ix11725 (.Y (nx11724), .A0 (nx11476), .A1 (nx11722)) ; aoi22 ix11477 (.Y (nx11476), .A0 (nx11413), .A1 (reg_39_q_c_9_), .B0 (nx9500 ), .B1 (nx10602)) ; xnor2 ix11723 (.Y (nx11722), .A0 (reg_33_q_c_10_), .A1 (reg_39_q_c_10_)) ; dff REG_33_reg_q_10_ (.Q (reg_33_q_c_10_), .QB (\$dummy [318]), .D (nx11566) , .CLK (CLK)) ; xnor2 ix11567 (.Y (nx11566), .A0 (nx11483), .A1 (nx11564)) ; aoi22 ix11484 (.Y (nx11483), .A0 (nx10442), .A1 (reg_17_q_c_9_), .B0 (nx9508 ), .B1 (nx10444)) ; dff REG_17_reg_q_10_ (.Q (reg_17_q_c_10_), .QB (nx11933), .D (nx11548), .CLK ( CLK)) ; xnor2 ix11549 (.Y (nx11548), .A0 (nx11491), .A1 (nx11546)) ; aoi22 ix11492 (.Y (nx11491), .A0 (nx11382), .A1 (reg_29_q_c_9_), .B0 (nx9518 ), .B1 (nx10426)) ; dff REG_29_reg_q_10_ (.Q (reg_29_q_c_10_), .QB (\$dummy [319]), .D (nx11538) , .CLK (CLK)) ; xnor2 ix11539 (.Y (nx11538), .A0 (nx10648), .A1 (nx11497)) ; mux21 ix10649 (.Y (nx10648), .A0 (nx11021), .A1 (nx9854), .S0 (nx11026)) ; xnor2 ix11498 (.Y (nx11497), .A0 (nx11499), .A1 (nx10974)) ; mux21 ix11500 (.Y (nx11499), .A0 (reg_20_q_c_10_), .A1 (reg_17_q_c_10_), .S0 ( C_MUX2_16_SEL)) ; dff REG_20_reg_q_10_ (.Q (reg_20_q_c_10_), .QB (\$dummy [320]), .D (nx11520) , .CLK (CLK)) ; xnor2 ix11521 (.Y (nx11520), .A0 (nx11504), .A1 (nx11518)) ; aoi22 ix11505 (.Y (nx11504), .A0 (nx11382), .A1 (reg_28_q_c_9_), .B0 (nx9538 ), .B1 (nx10398)) ; dff REG_28_reg_q_10_ (.Q (reg_28_q_c_10_), .QB (\$dummy [321]), .D (nx11510) , .CLK (CLK)) ; xnor2 ix11511 (.Y (nx11510), .A0 (nx11509), .A1 (nx11508)) ; aoi22 ix11510 (.Y (nx11509), .A0 (nx11511), .A1 (reg_5_q_c_9_), .B0 (nx9548) , .B1 (nx10388)) ; inv02 ix11512 (.Y (nx11511), .A (PRI_IN_2[9])) ; xnor2 ix11501 (.Y (nx11500), .A0 (nx11516), .A1 (nx11498)) ; aoi22 ix11517 (.Y (nx11516), .A0 (nx11519), .A1 (reg_34_q_c_9_), .B0 (nx9558 ), .B1 (nx10378)) ; inv02 ix11520 (.Y (nx11519), .A (PRI_IN_3[9])) ; dff REG_34_reg_q_10_ (.Q (\$dummy [322]), .QB (nx11927), .D (nx11490), .CLK ( CLK)) ; xor2 ix11491 (.Y (nx11490), .A0 (nx11525), .A1 (nx11528)) ; aoi22 ix11526 (.Y (nx11525), .A0 (reg_19_q_c_9_), .A1 (reg_18_q_c_9_), .B0 ( nx9566), .B1 (nx10368)) ; dff REG_18_reg_q_10_ (.Q (reg_18_q_c_10_), .QB (nx11925), .D (nx11480), .CLK ( CLK)) ; xnor2 ix11481 (.Y (nx11480), .A0 (nx11533), .A1 (nx11478)) ; aoi22 ix11534 (.Y (nx11533), .A0 (nx11289), .A1 (PRI_IN_9[9]), .B0 (nx9576) , .B1 (nx10358)) ; xor2 ix11471 (.Y (nx11470), .A0 (nx11541), .A1 (nx11544)) ; aoi22 ix11542 (.Y (nx11541), .A0 (reg_42_q_c_9_), .A1 (reg_17_q_c_9_), .B0 ( nx9584), .B1 (nx10348)) ; dff REG_42_reg_q_10_ (.Q (\$dummy [323]), .QB (nx11923), .D (nx11460), .CLK ( CLK)) ; xnor2 ix11461 (.Y (nx11460), .A0 (nx10712), .A1 (nx11553)) ; oai22 ix10713 (.Y (nx10712), .A0 (nx11079), .A1 (nx11085), .B0 (nx11551), .B1 ( nx11403)) ; dff REG_40_reg_q_10_ (.Q (reg_40_q_c_10_), .QB (nx11922), .D (nx11450), .CLK ( CLK)) ; xnor2 ix11451 (.Y (nx11450), .A0 (nx11557), .A1 (nx11448)) ; aoi22 ix11558 (.Y (nx11557), .A0 (nx11344), .A1 (PRI_OUT_3[9]), .B0 (nx9602) , .B1 (nx10328)) ; dff REG_4_reg_q_10_ (.Q (PRI_OUT_3[10]), .QB (\$dummy [324]), .D (nx11440), .CLK (CLK)) ; xnor2 ix11441 (.Y (nx11440), .A0 (nx11565), .A1 (nx11438)) ; aoi22 ix11566 (.Y (nx11565), .A0 (nx11402), .A1 (reg_32_q_c_9_), .B0 (nx9612 ), .B1 (nx10318)) ; xnor2 ix11439 (.Y (nx11438), .A0 (PRI_OUT_3[10]), .A1 (reg_32_q_c_10_)) ; dff REG_32_reg_q_10_ (.Q (reg_32_q_c_10_), .QB (\$dummy [325]), .D (nx11430) , .CLK (CLK)) ; xor2 ix11431 (.Y (nx11430), .A0 (nx11571), .A1 (nx11574)) ; aoi22 ix11572 (.Y (nx11571), .A0 (reg_43_q_c_9_), .A1 (PRI_OUT_8[9]), .B0 ( nx9620), .B1 (nx10308)) ; xnor2 ix11576 (.Y (nx11574), .A0 (PRI_OUT_8[10]), .A1 (reg_43_q_c_10_)) ; dff REG_24_reg_q_10_ (.Q (PRI_OUT_8[10]), .QB (\$dummy [326]), .D (nx11400) , .CLK (CLK)) ; xor2 ix11401 (.Y (nx11400), .A0 (nx11581), .A1 (nx11585)) ; aoi22 ix11582 (.Y (nx11581), .A0 (reg_41_q_c_9_), .A1 (reg_34_q_c_9_), .B0 ( nx9628), .B1 (nx10278)) ; dff REG_41_reg_q_10_ (.Q (\$dummy [327]), .QB (nx11907), .D (nx11390), .CLK ( CLK)) ; xor2 ix11391 (.Y (nx11390), .A0 (nx11589), .A1 (nx11597)) ; aoi22 ix11590 (.Y (nx11589), .A0 (nx6509), .A1 (PRI_IN_8[9]), .B0 (nx9636), .B1 (nx10268)) ; xnor2 ix11598 (.Y (nx11597), .A0 (PRI_IN_8[10]), .A1 (nx14749)) ; ao21 ix11387 (.Y (nx6523), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_10_), .B0 ( nx11346)) ; dff REG_8_reg_q_10_ (.Q (reg_8_q_c_10_), .QB (\$dummy [328]), .D (nx11376), .CLK (CLK)) ; xor2 ix11377 (.Y (nx11376), .A0 (nx11605), .A1 (nx11609)) ; aoi22 ix11606 (.Y (nx11605), .A0 (reg_37_q_c_9_), .A1 (PRI_OUT_1[9]), .B0 ( nx10234), .B1 (nx10254)) ; dff REG_2_reg_q_10_ (.Q (PRI_OUT_1[10]), .QB (\$dummy [329]), .D (nx11366), .CLK (CLK)) ; xor2 ix11367 (.Y (nx11366), .A0 (nx11615), .A1 (nx11619)) ; aoi22 ix11616 (.Y (nx11615), .A0 (reg_45_q_c_9_), .A1 (reg_35_q_c_9_), .B0 ( nx10242), .B1 (nx10244)) ; dff REG_35_reg_q_10_ (.Q (reg_35_q_c_10_), .QB (nx11899), .D (nx11102), .CLK ( CLK)) ; xnor2 ix11103 (.Y (nx11102), .A0 (nx11090), .A1 (nx11627)) ; oai22 ix11091 (.Y (nx11090), .A0 (nx11143), .A1 (nx11147), .B0 (nx11382), .B1 ( nx11379)) ; dff REG_37_reg_q_10_ (.Q (reg_37_q_c_10_), .QB (nx11893), .D (nx11290), .CLK ( CLK)) ; xor2 ix11291 (.Y (nx11290), .A0 (nx11633), .A1 (nx11637)) ; aoi22 ix11634 (.Y (nx11633), .A0 (reg_44_q_c_9_), .A1 (PRI_IN_6[9]), .B0 ( nx9670), .B1 (nx10168)) ; dff REG_44_reg_q_10_ (.Q (reg_44_q_c_10_), .QB (nx11891), .D (nx11280), .CLK ( CLK)) ; xnor2 ix11281 (.Y (nx11280), .A0 (nx11643), .A1 (nx11278)) ; aoi22 ix11644 (.Y (nx11643), .A0 (nx11353), .A1 (reg_10_q_c_9_), .B0 (nx9680 ), .B1 (nx10158)) ; dff REG_10_reg_q_10_ (.Q (reg_10_q_c_10_), .QB (\$dummy [330]), .D (nx11270) , .CLK (CLK)) ; xor2 ix11271 (.Y (nx11270), .A0 (nx11651), .A1 (nx11655)) ; aoi22 ix11652 (.Y (nx11651), .A0 (reg_11_q_c_9_), .A1 (reg_5_q_c_9_), .B0 ( nx9688), .B1 (nx10148)) ; dff REG_11_reg_q_10_ (.Q (reg_11_q_c_10_), .QB (nx11888), .D (nx11260), .CLK ( CLK)) ; xnor2 ix11261 (.Y (nx11260), .A0 (nx10816), .A1 (nx11663)) ; oai22 ix10817 (.Y (nx10816), .A0 (nx11169), .A1 (nx11177), .B0 (nx11660), .B1 ( nx11373)) ; dff REG_45_reg_q_10_ (.Q (reg_45_q_c_10_), .QB (nx11887), .D (nx11250), .CLK ( CLK)) ; xor2 ix11251 (.Y (nx11250), .A0 (nx11668), .A1 (nx11671)) ; aoi22 ix11669 (.Y (nx11668), .A0 (reg_47_q_c_9_), .A1 (reg_27_q_c_9_), .B0 ( nx9704), .B1 (nx10128)) ; dff REG_27_reg_q_10_ (.Q (reg_27_q_c_10_), .QB (nx11865), .D (nx11192), .CLK ( CLK)) ; xnor2 ix11193 (.Y (nx11192), .A0 (nx11677), .A1 (nx11190)) ; aoi22 ix11678 (.Y (nx11677), .A0 (nx11223), .A1 (reg_31_q_c_9_), .B0 (nx9714 ), .B1 (nx10070)) ; dff REG_13_reg_q_10_ (.Q (reg_13_q_c_10_), .QB (nx11709), .D (nx11328), .CLK ( CLK)) ; xnor2 ix11329 (.Y (nx11328), .A0 (nx11685), .A1 (nx11326)) ; aoi22 ix11686 (.Y (nx11685), .A0 (nx11385), .A1 (PRI_OUT_0[9]), .B0 (nx9646) , .B1 (nx10206)) ; dff REG_1_reg_q_10_ (.Q (PRI_OUT_0[10]), .QB (\$dummy [331]), .D (nx11318), .CLK (CLK)) ; xnor2 ix11319 (.Y (nx11318), .A0 (nx11691), .A1 (nx11316)) ; aoi22 ix11692 (.Y (nx11691), .A0 (nx10194), .A1 (reg_41_q_c_9_), .B0 (nx9654 ), .B1 (nx10196)) ; mux21 ix11698 (.Y (nx11697), .A0 (reg_25_q_c_10_), .A1 (PRI_OUT_8[10]), .S0 ( C_MUX2_8_SEL)) ; dff REG_25_reg_q_10_ (.Q (reg_25_q_c_10_), .QB (\$dummy [332]), .D (nx11300) , .CLK (CLK)) ; xnor2 ix11301 (.Y (nx11300), .A0 (nx10782), .A1 (nx11703)) ; oai22 ix10783 (.Y (nx10782), .A0 (nx11213), .A1 (nx11217), .B0 (nx11593), .B1 ( nx11379)) ; dff REG_31_reg_q_10_ (.Q (reg_31_q_c_10_), .QB (nx11863), .D (nx11182), .CLK ( CLK)) ; xnor2 ix11183 (.Y (nx11182), .A0 (nx11713), .A1 (nx11180)) ; mux21 ix11714 (.Y (nx11713), .A0 (nx11232), .A1 (nx9724), .S0 (nx10060)) ; xnor2 ix11181 (.Y (nx11180), .A0 (nx11717), .A1 (nx11861)) ; mux21 ix11718 (.Y (nx11717), .A0 (reg_38_q_c_10_), .A1 (reg_37_q_c_10_), .S0 ( C_MUX2_3_SEL)) ; dff REG_38_reg_q_10_ (.Q (reg_38_q_c_10_), .QB (\$dummy [333]), .D (nx11156) , .CLK (CLK)) ; xor2 ix11157 (.Y (nx11156), .A0 (nx10854), .A1 (nx11154)) ; mux21 ix10855 (.Y (nx10854), .A0 (reg_22_q_c_9_), .A1 (nx11237), .S0 ( nx10034)) ; dff REG_22_reg_q_10_ (.Q (reg_22_q_c_10_), .QB (nx11857), .D (nx11146), .CLK ( CLK)) ; xnor2 ix11147 (.Y (nx11146), .A0 (nx10864), .A1 (nx11730)) ; oai22 ix10865 (.Y (nx10864), .A0 (nx11244), .A1 (nx11247), .B0 (nx6505), .B1 ( nx11407)) ; xnor2 ix11731 (.Y (nx11730), .A0 (reg_28_q_c_10_), .A1 (nx11732)) ; mux21 ix11734 (.Y (nx11732), .A0 (reg_10_q_c_10_), .A1 (nx6517), .S0 ( C_MUX2_14_SEL)) ; mux21 ix11139 (.Y (nx6517), .A0 (nx11737), .A1 (nx11854), .S0 (C_MUX2_21_SEL )) ; mux21 ix11738 (.Y (nx11737), .A0 (PRI_OUT_7[10]), .A1 (reg_17_q_c_10_), .S0 ( C_MUX2_17_SEL)) ; dff REG_6_reg_q_10_ (.Q (PRI_OUT_7[10]), .QB (\$dummy [334]), .D (nx11116), .CLK (CLK)) ; xor2 ix11117 (.Y (nx11116), .A0 (nx10876), .A1 (nx11114)) ; mux21 ix10877 (.Y (nx10876), .A0 (nx11334), .A1 (nx11257), .S0 (nx9994)) ; xnor2 ix11115 (.Y (nx11114), .A0 (nx11058), .A1 (nx11841)) ; mux21 ix11059 (.Y (nx11058), .A0 (nx11737), .A1 (nx11749), .S0 ( C_MUX2_15_SEL)) ; dff REG_12_reg_q_10_ (.Q (reg_12_q_c_10_), .QB (nx11831), .D (nx11020), .CLK ( CLK)) ; xor2 ix11021 (.Y (nx11020), .A0 (nx11755), .A1 (nx11759)) ; aoi22 ix11756 (.Y (nx11755), .A0 (reg_19_q_c_9_), .A1 (reg_12_q_c_9_), .B0 ( nx9768), .B1 (nx9898)) ; dff REG_19_reg_q_10_ (.Q (reg_19_q_c_10_), .QB (nx11829), .D (nx11010), .CLK ( CLK)) ; xnor2 ix11011 (.Y (nx11010), .A0 (nx10898), .A1 (nx11773)) ; oai22 ix10899 (.Y (nx10898), .A0 (nx11275), .A1 (nx11283), .B0 (nx9886), .B1 ( nx11351)) ; mux21 ix11776 (.Y (nx11775), .A0 (nx11000), .A1 (nx10982), .S0 (C_MUX2_1_SEL )) ; mux21 ix11001 (.Y (nx11000), .A0 (nx11779), .A1 (nx11781), .S0 (C_MUX2_6_SEL )) ; dff REG_7_reg_q_10_ (.Q (reg_7_q_c_10_), .QB (nx11779), .D (nx11470), .CLK ( CLK)) ; mux21 ix11782 (.Y (nx11781), .A0 (reg_17_q_c_10_), .A1 (reg_5_q_c_10_), .S0 ( C_MUX2_18_SEL)) ; ao21 ix10983 (.Y (nx10982), .A0 (C_MUX2_9_SEL), .A1 (nx10974), .B0 (nx10980) ) ; ao21 ix10975 (.Y (nx10974), .A0 (C_MUX2_22_SEL), .A1 (reg_14_q_c_10_), .B0 ( nx10910)) ; dff REG_14_reg_q_10_ (.Q (reg_14_q_c_10_), .QB (\$dummy [335]), .D (nx10964) , .CLK (CLK)) ; xor2 ix10965 (.Y (nx10964), .A0 (nx10920), .A1 (nx10962)) ; mux21 ix10921 (.Y (nx10920), .A0 (PRI_IN_7[9]), .A1 (nx11297), .S0 (nx9842) ) ; xnor2 ix10963 (.Y (nx10962), .A0 (PRI_IN_7[10]), .A1 (PRI_OUT_6[10])) ; dff REG_23_reg_q_10_ (.Q (PRI_OUT_6[10]), .QB (\$dummy [336]), .D (nx10954) , .CLK (CLK)) ; xor2 ix10955 (.Y (nx10954), .A0 (nx15623), .A1 (nx11807)) ; dff REG_30_reg_q_10_ (.Q (reg_30_q_c_10_), .QB (\$dummy [337]), .D (nx10944) , .CLK (CLK)) ; xnor2 ix10945 (.Y (nx10944), .A0 (nx11813), .A1 (nx10942)) ; aoi22 ix11814 (.Y (nx11813), .A0 (nx11815), .A1 (reg_12_q_c_9_), .B0 (nx9820 ), .B1 (nx9822)) ; inv02 ix11816 (.Y (nx11815), .A (PRI_IN_0[9])) ; nor02 ix10911 (.Y (nx10910), .A0 (C_MUX2_22_SEL), .A1 (nx11825)) ; mux21 ix11826 (.Y (nx11825), .A0 (reg_19_q_c_10_), .A1 (reg_17_q_c_10_), .S0 ( C_MUX2_20_SEL)) ; nor02 ix10981 (.Y (nx10980), .A0 (C_MUX2_9_SEL), .A1 (nx11499)) ; dff REG_16_reg_q_10_ (.Q (reg_16_q_c_10_), .QB (\$dummy [338]), .D (nx11044) , .CLK (CLK)) ; xnor2 ix11045 (.Y (nx11044), .A0 (nx11835), .A1 (nx11042)) ; mux21 ix11836 (.Y (nx11835), .A0 (nx11408), .A1 (nx9920), .S0 (nx9922)) ; xnor2 ix11043 (.Y (nx11042), .A0 (reg_20_q_c_10_), .A1 (nx6517)) ; mux21 ix11842 (.Y (nx11841), .A0 (reg_36_q_c_10_), .A1 (reg_35_q_c_10_), .S0 ( C_MUX2_11_SEL)) ; dff REG_36_reg_q_10_ (.Q (reg_36_q_c_10_), .QB (\$dummy [339]), .D (nx11072) , .CLK (CLK)) ; xor2 ix11073 (.Y (nx11072), .A0 (nx11847), .A1 (nx11849)) ; mux21 ix11848 (.Y (nx11847), .A0 (nx9948), .A1 (nx11333), .S0 (nx11339)) ; xnor2 ix11850 (.Y (nx11849), .A0 (reg_16_q_c_10_), .A1 (nx11732)) ; dff REG_5_reg_q_10_ (.Q (reg_5_q_c_10_), .QB (nx11854), .D (nx11500), .CLK ( CLK)) ; mux21 ix11862 (.Y (nx11861), .A0 (reg_19_q_c_10_), .A1 (reg_11_q_c_10_), .S0 ( C_MUX2_7_SEL)) ; dff REG_47_reg_q_10_ (.Q (\$dummy [340]), .QB (nx11885), .D (nx11240), .CLK ( CLK)) ; xnor2 ix11241 (.Y (nx11240), .A0 (nx11208), .A1 (nx11871)) ; mux21 ix11209 (.Y (nx11208), .A0 (nx11357), .A1 (nx9886), .S0 (nx11359)) ; xnor2 ix11872 (.Y (nx11871), .A0 (nx14783), .A1 (nx11236)) ; ao21 ix11237 (.Y (nx11236), .A0 (C_MUX2_12_SEL), .A1 (reg_15_q_c_10_), .B0 ( nx11212)) ; dff REG_15_reg_q_10_ (.Q (reg_15_q_c_10_), .QB (\$dummy [341]), .D (nx11226) , .CLK (CLK)) ; xnor2 ix11227 (.Y (nx11226), .A0 (nx11879), .A1 (nx11224)) ; aoi22 ix11880 (.Y (nx11879), .A0 (nx11593), .A1 (PRI_IN_1[9]), .B0 (nx10102) , .B1 (nx10104)) ; xnor2 ix11225 (.Y (nx11224), .A0 (PRI_IN_1[10]), .A1 (nx14749)) ; nor02 ix11213 (.Y (nx11212), .A0 (C_MUX2_12_SEL), .A1 (nx11781)) ; nor02 ix11095 (.Y (nx11094), .A0 (C_MUX2_24_SEL), .A1 (nx14827)) ; nor02 ix11347 (.Y (nx11346), .A0 (C_MUX2_13_SEL), .A1 (nx11905)) ; mux21 ix11906 (.Y (nx11905), .A0 (reg_13_q_c_10_), .A1 (reg_11_q_c_10_), .S0 ( C_MUX2_5_SEL)) ; dff REG_43_reg_q_10_ (.Q (reg_43_q_c_10_), .QB (\$dummy [342]), .D (nx11420) , .CLK (CLK)) ; xnor2 ix11421 (.Y (nx11420), .A0 (nx11913), .A1 (nx11418)) ; aoi22 ix11914 (.Y (nx11913), .A0 (nx11551), .A1 (reg_34_q_c_9_), .B0 ( nx10296), .B1 (nx10298)) ; mux21 ix11936 (.Y (nx11935), .A0 (reg_18_q_c_10_), .A1 (nx11058), .S0 ( C_MUX2_19_SEL)) ; dff REG_39_reg_q_10_ (.Q (reg_39_q_c_10_), .QB (\$dummy [343]), .D (nx11714) , .CLK (CLK)) ; ao21 ix11715 (.Y (nx11714), .A0 (C_MUX2_10_SEL), .A1 (nx11710), .B0 (nx11588 )) ; xnor2 ix11711 (.Y (nx11710), .A0 (nx11943), .A1 (nx11708)) ; aoi22 ix11944 (.Y (nx11943), .A0 (nx10586), .A1 (PRI_IN_4[9]), .B0 (nx10476) , .B1 (nx10588)) ; xnor2 ix11709 (.Y (nx11708), .A0 (PRI_IN_4[10]), .A1 (nx11951)) ; mux21 ix11952 (.Y (nx11951), .A0 (PRI_OUT_5[10]), .A1 (reg_22_q_c_10_), .S0 ( C_MUX2_25_SEL)) ; dff REG_21_reg_q_10_ (.Q (PRI_OUT_5[10]), .QB (\$dummy [344]), .D (nx11692) , .CLK (CLK)) ; xor2 ix11693 (.Y (nx11692), .A0 (nx11604), .A1 (nx11690)) ; mux21 ix11605 (.Y (nx11604), .A0 (nx11232), .A1 (nx11434), .S0 (nx10570)) ; xnor2 ix11691 (.Y (nx11690), .A0 (reg_48_q_c_10_), .A1 (nx11717)) ; dff REG_48_reg_q_10_ (.Q (reg_48_q_c_10_), .QB (\$dummy [345]), .D (nx11682) , .CLK (CLK)) ; mux21 ix11683 (.Y (nx11682), .A0 (nx11965), .A1 (nx11973), .S0 ( C_MUX2_23_SEL)) ; xnor2 ix11966 (.Y (nx11965), .A0 (nx11967), .A1 (nx11971)) ; aoi22 ix11968 (.Y (nx11967), .A0 (reg_40_q_c_9_), .A1 (reg_13_q_c_9_), .B0 ( nx10492), .B1 (nx10494)) ; xnor2 ix11974 (.Y (nx11973), .A0 (nx11975), .A1 (nx11979)) ; aoi22 ix11976 (.Y (nx11975), .A0 (nx10554), .A1 (reg_36_q_c_9_), .B0 ( nx10508), .B1 (nx10556)) ; xnor2 ix11980 (.Y (nx11979), .A0 (reg_36_q_c_10_), .A1 (nx11674)) ; ao21 ix11675 (.Y (nx11674), .A0 (C_MUX2_4_SEL), .A1 (PRI_OUT_4[10]), .B0 ( nx11632)) ; dff REG_9_reg_q_10_ (.Q (PRI_OUT_4[10]), .QB (\$dummy [346]), .D (nx11664), .CLK (CLK)) ; xor2 ix11665 (.Y (nx11664), .A0 (nx11987), .A1 (nx11991)) ; aoi22 ix11988 (.Y (nx11987), .A0 (reg_46_q_c_9_), .A1 (reg_22_q_c_9_), .B0 ( nx10520), .B1 (nx10542)) ; dff REG_46_reg_q_10_ (.Q (\$dummy [347]), .QB (nx12001), .D (nx11654), .CLK ( CLK)) ; xnor2 ix11655 (.Y (nx11654), .A0 (nx11997), .A1 (nx11652)) ; aoi22 ix11998 (.Y (nx11997), .A0 (nx11413), .A1 (PRI_IN_5[9]), .B0 (nx10530) , .B1 (nx10532)) ; xnor2 ix11653 (.Y (nx11652), .A0 (PRI_IN_5[10]), .A1 (reg_33_q_c_10_)) ; nor02 ix11633 (.Y (nx11632), .A0 (C_MUX2_4_SEL), .A1 (nx11749)) ; nor02 ix11589 (.Y (nx11588), .A0 (C_MUX2_10_SEL), .A1 (nx12009)) ; xor2 ix12010 (.Y (nx12009), .A0 (nx12011), .A1 (nx11582)) ; aoi22 ix12012 (.Y (nx12011), .A0 (nx9886), .A1 (reg_44_q_c_9_), .B0 (nx10460 ), .B1 (nx10462)) ; dff REG_26_reg_q_11_ (.Q (PRI_OUT_9[11]), .QB (\$dummy [348]), .D (nx12844) , .CLK (CLK)) ; xor2 ix12845 (.Y (nx12844), .A0 (nx11740), .A1 (nx12842)) ; mux21 ix11741 (.Y (nx11740), .A0 (reg_33_q_c_10_), .A1 (nx11476), .S0 ( nx11722)) ; dff REG_33_reg_q_11_ (.Q (\$dummy [349]), .QB (nx12445), .D (nx12686), .CLK ( CLK)) ; xor2 ix12687 (.Y (nx12686), .A0 (nx11748), .A1 (nx12684)) ; oai22 ix11749 (.Y (nx11748), .A0 (nx11483), .A1 (nx12029), .B0 (nx11935), .B1 ( nx11933)) ; xnor2 ix12685 (.Y (nx12684), .A0 (reg_17_q_c_11_), .A1 (nx12443)) ; dff REG_17_reg_q_11_ (.Q (reg_17_q_c_11_), .QB (\$dummy [350]), .D (nx12668) , .CLK (CLK)) ; xor2 ix12669 (.Y (nx12668), .A0 (nx11758), .A1 (nx12666)) ; mux21 ix11759 (.Y (nx11758), .A0 (nx14745), .A1 (nx11491), .S0 (nx11546)) ; xnor2 ix12667 (.Y (nx12666), .A0 (reg_29_q_c_11_), .A1 (nx6533)) ; dff REG_29_reg_q_11_ (.Q (reg_29_q_c_11_), .QB (\$dummy [351]), .D (nx12658) , .CLK (CLK)) ; xor2 ix12659 (.Y (nx12658), .A0 (nx12045), .A1 (nx12051)) ; mux21 ix12046 (.Y (nx12045), .A0 (nx10648), .A1 (nx12047), .S0 (nx11497)) ; xnor2 ix12052 (.Y (nx12051), .A0 (nx12053), .A1 (nx12094)) ; mux21 ix12054 (.Y (nx12053), .A0 (reg_20_q_c_11_), .A1 (nx14751), .S0 ( C_MUX2_16_SEL)) ; dff REG_20_reg_q_11_ (.Q (reg_20_q_c_11_), .QB (nx12440), .D (nx12640), .CLK ( CLK)) ; xor2 ix12641 (.Y (nx12640), .A0 (nx11778), .A1 (nx12638)) ; mux21 ix11779 (.Y (nx11778), .A0 (nx14745), .A1 (nx11504), .S0 (nx11518)) ; dff REG_28_reg_q_11_ (.Q (reg_28_q_c_11_), .QB (nx12439), .D (nx12630), .CLK ( CLK)) ; xor2 ix12631 (.Y (nx12630), .A0 (nx11788), .A1 (nx12628)) ; oai22 ix11789 (.Y (nx11788), .A0 (nx11509), .A1 (nx12068), .B0 (PRI_IN_2[10] ), .B1 (nx11854)) ; xor2 ix12621 (.Y (nx12620), .A0 (nx11798), .A1 (nx12618)) ; oai22 ix11799 (.Y (nx11798), .A0 (nx11516), .A1 (nx12075), .B0 (PRI_IN_3[10] ), .B1 (nx11927)) ; xnor2 ix12619 (.Y (nx12618), .A0 (PRI_IN_3[11]), .A1 (reg_34_q_c_11_)) ; dff REG_34_reg_q_11_ (.Q (reg_34_q_c_11_), .QB (\$dummy [352]), .D (nx12610) , .CLK (CLK)) ; xnor2 ix12611 (.Y (nx12610), .A0 (nx11806), .A1 (nx12081)) ; oai22 ix11807 (.Y (nx11806), .A0 (nx11525), .A1 (nx11528), .B0 (nx11829), .B1 ( nx11925)) ; xnor2 ix12082 (.Y (nx12081), .A0 (reg_18_q_c_11_), .A1 (reg_19_q_c_11_)) ; dff REG_18_reg_q_11_ (.Q (reg_18_q_c_11_), .QB (\$dummy [353]), .D (nx12600) , .CLK (CLK)) ; xor2 ix12601 (.Y (nx12600), .A0 (nx11816), .A1 (nx12598)) ; mux21 ix11817 (.Y (nx11816), .A0 (reg_7_q_c_10_), .A1 (nx11533), .S0 ( nx11478)) ; xnor2 ix12591 (.Y (nx12590), .A0 (nx11824), .A1 (nx12093)) ; oai22 ix11825 (.Y (nx11824), .A0 (nx11541), .A1 (nx11544), .B0 (nx11923), .B1 ( nx11933)) ; xnor2 ix12094 (.Y (nx12093), .A0 (nx14751), .A1 (reg_42_q_c_11_)) ; dff REG_42_reg_q_11_ (.Q (reg_42_q_c_11_), .QB (\$dummy [354]), .D (nx12580) , .CLK (CLK)) ; xor2 ix12581 (.Y (nx12580), .A0 (nx12099), .A1 (nx12105)) ; aoi22 ix12100 (.Y (nx12099), .A0 (nx11236), .A1 (reg_40_q_c_10_), .B0 ( nx10712), .B1 (nx11458)) ; dff REG_40_reg_q_11_ (.Q (reg_40_q_c_11_), .QB (nx12431), .D (nx12570), .CLK ( CLK)) ; xor2 ix12571 (.Y (nx12570), .A0 (nx11842), .A1 (nx12568)) ; mux21 ix11843 (.Y (nx11842), .A0 (reg_5_q_c_10_), .A1 (nx11557), .S0 ( nx11448)) ; dff REG_4_reg_q_11_ (.Q (PRI_OUT_3[11]), .QB (nx12429), .D (nx12560), .CLK ( CLK)) ; xor2 ix12561 (.Y (nx12560), .A0 (nx11852), .A1 (nx12558)) ; mux21 ix11853 (.Y (nx11852), .A0 (PRI_OUT_3[10]), .A1 (nx11565), .S0 ( nx11438)) ; dff REG_32_reg_q_11_ (.Q (reg_32_q_c_11_), .QB (\$dummy [355]), .D (nx12550) , .CLK (CLK)) ; xnor2 ix12551 (.Y (nx12550), .A0 (nx11860), .A1 (nx12125)) ; ao21 ix11861 (.Y (nx11860), .A0 (reg_43_q_c_10_), .A1 (PRI_OUT_8[10]), .B0 ( nx11858)) ; nor02 ix11859 (.Y (nx11858), .A0 (nx11571), .A1 (nx11574)) ; xnor2 ix12126 (.Y (nx12125), .A0 (PRI_OUT_8[11]), .A1 (reg_43_q_c_11_)) ; dff REG_24_reg_q_11_ (.Q (PRI_OUT_8[11]), .QB (\$dummy [356]), .D (nx12520) , .CLK (CLK)) ; xnor2 ix12521 (.Y (nx12520), .A0 (nx11868), .A1 (nx12133)) ; oai22 ix11869 (.Y (nx11868), .A0 (nx11581), .A1 (nx11585), .B0 (nx11907), .B1 ( nx11927)) ; xnor2 ix12134 (.Y (nx12133), .A0 (reg_34_q_c_11_), .A1 (reg_41_q_c_11_)) ; dff REG_41_reg_q_11_ (.Q (reg_41_q_c_11_), .QB (\$dummy [357]), .D (nx12510) , .CLK (CLK)) ; xnor2 ix12511 (.Y (nx12510), .A0 (nx11876), .A1 (nx12143)) ; mux21 ix11877 (.Y (nx11876), .A0 (nx11589), .A1 (nx12139), .S0 (nx11597)) ; xnor2 ix12144 (.Y (nx12143), .A0 (PRI_IN_8[11]), .A1 (nx6534)) ; ao21 ix12507 (.Y (nx6534), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_11_), .B0 ( nx12466)) ; dff REG_8_reg_q_11_ (.Q (reg_8_q_c_11_), .QB (\$dummy [358]), .D (nx12496), .CLK (CLK)) ; xnor2 ix12497 (.Y (nx12496), .A0 (nx12474), .A1 (nx12149)) ; mux21 ix12475 (.Y (nx12474), .A0 (nx11605), .A1 (nx11893), .S0 (nx11609)) ; dff REG_2_reg_q_11_ (.Q (PRI_OUT_1[11]), .QB (\$dummy [359]), .D (nx12486), .CLK (CLK)) ; xnor2 ix12487 (.Y (nx12486), .A0 (nx12482), .A1 (nx12157)) ; oai22 ix12483 (.Y (nx12482), .A0 (nx11615), .A1 (nx11619), .B0 (nx11887), .B1 ( nx11899)) ; dff REG_35_reg_q_11_ (.Q (reg_35_q_c_11_), .QB (nx12411), .D (nx12222), .CLK ( CLK)) ; xor2 ix12223 (.Y (nx12222), .A0 (nx12163), .A1 (nx12167)) ; aoi22 ix12164 (.Y (nx12163), .A0 (nx14747), .A1 (reg_37_q_c_10_), .B0 ( nx11090), .B1 (nx11100)) ; dff REG_37_reg_q_11_ (.Q (reg_37_q_c_11_), .QB (nx12406), .D (nx12410), .CLK ( CLK)) ; xnor2 ix12411 (.Y (nx12410), .A0 (nx11910), .A1 (nx12173)) ; xnor2 ix12174 (.Y (nx12173), .A0 (PRI_IN_6[11]), .A1 (reg_44_q_c_11_)) ; dff REG_44_reg_q_11_ (.Q (reg_44_q_c_11_), .QB (\$dummy [360]), .D (nx12400) , .CLK (CLK)) ; xor2 ix12401 (.Y (nx12400), .A0 (nx11920), .A1 (nx12398)) ; mux21 ix11921 (.Y (nx11920), .A0 (reg_27_q_c_10_), .A1 (nx11643), .S0 ( nx11278)) ; dff REG_10_reg_q_11_ (.Q (reg_10_q_c_11_), .QB (\$dummy [361]), .D (nx12390) , .CLK (CLK)) ; xnor2 ix12391 (.Y (nx12390), .A0 (nx11928), .A1 (nx12189)) ; oai22 ix11929 (.Y (nx11928), .A0 (nx11651), .A1 (nx11655), .B0 (nx11888), .B1 ( nx11854)) ; dff REG_11_reg_q_11_ (.Q (reg_11_q_c_11_), .QB (\$dummy [362]), .D (nx12380) , .CLK (CLK)) ; xor2 ix12381 (.Y (nx12380), .A0 (nx12195), .A1 (nx12201)) ; aoi22 ix12196 (.Y (nx12195), .A0 (nx6517), .A1 (reg_45_q_c_10_), .B0 ( nx10816), .B1 (nx11258)) ; dff REG_45_reg_q_11_ (.Q (reg_45_q_c_11_), .QB (nx12402), .D (nx12370), .CLK ( CLK)) ; xnor2 ix12371 (.Y (nx12370), .A0 (nx11944), .A1 (nx12209)) ; oai22 ix11945 (.Y (nx11944), .A0 (nx11668), .A1 (nx11671), .B0 (nx11885), .B1 ( nx11865)) ; dff REG_27_reg_q_11_ (.Q (reg_27_q_c_11_), .QB (nx12385), .D (nx12312), .CLK ( CLK)) ; xor2 ix12313 (.Y (nx12312), .A0 (nx11954), .A1 (nx12310)) ; dff REG_13_reg_q_11_ (.Q (reg_13_q_c_11_), .QB (nx12247), .D (nx12448), .CLK ( CLK)) ; xor2 ix12449 (.Y (nx12448), .A0 (nx11886), .A1 (nx12446)) ; mux21 ix11887 (.Y (nx11886), .A0 (reg_35_q_c_10_), .A1 (nx11685), .S0 ( nx11326)) ; dff REG_1_reg_q_11_ (.Q (PRI_OUT_0[11]), .QB (\$dummy [363]), .D (nx12438), .CLK (CLK)) ; xor2 ix12439 (.Y (nx12438), .A0 (nx11894), .A1 (nx12436)) ; oai22 ix11895 (.Y (nx11894), .A0 (nx11691), .A1 (nx12229), .B0 (nx11697), .B1 ( nx11907)) ; xnor2 ix12437 (.Y (nx12436), .A0 (reg_41_q_c_11_), .A1 (nx12233)) ; mux21 ix12234 (.Y (nx12233), .A0 (reg_25_q_c_11_), .A1 (PRI_OUT_8[11]), .S0 ( C_MUX2_8_SEL)) ; dff REG_25_reg_q_11_ (.Q (reg_25_q_c_11_), .QB (\$dummy [364]), .D (nx12420) , .CLK (CLK)) ; xor2 ix12421 (.Y (nx12420), .A0 (nx12239), .A1 (nx12242)) ; aoi22 ix12240 (.Y (nx12239), .A0 (nx14749), .A1 (reg_37_q_c_10_), .B0 ( nx10782), .B1 (nx11298)) ; dff REG_31_reg_q_11_ (.Q (reg_31_q_c_11_), .QB (nx12384), .D (nx12302), .CLK ( CLK)) ; xor2 ix12303 (.Y (nx12302), .A0 (nx11964), .A1 (nx12300)) ; mux21 ix11965 (.Y (nx11964), .A0 (nx11170), .A1 (nx11713), .S0 (nx11180)) ; xnor2 ix12301 (.Y (nx12300), .A0 (nx12257), .A1 (nx12382)) ; mux21 ix12258 (.Y (nx12257), .A0 (reg_38_q_c_11_), .A1 (reg_37_q_c_11_), .S0 ( C_MUX2_3_SEL)) ; dff REG_38_reg_q_11_ (.Q (reg_38_q_c_11_), .QB (\$dummy [365]), .D (nx12276) , .CLK (CLK)) ; xnor2 ix12277 (.Y (nx12276), .A0 (nx12263), .A1 (nx12274)) ; mux21 ix12264 (.Y (nx12263), .A0 (nx11857), .A1 (nx10854), .S0 (nx11154)) ; xnor2 ix12275 (.Y (nx12274), .A0 (reg_22_q_c_11_), .A1 (nx12120)) ; dff REG_22_reg_q_11_ (.Q (reg_22_q_c_11_), .QB (\$dummy [366]), .D (nx12266) , .CLK (CLK)) ; xor2 ix12267 (.Y (nx12266), .A0 (nx12270), .A1 (nx12273)) ; aoi22 ix12271 (.Y (nx12270), .A0 (nx11732), .A1 (reg_28_q_c_10_), .B0 ( nx10864), .B1 (nx11144)) ; mux21 ix12276 (.Y (nx12275), .A0 (reg_10_q_c_11_), .A1 (nx6531), .S0 ( C_MUX2_14_SEL)) ; mux21 ix12259 (.Y (nx6531), .A0 (nx12279), .A1 (nx12377), .S0 (C_MUX2_21_SEL )) ; mux21 ix12280 (.Y (nx12279), .A0 (PRI_OUT_7[11]), .A1 (nx14751), .S0 ( C_MUX2_17_SEL)) ; dff REG_6_reg_q_11_ (.Q (PRI_OUT_7[11]), .QB (\$dummy [367]), .D (nx12236), .CLK (CLK)) ; xnor2 ix12237 (.Y (nx12236), .A0 (nx12283), .A1 (nx12234)) ; mux21 ix12284 (.Y (nx12283), .A0 (nx11112), .A1 (nx10876), .S0 (nx11114)) ; xnor2 ix12235 (.Y (nx12234), .A0 (nx12178), .A1 (nx12366)) ; mux21 ix12179 (.Y (nx12178), .A0 (nx12279), .A1 (nx12291), .S0 ( C_MUX2_15_SEL)) ; dff REG_12_reg_q_11_ (.Q (reg_12_q_c_11_), .QB (\$dummy [368]), .D (nx12140) , .CLK (CLK)) ; xnor2 ix12141 (.Y (nx12140), .A0 (nx12008), .A1 (nx12297)) ; oai22 ix12009 (.Y (nx12008), .A0 (nx11755), .A1 (nx11759), .B0 (nx11829), .B1 ( nx11831)) ; xnor2 ix12298 (.Y (nx12297), .A0 (nx15913), .A1 (reg_19_q_c_11_)) ; dff REG_19_reg_q_11_ (.Q (reg_19_q_c_11_), .QB (\$dummy [369]), .D (nx12130) , .CLK (CLK)) ; xor2 ix12131 (.Y (nx12130), .A0 (nx12303), .A1 (nx12311)) ; mux21 ix12314 (.Y (nx12313), .A0 (nx12120), .A1 (nx12102), .S0 (C_MUX2_1_SEL )) ; mux21 ix12121 (.Y (nx12120), .A0 (nx12316), .A1 (nx12318), .S0 (C_MUX2_6_SEL )) ; dff REG_7_reg_q_11_ (.Q (\$dummy [370]), .QB (nx12316), .D (nx12590), .CLK ( CLK)) ; mux21 ix12319 (.Y (nx12318), .A0 (nx14751), .A1 (reg_5_q_c_11_), .S0 ( C_MUX2_18_SEL)) ; ao21 ix12103 (.Y (nx12102), .A0 (C_MUX2_9_SEL), .A1 (nx12094), .B0 (nx12100) ) ; ao21 ix12095 (.Y (nx12094), .A0 (C_MUX2_22_SEL), .A1 (reg_14_q_c_11_), .B0 ( nx12030)) ; dff REG_14_reg_q_11_ (.Q (reg_14_q_c_11_), .QB (\$dummy [371]), .D (nx12084) , .CLK (CLK)) ; xnor2 ix12085 (.Y (nx12084), .A0 (nx12325), .A1 (nx12082)) ; aoi22 ix12326 (.Y (nx12325), .A0 (nx12327), .A1 (PRI_OUT_6[10]), .B0 ( nx10920), .B1 (nx10962)) ; inv02 ix12328 (.Y (nx12327), .A (PRI_IN_7[10])) ; xnor2 ix12083 (.Y (nx12082), .A0 (PRI_IN_7[11]), .A1 (PRI_OUT_6[11])) ; dff REG_23_reg_q_11_ (.Q (PRI_OUT_6[11]), .QB (\$dummy [372]), .D (nx12074) , .CLK (CLK)) ; xnor2 ix12075 (.Y (nx12074), .A0 (nx12050), .A1 (nx12337)) ; xnor2 ix12338 (.Y (nx12337), .A0 (reg_30_q_c_11_), .A1 (nx12291)) ; dff REG_30_reg_q_11_ (.Q (reg_30_q_c_11_), .QB (\$dummy [373]), .D (nx12064) , .CLK (CLK)) ; xor2 ix12065 (.Y (nx12064), .A0 (nx12060), .A1 (nx12062)) ; oai22 ix12061 (.Y (nx12060), .A0 (nx11813), .A1 (nx12345), .B0 (PRI_IN_0[10] ), .B1 (nx11831)) ; xnor2 ix12063 (.Y (nx12062), .A0 (PRI_IN_0[11]), .A1 (nx15913)) ; nor02 ix12031 (.Y (nx12030), .A0 (C_MUX2_22_SEL), .A1 (nx12353)) ; mux21 ix12354 (.Y (nx12353), .A0 (reg_19_q_c_11_), .A1 (nx14751), .S0 ( C_MUX2_20_SEL)) ; nor02 ix12101 (.Y (nx12100), .A0 (C_MUX2_9_SEL), .A1 (nx12053)) ; dff REG_16_reg_q_11_ (.Q (reg_16_q_c_11_), .QB (nx12365), .D (nx12164), .CLK ( CLK)) ; xor2 ix12165 (.Y (nx12164), .A0 (nx12160), .A1 (nx12162)) ; mux21 ix12161 (.Y (nx12160), .A0 (reg_20_q_c_10_), .A1 (nx11835), .S0 ( nx11042)) ; mux21 ix12367 (.Y (nx12366), .A0 (reg_36_q_c_11_), .A1 (reg_35_q_c_11_), .S0 ( C_MUX2_11_SEL)) ; dff REG_36_reg_q_11_ (.Q (reg_36_q_c_11_), .QB (\$dummy [374]), .D (nx12192) , .CLK (CLK)) ; xnor2 ix12193 (.Y (nx12192), .A0 (nx12188), .A1 (nx12373)) ; mux21 ix12189 (.Y (nx12188), .A0 (nx11847), .A1 (reg_16_q_c_10_), .S0 ( nx11849)) ; dff REG_5_reg_q_11_ (.Q (reg_5_q_c_11_), .QB (nx12377), .D (nx12620), .CLK ( CLK)) ; mux21 ix12383 (.Y (nx12382), .A0 (reg_19_q_c_11_), .A1 (reg_11_q_c_11_), .S0 ( C_MUX2_7_SEL)) ; dff REG_47_reg_q_11_ (.Q (reg_47_q_c_11_), .QB (\$dummy [375]), .D (nx12360) , .CLK (CLK)) ; xor2 ix12361 (.Y (nx12360), .A0 (nx12389), .A1 (nx12391)) ; mux21 ix12390 (.Y (nx12389), .A0 (nx11208), .A1 (nx14783), .S0 (nx11871)) ; xnor2 ix12392 (.Y (nx12391), .A0 (nx12313), .A1 (nx12356)) ; ao21 ix12357 (.Y (nx12356), .A0 (C_MUX2_12_SEL), .A1 (reg_15_q_c_11_), .B0 ( nx12332)) ; dff REG_15_reg_q_11_ (.Q (reg_15_q_c_11_), .QB (\$dummy [376]), .D (nx12346) , .CLK (CLK)) ; xor2 ix12347 (.Y (nx12346), .A0 (nx12342), .A1 (nx12344)) ; mux21 ix12343 (.Y (nx12342), .A0 (nx6523), .A1 (nx11879), .S0 (nx11224)) ; xnor2 ix12345 (.Y (nx12344), .A0 (PRI_IN_1[11]), .A1 (nx6534)) ; nor02 ix12333 (.Y (nx12332), .A0 (C_MUX2_12_SEL), .A1 (nx12318)) ; ao21 ix12219 (.Y (nx6533), .A0 (C_MUX2_24_SEL), .A1 (reg_27_q_c_11_), .B0 ( nx12214)) ; nor02 ix12215 (.Y (nx12214), .A0 (C_MUX2_24_SEL), .A1 (nx12409)) ; nor02 ix12467 (.Y (nx12466), .A0 (C_MUX2_13_SEL), .A1 (nx12415)) ; mux21 ix12416 (.Y (nx12415), .A0 (reg_13_q_c_11_), .A1 (reg_11_q_c_11_), .S0 ( C_MUX2_5_SEL)) ; dff REG_43_reg_q_11_ (.Q (reg_43_q_c_11_), .QB (\$dummy [377]), .D (nx12540) , .CLK (CLK)) ; xor2 ix12541 (.Y (nx12540), .A0 (nx12536), .A1 (nx12538)) ; oai22 ix12537 (.Y (nx12536), .A0 (nx11913), .A1 (nx12424), .B0 (nx11236), .B1 ( nx11927)) ; xnor2 ix12539 (.Y (nx12538), .A0 (reg_34_q_c_11_), .A1 (nx12356)) ; mux21 ix12444 (.Y (nx12443), .A0 (reg_18_q_c_11_), .A1 (nx12178), .S0 ( C_MUX2_19_SEL)) ; dff REG_39_reg_q_11_ (.Q (reg_39_q_c_11_), .QB (\$dummy [378]), .D (nx12834) , .CLK (CLK)) ; mux21 ix12835 (.Y (nx12834), .A0 (nx12449), .A1 (nx12455), .S0 ( C_MUX2_10_SEL)) ; xnor2 ix12450 (.Y (nx12449), .A0 (nx12700), .A1 (nx12702)) ; oai22 ix12701 (.Y (nx12700), .A0 (nx12011), .A1 (nx12452), .B0 (nx14785), .B1 ( nx11891)) ; xnor2 ix12703 (.Y (nx12702), .A0 (reg_44_q_c_11_), .A1 (nx12313)) ; xnor2 ix12456 (.Y (nx12455), .A0 (nx12716), .A1 (nx12828)) ; mux21 ix12717 (.Y (nx12716), .A0 (nx11951), .A1 (nx11943), .S0 (nx11708)) ; xnor2 ix12829 (.Y (nx12828), .A0 (PRI_IN_4[11]), .A1 (nx12459)) ; mux21 ix12460 (.Y (nx12459), .A0 (PRI_OUT_5[11]), .A1 (reg_22_q_c_11_), .S0 ( C_MUX2_25_SEL)) ; dff REG_21_reg_q_11_ (.Q (PRI_OUT_5[11]), .QB (\$dummy [379]), .D (nx12812) , .CLK (CLK)) ; xnor2 ix12813 (.Y (nx12812), .A0 (nx12465), .A1 (nx12810)) ; aoi22 ix12466 (.Y (nx12465), .A0 (nx11170), .A1 (reg_48_q_c_10_), .B0 ( nx11604), .B1 (nx11690)) ; xnor2 ix12811 (.Y (nx12810), .A0 (reg_48_q_c_11_), .A1 (nx12257)) ; dff REG_48_reg_q_11_ (.Q (reg_48_q_c_11_), .QB (\$dummy [380]), .D (nx12802) , .CLK (CLK)) ; ao21 ix12803 (.Y (nx12802), .A0 (C_MUX2_23_SEL), .A1 (nx12798), .B0 (nx12740 )) ; xnor2 ix12799 (.Y (nx12798), .A0 (nx12748), .A1 (nx12479)) ; xnor2 ix12480 (.Y (nx12479), .A0 (reg_36_q_c_11_), .A1 (nx12794)) ; ao21 ix12795 (.Y (nx12794), .A0 (C_MUX2_4_SEL), .A1 (PRI_OUT_4[11]), .B0 ( nx12752)) ; dff REG_9_reg_q_11_ (.Q (PRI_OUT_4[11]), .QB (\$dummy [381]), .D (nx12784), .CLK (CLK)) ; xnor2 ix12785 (.Y (nx12784), .A0 (nx12760), .A1 (nx12489)) ; oai22 ix12761 (.Y (nx12760), .A0 (nx11987), .A1 (nx11991), .B0 (nx12001), .B1 ( nx11857)) ; xnor2 ix12490 (.Y (nx12489), .A0 (reg_22_q_c_11_), .A1 (reg_46_q_c_11_)) ; dff REG_46_reg_q_11_ (.Q (reg_46_q_c_11_), .QB (\$dummy [382]), .D (nx12774) , .CLK (CLK)) ; xor2 ix12775 (.Y (nx12774), .A0 (nx12770), .A1 (nx12772)) ; mux21 ix12771 (.Y (nx12770), .A0 (reg_33_q_c_10_), .A1 (nx11997), .S0 ( nx11652)) ; nor02 ix12753 (.Y (nx12752), .A0 (C_MUX2_4_SEL), .A1 (nx12291)) ; nor02 ix12741 (.Y (nx12740), .A0 (C_MUX2_23_SEL), .A1 (nx12500)) ; xor2 ix12501 (.Y (nx12500), .A0 (nx12732), .A1 (nx12503)) ; oai22 ix12733 (.Y (nx12732), .A0 (nx11967), .A1 (nx11971), .B0 (nx11922), .B1 ( nx11709)) ; dff REG_26_reg_q_12_ (.Q (PRI_OUT_9[12]), .QB (\$dummy [383]), .D (nx13964) , .CLK (CLK)) ; xnor2 ix13965 (.Y (nx13964), .A0 (nx12513), .A1 (nx13962)) ; aoi22 ix12514 (.Y (nx12513), .A0 (nx12445), .A1 (reg_39_q_c_11_), .B0 ( nx11740), .B1 (nx12842)) ; xnor2 ix13963 (.Y (nx13962), .A0 (reg_33_q_c_12_), .A1 (reg_39_q_c_12_)) ; dff REG_33_reg_q_12_ (.Q (reg_33_q_c_12_), .QB (\$dummy [384]), .D (nx13806) , .CLK (CLK)) ; xnor2 ix13807 (.Y (nx13806), .A0 (nx12519), .A1 (nx13804)) ; aoi22 ix12520 (.Y (nx12519), .A0 (nx12682), .A1 (reg_17_q_c_11_), .B0 ( nx11748), .B1 (nx12684)) ; dff REG_17_reg_q_12_ (.Q (reg_17_q_c_12_), .QB (nx12939), .D (nx13788), .CLK ( CLK)) ; xnor2 ix13789 (.Y (nx13788), .A0 (nx12527), .A1 (nx13786)) ; aoi22 ix12528 (.Y (nx12527), .A0 (nx12409), .A1 (reg_29_q_c_11_), .B0 ( nx11758), .B1 (nx12666)) ; dff REG_29_reg_q_12_ (.Q (reg_29_q_c_12_), .QB (\$dummy [385]), .D (nx13778) , .CLK (CLK)) ; xnor2 ix13779 (.Y (nx13778), .A0 (nx12888), .A1 (nx12537)) ; mux21 ix12889 (.Y (nx12888), .A0 (nx12045), .A1 (nx12094), .S0 (nx12051)) ; xnor2 ix12538 (.Y (nx12537), .A0 (nx12539), .A1 (nx13214)) ; mux21 ix12540 (.Y (nx12539), .A0 (reg_20_q_c_12_), .A1 (reg_17_q_c_12_), .S0 ( C_MUX2_16_SEL)) ; dff REG_20_reg_q_12_ (.Q (reg_20_q_c_12_), .QB (\$dummy [386]), .D (nx13760) , .CLK (CLK)) ; xnor2 ix13761 (.Y (nx13760), .A0 (nx12544), .A1 (nx13758)) ; aoi22 ix12545 (.Y (nx12544), .A0 (nx12409), .A1 (reg_28_q_c_11_), .B0 ( nx11778), .B1 (nx12638)) ; dff REG_28_reg_q_12_ (.Q (reg_28_q_c_12_), .QB (\$dummy [387]), .D (nx13750) , .CLK (CLK)) ; xnor2 ix13751 (.Y (nx13750), .A0 (nx12549), .A1 (nx13748)) ; aoi22 ix12550 (.Y (nx12549), .A0 (nx12551), .A1 (reg_5_q_c_11_), .B0 ( nx11788), .B1 (nx12628)) ; inv02 ix12552 (.Y (nx12551), .A (PRI_IN_2[11])) ; xnor2 ix13741 (.Y (nx13740), .A0 (nx12556), .A1 (nx13738)) ; aoi22 ix12557 (.Y (nx12556), .A0 (nx12559), .A1 (reg_34_q_c_11_), .B0 ( nx11798), .B1 (nx12618)) ; inv02 ix12560 (.Y (nx12559), .A (PRI_IN_3[11])) ; dff REG_34_reg_q_12_ (.Q (\$dummy [388]), .QB (nx12933), .D (nx13730), .CLK ( CLK)) ; xor2 ix13731 (.Y (nx13730), .A0 (nx12565), .A1 (nx12569)) ; aoi22 ix12566 (.Y (nx12565), .A0 (reg_19_q_c_11_), .A1 (reg_18_q_c_11_), .B0 ( nx11806), .B1 (nx12608)) ; dff REG_18_reg_q_12_ (.Q (reg_18_q_c_12_), .QB (nx12931), .D (nx13720), .CLK ( CLK)) ; xnor2 ix13721 (.Y (nx13720), .A0 (nx12574), .A1 (nx13718)) ; aoi22 ix12575 (.Y (nx12574), .A0 (nx12316), .A1 (PRI_IN_9[11]), .B0 (nx11816 ), .B1 (nx12598)) ; xor2 ix13711 (.Y (nx13710), .A0 (nx12579), .A1 (nx12583)) ; aoi22 ix12580 (.Y (nx12579), .A0 (reg_42_q_c_11_), .A1 (reg_17_q_c_11_), .B0 ( nx11824), .B1 (nx12588)) ; dff REG_42_reg_q_12_ (.Q (\$dummy [389]), .QB (nx12930), .D (nx13700), .CLK ( CLK)) ; xnor2 ix13701 (.Y (nx13700), .A0 (nx12952), .A1 (nx12591)) ; oai22 ix12953 (.Y (nx12952), .A0 (nx12099), .A1 (nx12105), .B0 (nx12589), .B1 ( nx12431)) ; dff REG_40_reg_q_12_ (.Q (reg_40_q_c_12_), .QB (nx12929), .D (nx13690), .CLK ( CLK)) ; xnor2 ix13691 (.Y (nx13690), .A0 (nx12595), .A1 (nx13688)) ; aoi22 ix12596 (.Y (nx12595), .A0 (nx12377), .A1 (PRI_OUT_3[11]), .B0 ( nx11842), .B1 (nx12568)) ; dff REG_4_reg_q_12_ (.Q (PRI_OUT_3[12]), .QB (\$dummy [390]), .D (nx13680), .CLK (CLK)) ; xnor2 ix13681 (.Y (nx13680), .A0 (nx12601), .A1 (nx13678)) ; aoi22 ix12602 (.Y (nx12601), .A0 (nx12429), .A1 (reg_32_q_c_11_), .B0 ( nx11852), .B1 (nx12558)) ; xnor2 ix13679 (.Y (nx13678), .A0 (PRI_OUT_3[12]), .A1 (reg_32_q_c_12_)) ; dff REG_32_reg_q_12_ (.Q (reg_32_q_c_12_), .QB (\$dummy [391]), .D (nx13670) , .CLK (CLK)) ; xor2 ix13671 (.Y (nx13670), .A0 (nx12606), .A1 (nx12609)) ; aoi22 ix12607 (.Y (nx12606), .A0 (reg_43_q_c_11_), .A1 (PRI_OUT_8[11]), .B0 ( nx11860), .B1 (nx12548)) ; xnor2 ix12610 (.Y (nx12609), .A0 (PRI_OUT_8[12]), .A1 (reg_43_q_c_12_)) ; dff REG_24_reg_q_12_ (.Q (PRI_OUT_8[12]), .QB (\$dummy [392]), .D (nx13640) , .CLK (CLK)) ; xor2 ix13641 (.Y (nx13640), .A0 (nx12614), .A1 (nx12617)) ; aoi22 ix12615 (.Y (nx12614), .A0 (reg_41_q_c_11_), .A1 (reg_34_q_c_11_), .B0 ( nx11868), .B1 (nx12518)) ; dff REG_41_reg_q_12_ (.Q (\$dummy [393]), .QB (nx12915), .D (nx13630), .CLK ( CLK)) ; xor2 ix13631 (.Y (nx13630), .A0 (nx12623), .A1 (nx12629)) ; aoi22 ix12624 (.Y (nx12623), .A0 (nx6534), .A1 (PRI_IN_8[11]), .B0 (nx11876) , .B1 (nx12508)) ; xnor2 ix12630 (.Y (nx12629), .A0 (PRI_IN_8[12]), .A1 (nx14757)) ; ao21 ix13627 (.Y (nx6543), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_12_), .B0 ( nx13586)) ; dff REG_8_reg_q_12_ (.Q (reg_8_q_c_12_), .QB (\$dummy [394]), .D (nx13616), .CLK (CLK)) ; xor2 ix13617 (.Y (nx13616), .A0 (nx12635), .A1 (nx12639)) ; aoi22 ix12636 (.Y (nx12635), .A0 (reg_37_q_c_11_), .A1 (PRI_OUT_1[11]), .B0 ( nx12474), .B1 (nx12494)) ; dff REG_2_reg_q_12_ (.Q (PRI_OUT_1[12]), .QB (\$dummy [395]), .D (nx13606), .CLK (CLK)) ; xor2 ix13607 (.Y (nx13606), .A0 (nx12644), .A1 (nx12647)) ; aoi22 ix12645 (.Y (nx12644), .A0 (reg_45_q_c_11_), .A1 (reg_35_q_c_11_), .B0 ( nx12482), .B1 (nx12484)) ; dff REG_35_reg_q_12_ (.Q (reg_35_q_c_12_), .QB (nx12909), .D (nx13342), .CLK ( CLK)) ; xnor2 ix13343 (.Y (nx13342), .A0 (nx13330), .A1 (nx12653)) ; oai22 ix13331 (.Y (nx13330), .A0 (nx12163), .A1 (nx12167), .B0 (nx12409), .B1 ( nx12406)) ; dff REG_37_reg_q_12_ (.Q (reg_37_q_c_12_), .QB (nx12902), .D (nx13530), .CLK ( CLK)) ; xor2 ix13531 (.Y (nx13530), .A0 (nx12659), .A1 (nx12662)) ; dff REG_44_reg_q_12_ (.Q (reg_44_q_c_12_), .QB (nx12901), .D (nx13520), .CLK ( CLK)) ; xnor2 ix13521 (.Y (nx13520), .A0 (nx12667), .A1 (nx13518)) ; aoi22 ix12668 (.Y (nx12667), .A0 (nx12385), .A1 (reg_10_q_c_11_), .B0 ( nx11920), .B1 (nx12398)) ; dff REG_10_reg_q_12_ (.Q (reg_10_q_c_12_), .QB (\$dummy [396]), .D (nx13510) , .CLK (CLK)) ; xor2 ix13511 (.Y (nx13510), .A0 (nx12673), .A1 (nx12676)) ; aoi22 ix12674 (.Y (nx12673), .A0 (reg_11_q_c_11_), .A1 (reg_5_q_c_11_), .B0 ( nx11928), .B1 (nx12388)) ; dff REG_11_reg_q_12_ (.Q (reg_11_q_c_12_), .QB (nx12899), .D (nx13500), .CLK ( CLK)) ; xnor2 ix13501 (.Y (nx13500), .A0 (nx13056), .A1 (nx12687)) ; oai22 ix13057 (.Y (nx13056), .A0 (nx12195), .A1 (nx12201), .B0 (nx12683), .B1 ( nx12402)) ; dff REG_45_reg_q_12_ (.Q (reg_45_q_c_12_), .QB (nx12897), .D (nx13490), .CLK ( CLK)) ; xor2 ix13491 (.Y (nx13490), .A0 (nx12691), .A1 (nx12694)) ; aoi22 ix12692 (.Y (nx12691), .A0 (reg_47_q_c_11_), .A1 (reg_27_q_c_11_), .B0 ( nx11944), .B1 (nx12368)) ; dff REG_27_reg_q_12_ (.Q (reg_27_q_c_12_), .QB (nx12875), .D (nx13432), .CLK ( CLK)) ; xnor2 ix13433 (.Y (nx13432), .A0 (nx12701), .A1 (nx13430)) ; aoi22 ix12702 (.Y (nx12701), .A0 (nx12247), .A1 (reg_31_q_c_11_), .B0 ( nx11954), .B1 (nx12310)) ; dff REG_13_reg_q_12_ (.Q (reg_13_q_c_12_), .QB (nx12738), .D (nx13568), .CLK ( CLK)) ; xnor2 ix13569 (.Y (nx13568), .A0 (nx12709), .A1 (nx13566)) ; aoi22 ix12710 (.Y (nx12709), .A0 (nx12411), .A1 (PRI_OUT_0[11]), .B0 ( nx11886), .B1 (nx12446)) ; dff REG_1_reg_q_12_ (.Q (PRI_OUT_0[12]), .QB (\$dummy [397]), .D (nx13558), .CLK (CLK)) ; xnor2 ix13559 (.Y (nx13558), .A0 (nx12717), .A1 (nx13556)) ; aoi22 ix12718 (.Y (nx12717), .A0 (nx12434), .A1 (reg_41_q_c_11_), .B0 ( nx11894), .B1 (nx12436)) ; mux21 ix12726 (.Y (nx12725), .A0 (reg_25_q_c_12_), .A1 (PRI_OUT_8[12]), .S0 ( C_MUX2_8_SEL)) ; dff REG_25_reg_q_12_ (.Q (reg_25_q_c_12_), .QB (\$dummy [398]), .D (nx13540) , .CLK (CLK)) ; xnor2 ix13541 (.Y (nx13540), .A0 (nx13022), .A1 (nx12733)) ; oai22 ix13023 (.Y (nx13022), .A0 (nx12239), .A1 (nx12242), .B0 (nx12626), .B1 ( nx12406)) ; dff REG_31_reg_q_12_ (.Q (reg_31_q_c_12_), .QB (nx12873), .D (nx13422), .CLK ( CLK)) ; xnor2 ix13423 (.Y (nx13422), .A0 (nx12741), .A1 (nx13420)) ; mux21 ix12742 (.Y (nx12741), .A0 (nx12257), .A1 (nx11964), .S0 (nx12300)) ; xnor2 ix13421 (.Y (nx13420), .A0 (nx12745), .A1 (nx12871)) ; mux21 ix12746 (.Y (nx12745), .A0 (reg_38_q_c_12_), .A1 (reg_37_q_c_12_), .S0 ( C_MUX2_3_SEL)) ; dff REG_38_reg_q_12_ (.Q (reg_38_q_c_12_), .QB (\$dummy [399]), .D (nx13396) , .CLK (CLK)) ; xor2 ix13397 (.Y (nx13396), .A0 (nx13094), .A1 (nx13394)) ; mux21 ix13095 (.Y (nx13094), .A0 (reg_22_q_c_11_), .A1 (nx12263), .S0 ( nx12274)) ; dff REG_22_reg_q_12_ (.Q (reg_22_q_c_12_), .QB (nx12869), .D (nx13386), .CLK ( CLK)) ; xnor2 ix13387 (.Y (nx13386), .A0 (nx13104), .A1 (nx12763)) ; oai22 ix13105 (.Y (nx13104), .A0 (nx12270), .A1 (nx12273), .B0 (nx6532), .B1 ( nx12439)) ; xnor2 ix12764 (.Y (nx12763), .A0 (reg_28_q_c_12_), .A1 (nx12765)) ; mux21 ix12766 (.Y (nx12765), .A0 (reg_10_q_c_12_), .A1 (nx6539), .S0 ( C_MUX2_14_SEL)) ; mux21 ix13379 (.Y (nx6539), .A0 (nx12769), .A1 (nx12867), .S0 (C_MUX2_21_SEL )) ; mux21 ix12770 (.Y (nx12769), .A0 (PRI_OUT_7[12]), .A1 (reg_17_q_c_12_), .S0 ( C_MUX2_17_SEL)) ; dff REG_6_reg_q_12_ (.Q (PRI_OUT_7[12]), .QB (\$dummy [400]), .D (nx13356), .CLK (CLK)) ; xor2 ix13357 (.Y (nx13356), .A0 (nx13116), .A1 (nx13354)) ; mux21 ix13117 (.Y (nx13116), .A0 (nx12366), .A1 (nx12283), .S0 (nx12234)) ; xnor2 ix13355 (.Y (nx13354), .A0 (nx13298), .A1 (nx12852)) ; mux21 ix13299 (.Y (nx13298), .A0 (nx12769), .A1 (nx15558), .S0 ( C_MUX2_15_SEL)) ; dff REG_12_reg_q_12_ (.Q (reg_12_q_c_12_), .QB (nx12845), .D (nx13260), .CLK ( CLK)) ; xor2 ix13261 (.Y (nx13260), .A0 (nx12783), .A1 (nx12787)) ; aoi22 ix12784 (.Y (nx12783), .A0 (reg_19_q_c_11_), .A1 (nx15913), .B0 ( nx12008), .B1 (nx12138)) ; dff REG_19_reg_q_12_ (.Q (reg_19_q_c_12_), .QB (nx12843), .D (nx13250), .CLK ( CLK)) ; xnor2 ix13251 (.Y (nx13250), .A0 (nx13138), .A1 (nx12797)) ; mux21 ix12800 (.Y (nx12799), .A0 (nx13240), .A1 (nx13222), .S0 (C_MUX2_1_SEL )) ; mux21 ix13241 (.Y (nx13240), .A0 (nx12803), .A1 (nx12805), .S0 (C_MUX2_6_SEL )) ; dff REG_7_reg_q_12_ (.Q (reg_7_q_c_12_), .QB (nx12803), .D (nx13710), .CLK ( CLK)) ; mux21 ix12806 (.Y (nx12805), .A0 (reg_17_q_c_12_), .A1 (reg_5_q_c_12_), .S0 ( C_MUX2_18_SEL)) ; ao21 ix13223 (.Y (nx13222), .A0 (C_MUX2_9_SEL), .A1 (nx13214), .B0 (nx13220) ) ; ao21 ix13215 (.Y (nx13214), .A0 (C_MUX2_22_SEL), .A1 (reg_14_q_c_12_), .B0 ( nx13150)) ; dff REG_14_reg_q_12_ (.Q (reg_14_q_c_12_), .QB (\$dummy [401]), .D (nx13204) , .CLK (CLK)) ; xor2 ix13205 (.Y (nx13204), .A0 (nx13160), .A1 (nx13202)) ; mux21 ix13161 (.Y (nx13160), .A0 (PRI_IN_7[11]), .A1 (nx12325), .S0 (nx12082 )) ; xnor2 ix13203 (.Y (nx13202), .A0 (PRI_IN_7[12]), .A1 (PRI_OUT_6[12])) ; dff REG_23_reg_q_12_ (.Q (PRI_OUT_6[12]), .QB (\$dummy [402]), .D (nx13194) , .CLK (CLK)) ; xor2 ix13195 (.Y (nx13194), .A0 (nx12817), .A1 (nx12823)) ; dff REG_30_reg_q_12_ (.Q (reg_30_q_c_12_), .QB (\$dummy [403]), .D (nx13184) , .CLK (CLK)) ; xnor2 ix13185 (.Y (nx13184), .A0 (nx12829), .A1 (nx13182)) ; aoi22 ix12830 (.Y (nx12829), .A0 (nx12831), .A1 (nx15913), .B0 (nx12060), .B1 ( nx12062)) ; inv02 ix12832 (.Y (nx12831), .A (PRI_IN_0[11])) ; nor02 ix13151 (.Y (nx13150), .A0 (C_MUX2_22_SEL), .A1 (nx12839)) ; mux21 ix12840 (.Y (nx12839), .A0 (reg_19_q_c_12_), .A1 (reg_17_q_c_12_), .S0 ( C_MUX2_20_SEL)) ; nor02 ix13221 (.Y (nx13220), .A0 (C_MUX2_9_SEL), .A1 (nx12539)) ; dff REG_16_reg_q_12_ (.Q (reg_16_q_c_12_), .QB (\$dummy [404]), .D (nx13284) , .CLK (CLK)) ; xnor2 ix13285 (.Y (nx13284), .A0 (nx12848), .A1 (nx13282)) ; mux21 ix12849 (.Y (nx12848), .A0 (nx12440), .A1 (nx12160), .S0 (nx12162)) ; xnor2 ix13283 (.Y (nx13282), .A0 (reg_20_q_c_12_), .A1 (nx6539)) ; mux21 ix12854 (.Y (nx12852), .A0 (reg_36_q_c_12_), .A1 (reg_35_q_c_12_), .S0 ( C_MUX2_11_SEL)) ; dff REG_36_reg_q_12_ (.Q (reg_36_q_c_12_), .QB (\$dummy [405]), .D (nx13312) , .CLK (CLK)) ; xor2 ix13313 (.Y (nx13312), .A0 (nx12859), .A1 (nx12861)) ; mux21 ix12860 (.Y (nx12859), .A0 (nx12188), .A1 (nx12365), .S0 (nx12373)) ; xnor2 ix12862 (.Y (nx12861), .A0 (reg_16_q_c_12_), .A1 (nx12765)) ; dff REG_5_reg_q_12_ (.Q (reg_5_q_c_12_), .QB (nx12867), .D (nx13740), .CLK ( CLK)) ; mux21 ix12872 (.Y (nx12871), .A0 (reg_19_q_c_12_), .A1 (reg_11_q_c_12_), .S0 ( C_MUX2_7_SEL)) ; dff REG_47_reg_q_12_ (.Q (\$dummy [406]), .QB (nx12895), .D (nx13480), .CLK ( CLK)) ; xnor2 ix13481 (.Y (nx13480), .A0 (nx13448), .A1 (nx12881)) ; mux21 ix13449 (.Y (nx13448), .A0 (nx12389), .A1 (nx12126), .S0 (nx12391)) ; xnor2 ix12882 (.Y (nx12881), .A0 (nx15915), .A1 (nx13476)) ; ao21 ix13477 (.Y (nx13476), .A0 (C_MUX2_12_SEL), .A1 (reg_15_q_c_12_), .B0 ( nx13452)) ; dff REG_15_reg_q_12_ (.Q (reg_15_q_c_12_), .QB (\$dummy [407]), .D (nx13466) , .CLK (CLK)) ; xnor2 ix13467 (.Y (nx13466), .A0 (nx12889), .A1 (nx13464)) ; aoi22 ix12890 (.Y (nx12889), .A0 (nx12626), .A1 (PRI_IN_1[11]), .B0 (nx12342 ), .B1 (nx12344)) ; xnor2 ix13465 (.Y (nx13464), .A0 (PRI_IN_1[12]), .A1 (nx14757)) ; nor02 ix13453 (.Y (nx13452), .A0 (C_MUX2_12_SEL), .A1 (nx12805)) ; nor02 ix13335 (.Y (nx13334), .A0 (C_MUX2_24_SEL), .A1 (nx14829)) ; nor02 ix13587 (.Y (nx13586), .A0 (C_MUX2_13_SEL), .A1 (nx12913)) ; mux21 ix12914 (.Y (nx12913), .A0 (reg_13_q_c_12_), .A1 (reg_11_q_c_12_), .S0 ( C_MUX2_5_SEL)) ; dff REG_43_reg_q_12_ (.Q (reg_43_q_c_12_), .QB (\$dummy [408]), .D (nx13660) , .CLK (CLK)) ; xnor2 ix13661 (.Y (nx13660), .A0 (nx12921), .A1 (nx13658)) ; aoi22 ix12922 (.Y (nx12921), .A0 (nx12589), .A1 (reg_34_q_c_11_), .B0 ( nx12536), .B1 (nx12538)) ; mux21 ix12942 (.Y (nx12941), .A0 (reg_18_q_c_12_), .A1 (nx13298), .S0 ( C_MUX2_19_SEL)) ; dff REG_39_reg_q_12_ (.Q (reg_39_q_c_12_), .QB (\$dummy [409]), .D (nx13954) , .CLK (CLK)) ; ao21 ix13955 (.Y (nx13954), .A0 (C_MUX2_10_SEL), .A1 (nx13950), .B0 (nx13828 )) ; xnor2 ix13951 (.Y (nx13950), .A0 (nx12949), .A1 (nx13948)) ; aoi22 ix12950 (.Y (nx12949), .A0 (nx12826), .A1 (PRI_IN_4[11]), .B0 (nx12716 ), .B1 (nx12828)) ; xnor2 ix13949 (.Y (nx13948), .A0 (PRI_IN_4[12]), .A1 (nx12957)) ; mux21 ix12958 (.Y (nx12957), .A0 (PRI_OUT_5[12]), .A1 (reg_22_q_c_12_), .S0 ( C_MUX2_25_SEL)) ; dff REG_21_reg_q_12_ (.Q (PRI_OUT_5[12]), .QB (\$dummy [410]), .D (nx13932) , .CLK (CLK)) ; xor2 ix13933 (.Y (nx13932), .A0 (nx13844), .A1 (nx13930)) ; mux21 ix13845 (.Y (nx13844), .A0 (nx12257), .A1 (nx12465), .S0 (nx12810)) ; xnor2 ix13931 (.Y (nx13930), .A0 (reg_48_q_c_12_), .A1 (nx12745)) ; dff REG_48_reg_q_12_ (.Q (reg_48_q_c_12_), .QB (\$dummy [411]), .D (nx13922) , .CLK (CLK)) ; mux21 ix13923 (.Y (nx13922), .A0 (nx12971), .A1 (nx12979), .S0 ( C_MUX2_23_SEL)) ; xnor2 ix12972 (.Y (nx12971), .A0 (nx12973), .A1 (nx12977)) ; aoi22 ix12974 (.Y (nx12973), .A0 (reg_40_q_c_11_), .A1 (reg_13_q_c_11_), .B0 ( nx12732), .B1 (nx12734)) ; xnor2 ix12980 (.Y (nx12979), .A0 (nx12981), .A1 (nx12985)) ; aoi22 ix12982 (.Y (nx12981), .A0 (nx12794), .A1 (reg_36_q_c_11_), .B0 ( nx12748), .B1 (nx12796)) ; xnor2 ix12986 (.Y (nx12985), .A0 (reg_36_q_c_12_), .A1 (nx13914)) ; ao21 ix13915 (.Y (nx13914), .A0 (C_MUX2_4_SEL), .A1 (PRI_OUT_4[12]), .B0 ( nx13872)) ; dff REG_9_reg_q_12_ (.Q (PRI_OUT_4[12]), .QB (\$dummy [412]), .D (nx13904), .CLK (CLK)) ; xor2 ix13905 (.Y (nx13904), .A0 (nx12993), .A1 (nx12997)) ; aoi22 ix12994 (.Y (nx12993), .A0 (reg_46_q_c_11_), .A1 (reg_22_q_c_11_), .B0 ( nx12760), .B1 (nx12782)) ; dff REG_46_reg_q_12_ (.Q (\$dummy [413]), .QB (nx13007), .D (nx13894), .CLK ( CLK)) ; xnor2 ix13895 (.Y (nx13894), .A0 (nx13003), .A1 (nx13892)) ; aoi22 ix13004 (.Y (nx13003), .A0 (nx12445), .A1 (PRI_IN_5[11]), .B0 (nx12770 ), .B1 (nx12772)) ; xnor2 ix13893 (.Y (nx13892), .A0 (PRI_IN_5[12]), .A1 (reg_33_q_c_12_)) ; nor02 ix13873 (.Y (nx13872), .A0 (C_MUX2_4_SEL), .A1 (nx15560)) ; nor02 ix13829 (.Y (nx13828), .A0 (C_MUX2_10_SEL), .A1 (nx13015)) ; xor2 ix13016 (.Y (nx13015), .A0 (nx13017), .A1 (nx13822)) ; dff REG_26_reg_q_13_ (.Q (PRI_OUT_9[13]), .QB (\$dummy [414]), .D (nx15084) , .CLK (CLK)) ; xor2 ix15085 (.Y (nx15084), .A0 (nx13980), .A1 (nx15082)) ; mux21 ix13981 (.Y (nx13980), .A0 (reg_33_q_c_12_), .A1 (nx12513), .S0 ( nx13962)) ; dff REG_33_reg_q_13_ (.Q (\$dummy [415]), .QB (nx13475), .D (nx14926), .CLK ( CLK)) ; xor2 ix14927 (.Y (nx14926), .A0 (nx13988), .A1 (nx14924)) ; oai22 ix13989 (.Y (nx13988), .A0 (nx12519), .A1 (nx13037), .B0 (nx12941), .B1 ( nx12939)) ; xnor2 ix14925 (.Y (nx14924), .A0 (reg_17_q_c_13_), .A1 (nx13473)) ; dff REG_17_reg_q_13_ (.Q (reg_17_q_c_13_), .QB (\$dummy [416]), .D (nx14908) , .CLK (CLK)) ; xor2 ix14909 (.Y (nx14908), .A0 (nx13998), .A1 (nx14906)) ; mux21 ix13999 (.Y (nx13998), .A0 (nx14753), .A1 (nx12527), .S0 (nx13786)) ; xnor2 ix14907 (.Y (nx14906), .A0 (reg_29_q_c_13_), .A1 (nx6551)) ; dff REG_29_reg_q_13_ (.Q (reg_29_q_c_13_), .QB (\$dummy [417]), .D (nx14898) , .CLK (CLK)) ; xor2 ix14899 (.Y (nx14898), .A0 (nx13053), .A1 (nx13059)) ; mux21 ix13054 (.Y (nx13053), .A0 (nx12888), .A1 (nx13055), .S0 (nx12537)) ; xnor2 ix13060 (.Y (nx13059), .A0 (nx13061), .A1 (nx14334)) ; mux21 ix13062 (.Y (nx13061), .A0 (reg_20_q_c_13_), .A1 (nx14759), .S0 ( C_MUX2_16_SEL)) ; dff REG_20_reg_q_13_ (.Q (reg_20_q_c_13_), .QB (nx13470), .D (nx14880), .CLK ( CLK)) ; xor2 ix14881 (.Y (nx14880), .A0 (nx14018), .A1 (nx14878)) ; mux21 ix14019 (.Y (nx14018), .A0 (nx14753), .A1 (nx12544), .S0 (nx13758)) ; dff REG_28_reg_q_13_ (.Q (reg_28_q_c_13_), .QB (nx13469), .D (nx14870), .CLK ( CLK)) ; xor2 ix14871 (.Y (nx14870), .A0 (nx14028), .A1 (nx14868)) ; oai22 ix14029 (.Y (nx14028), .A0 (nx12549), .A1 (nx13077), .B0 (PRI_IN_2[12] ), .B1 (nx12867)) ; xor2 ix14861 (.Y (nx14860), .A0 (nx14038), .A1 (nx14858)) ; oai22 ix14039 (.Y (nx14038), .A0 (nx12556), .A1 (nx13087), .B0 (PRI_IN_3[12] ), .B1 (nx12933)) ; xnor2 ix14859 (.Y (nx14858), .A0 (PRI_IN_3[13]), .A1 (reg_34_q_c_13_)) ; dff REG_34_reg_q_13_ (.Q (reg_34_q_c_13_), .QB (\$dummy [418]), .D (nx14850) , .CLK (CLK)) ; xnor2 ix14851 (.Y (nx14850), .A0 (nx14046), .A1 (nx13097)) ; oai22 ix14047 (.Y (nx14046), .A0 (nx12565), .A1 (nx12569), .B0 (nx12843), .B1 ( nx12931)) ; xnor2 ix13098 (.Y (nx13097), .A0 (reg_18_q_c_13_), .A1 (reg_19_q_c_13_)) ; dff REG_18_reg_q_13_ (.Q (reg_18_q_c_13_), .QB (\$dummy [419]), .D (nx14840) , .CLK (CLK)) ; xor2 ix14841 (.Y (nx14840), .A0 (nx14056), .A1 (nx14838)) ; mux21 ix14057 (.Y (nx14056), .A0 (reg_7_q_c_12_), .A1 (nx12574), .S0 ( nx13718)) ; xnor2 ix14831 (.Y (nx14830), .A0 (nx14064), .A1 (nx13111)) ; oai22 ix14065 (.Y (nx14064), .A0 (nx12579), .A1 (nx12583), .B0 (nx12930), .B1 ( nx12939)) ; xnor2 ix13112 (.Y (nx13111), .A0 (nx14759), .A1 (reg_42_q_c_13_)) ; dff REG_42_reg_q_13_ (.Q (reg_42_q_c_13_), .QB (\$dummy [420]), .D (nx14820) , .CLK (CLK)) ; xor2 ix14821 (.Y (nx14820), .A0 (nx13117), .A1 (nx13123)) ; aoi22 ix13118 (.Y (nx13117), .A0 (nx13476), .A1 (reg_40_q_c_12_), .B0 ( nx12952), .B1 (nx13698)) ; dff REG_40_reg_q_13_ (.Q (reg_40_q_c_13_), .QB (nx13463), .D (nx14810), .CLK ( CLK)) ; xor2 ix14811 (.Y (nx14810), .A0 (nx14082), .A1 (nx14808)) ; mux21 ix14083 (.Y (nx14082), .A0 (reg_5_q_c_12_), .A1 (nx12595), .S0 ( nx13688)) ; dff REG_4_reg_q_13_ (.Q (PRI_OUT_3[13]), .QB (nx13461), .D (nx14800), .CLK ( CLK)) ; xor2 ix14801 (.Y (nx14800), .A0 (nx14092), .A1 (nx14798)) ; mux21 ix14093 (.Y (nx14092), .A0 (PRI_OUT_3[12]), .A1 (nx12601), .S0 ( nx13678)) ; dff REG_32_reg_q_13_ (.Q (reg_32_q_c_13_), .QB (\$dummy [421]), .D (nx14790) , .CLK (CLK)) ; xnor2 ix14791 (.Y (nx14790), .A0 (nx14100), .A1 (nx13147)) ; nor02 ix14099 (.Y (nx14098), .A0 (nx12606), .A1 (nx12609)) ; xnor2 ix13148 (.Y (nx13147), .A0 (PRI_OUT_8[13]), .A1 (reg_43_q_c_13_)) ; dff REG_24_reg_q_13_ (.Q (PRI_OUT_8[13]), .QB (\$dummy [422]), .D (nx14760) , .CLK (CLK)) ; xnor2 ix14761 (.Y (nx14760), .A0 (nx14108), .A1 (nx13153)) ; oai22 ix14109 (.Y (nx14108), .A0 (nx12614), .A1 (nx12617), .B0 (nx12915), .B1 ( nx12933)) ; xnor2 ix13154 (.Y (nx13153), .A0 (reg_34_q_c_13_), .A1 (reg_41_q_c_13_)) ; dff REG_41_reg_q_13_ (.Q (reg_41_q_c_13_), .QB (\$dummy [423]), .D (nx14750) , .CLK (CLK)) ; xnor2 ix14751 (.Y (nx14750), .A0 (nx14116), .A1 (nx13165)) ; mux21 ix14117 (.Y (nx14116), .A0 (nx12623), .A1 (nx13161), .S0 (nx12629)) ; xnor2 ix13166 (.Y (nx13165), .A0 (PRI_IN_8[13]), .A1 (nx6552)) ; ao21 ix14747 (.Y (nx6552), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_13_), .B0 ( nx14706)) ; dff REG_8_reg_q_13_ (.Q (reg_8_q_c_13_), .QB (\$dummy [424]), .D (nx14736), .CLK (CLK)) ; xnor2 ix14737 (.Y (nx14736), .A0 (nx14714), .A1 (nx13175)) ; mux21 ix14715 (.Y (nx14714), .A0 (nx12635), .A1 (nx12902), .S0 (nx12639)) ; dff REG_2_reg_q_13_ (.Q (PRI_OUT_1[13]), .QB (\$dummy [425]), .D (nx14726), .CLK (CLK)) ; xnor2 ix14727 (.Y (nx14726), .A0 (nx14722), .A1 (nx13183)) ; oai22 ix14723 (.Y (nx14722), .A0 (nx12644), .A1 (nx12647), .B0 (nx12897), .B1 ( nx12909)) ; dff REG_35_reg_q_13_ (.Q (reg_35_q_c_13_), .QB (nx13439), .D (nx14462), .CLK ( CLK)) ; xor2 ix14463 (.Y (nx14462), .A0 (nx13188), .A1 (nx13191)) ; aoi22 ix13189 (.Y (nx13188), .A0 (nx14755), .A1 (reg_37_q_c_12_), .B0 ( nx13330), .B1 (nx13340)) ; dff REG_37_reg_q_13_ (.Q (reg_37_q_c_13_), .QB (nx13434), .D (nx14650), .CLK ( CLK)) ; xnor2 ix14651 (.Y (nx14650), .A0 (nx14150), .A1 (nx13199)) ; xnor2 ix13200 (.Y (nx13199), .A0 (PRI_IN_6[13]), .A1 (nx15917)) ; dff REG_44_reg_q_13_ (.Q (reg_44_q_c_13_), .QB (\$dummy [426]), .D (nx14640) , .CLK (CLK)) ; xor2 ix14641 (.Y (nx14640), .A0 (nx14160), .A1 (nx14638)) ; mux21 ix14161 (.Y (nx14160), .A0 (reg_27_q_c_12_), .A1 (nx12667), .S0 ( nx13518)) ; dff REG_10_reg_q_13_ (.Q (reg_10_q_c_13_), .QB (\$dummy [427]), .D (nx14630) , .CLK (CLK)) ; xnor2 ix14631 (.Y (nx14630), .A0 (nx14168), .A1 (nx13210)) ; oai22 ix14169 (.Y (nx14168), .A0 (nx12673), .A1 (nx12676), .B0 (nx12899), .B1 ( nx12867)) ; dff REG_11_reg_q_13_ (.Q (reg_11_q_c_13_), .QB (\$dummy [428]), .D (nx14620) , .CLK (CLK)) ; xor2 ix14621 (.Y (nx14620), .A0 (nx13215), .A1 (nx13223)) ; aoi22 ix13216 (.Y (nx13215), .A0 (nx6539), .A1 (reg_45_q_c_12_), .B0 ( nx13056), .B1 (nx13498)) ; dff REG_45_reg_q_13_ (.Q (reg_45_q_c_13_), .QB (nx13428), .D (nx14610), .CLK ( CLK)) ; xnor2 ix14611 (.Y (nx14610), .A0 (nx14184), .A1 (nx13229)) ; oai22 ix14185 (.Y (nx14184), .A0 (nx12691), .A1 (nx12694), .B0 (nx12895), .B1 ( nx12875)) ; dff REG_27_reg_q_13_ (.Q (reg_27_q_c_13_), .QB (nx13407), .D (nx14552), .CLK ( CLK)) ; xor2 ix14553 (.Y (nx14552), .A0 (nx14194), .A1 (nx14550)) ; dff REG_13_reg_q_13_ (.Q (reg_13_q_c_13_), .QB (nx13267), .D (nx14688), .CLK ( CLK)) ; xor2 ix14689 (.Y (nx14688), .A0 (nx14126), .A1 (nx14686)) ; mux21 ix14127 (.Y (nx14126), .A0 (reg_35_q_c_12_), .A1 (nx12709), .S0 ( nx13566)) ; dff REG_1_reg_q_13_ (.Q (PRI_OUT_0[13]), .QB (\$dummy [429]), .D (nx14678), .CLK (CLK)) ; xor2 ix14679 (.Y (nx14678), .A0 (nx14134), .A1 (nx14676)) ; oai22 ix14135 (.Y (nx14134), .A0 (nx12717), .A1 (nx13251), .B0 (nx12725), .B1 ( nx12915)) ; xnor2 ix14677 (.Y (nx14676), .A0 (reg_41_q_c_13_), .A1 (nx13254)) ; mux21 ix13255 (.Y (nx13254), .A0 (reg_25_q_c_13_), .A1 (PRI_OUT_8[13]), .S0 ( C_MUX2_8_SEL)) ; dff REG_25_reg_q_13_ (.Q (reg_25_q_c_13_), .QB (\$dummy [430]), .D (nx14660) , .CLK (CLK)) ; xor2 ix14661 (.Y (nx14660), .A0 (nx13259), .A1 (nx13263)) ; aoi22 ix13260 (.Y (nx13259), .A0 (nx14757), .A1 (reg_37_q_c_12_), .B0 ( nx13022), .B1 (nx13538)) ; dff REG_31_reg_q_13_ (.Q (reg_31_q_c_13_), .QB (nx13405), .D (nx14542), .CLK ( CLK)) ; xor2 ix14543 (.Y (nx14542), .A0 (nx14204), .A1 (nx14540)) ; mux21 ix14205 (.Y (nx14204), .A0 (nx13410), .A1 (nx12741), .S0 (nx13420)) ; xnor2 ix14541 (.Y (nx14540), .A0 (nx13277), .A1 (nx13403)) ; mux21 ix13278 (.Y (nx13277), .A0 (reg_38_q_c_13_), .A1 (reg_37_q_c_13_), .S0 ( C_MUX2_3_SEL)) ; dff REG_38_reg_q_13_ (.Q (reg_38_q_c_13_), .QB (\$dummy [431]), .D (nx14516) , .CLK (CLK)) ; xnor2 ix14517 (.Y (nx14516), .A0 (nx13283), .A1 (nx14514)) ; mux21 ix13284 (.Y (nx13283), .A0 (nx12869), .A1 (nx13094), .S0 (nx13394)) ; xnor2 ix14515 (.Y (nx14514), .A0 (reg_22_q_c_13_), .A1 (nx14360)) ; dff REG_22_reg_q_13_ (.Q (reg_22_q_c_13_), .QB (\$dummy [432]), .D (nx14506) , .CLK (CLK)) ; xor2 ix14507 (.Y (nx14506), .A0 (nx13289), .A1 (nx13293)) ; aoi22 ix13290 (.Y (nx13289), .A0 (nx12765), .A1 (reg_28_q_c_12_), .B0 ( nx13104), .B1 (nx13384)) ; mux21 ix13296 (.Y (nx13295), .A0 (reg_10_q_c_13_), .A1 (nx6547), .S0 ( C_MUX2_14_SEL)) ; mux21 ix14499 (.Y (nx6547), .A0 (nx13299), .A1 (nx13399), .S0 (C_MUX2_21_SEL )) ; mux21 ix13300 (.Y (nx13299), .A0 (PRI_OUT_7[13]), .A1 (nx14759), .S0 ( C_MUX2_17_SEL)) ; dff REG_6_reg_q_13_ (.Q (PRI_OUT_7[13]), .QB (\$dummy [433]), .D (nx14476), .CLK (CLK)) ; xnor2 ix14477 (.Y (nx14476), .A0 (nx13305), .A1 (nx14474)) ; mux21 ix13306 (.Y (nx13305), .A0 (nx13352), .A1 (nx13116), .S0 (nx13354)) ; xnor2 ix14475 (.Y (nx14474), .A0 (nx14418), .A1 (nx13390)) ; mux21 ix14419 (.Y (nx14418), .A0 (nx13299), .A1 (nx13315), .S0 ( C_MUX2_15_SEL)) ; dff REG_12_reg_q_13_ (.Q (reg_12_q_c_13_), .QB (\$dummy [434]), .D (nx14380) , .CLK (CLK)) ; xnor2 ix14381 (.Y (nx14380), .A0 (nx14248), .A1 (nx13320)) ; oai22 ix14249 (.Y (nx14248), .A0 (nx12783), .A1 (nx12787), .B0 (nx12843), .B1 ( nx12845)) ; xnor2 ix13321 (.Y (nx13320), .A0 (reg_12_q_c_13_), .A1 (reg_19_q_c_13_)) ; dff REG_19_reg_q_13_ (.Q (reg_19_q_c_13_), .QB (\$dummy [435]), .D (nx14370) , .CLK (CLK)) ; xor2 ix14371 (.Y (nx14370), .A0 (nx13325), .A1 (nx13335)) ; mux21 ix13338 (.Y (nx13337), .A0 (nx14360), .A1 (nx14342), .S0 (C_MUX2_1_SEL )) ; mux21 ix14361 (.Y (nx14360), .A0 (nx13341), .A1 (nx13343), .S0 (C_MUX2_6_SEL )) ; dff REG_7_reg_q_13_ (.Q (\$dummy [436]), .QB (nx13341), .D (nx14830), .CLK ( CLK)) ; mux21 ix13344 (.Y (nx13343), .A0 (nx14759), .A1 (reg_5_q_c_13_), .S0 ( C_MUX2_18_SEL)) ; ao21 ix14343 (.Y (nx14342), .A0 (C_MUX2_9_SEL), .A1 (nx14334), .B0 (nx14340) ) ; ao21 ix14335 (.Y (nx14334), .A0 (C_MUX2_22_SEL), .A1 (reg_14_q_c_13_), .B0 ( nx14270)) ; dff REG_14_reg_q_13_ (.Q (reg_14_q_c_13_), .QB (\$dummy [437]), .D (nx14324) , .CLK (CLK)) ; xnor2 ix14325 (.Y (nx14324), .A0 (nx13349), .A1 (nx14322)) ; aoi22 ix13350 (.Y (nx13349), .A0 (nx13351), .A1 (PRI_OUT_6[12]), .B0 ( nx13160), .B1 (nx13202)) ; inv02 ix13352 (.Y (nx13351), .A (PRI_IN_7[12])) ; xnor2 ix14323 (.Y (nx14322), .A0 (PRI_IN_7[13]), .A1 (PRI_OUT_6[13])) ; dff REG_23_reg_q_13_ (.Q (PRI_OUT_6[13]), .QB (\$dummy [438]), .D (nx14314) , .CLK (CLK)) ; xnor2 ix14315 (.Y (nx14314), .A0 (nx14290), .A1 (nx13361)) ; xnor2 ix13362 (.Y (nx13361), .A0 (reg_30_q_c_13_), .A1 (nx13315)) ; dff REG_30_reg_q_13_ (.Q (reg_30_q_c_13_), .QB (\$dummy [439]), .D (nx14304) , .CLK (CLK)) ; xor2 ix14305 (.Y (nx14304), .A0 (nx14300), .A1 (nx14302)) ; oai22 ix14301 (.Y (nx14300), .A0 (nx12829), .A1 (nx13367), .B0 (PRI_IN_0[12] ), .B1 (nx12845)) ; xnor2 ix14303 (.Y (nx14302), .A0 (PRI_IN_0[13]), .A1 (reg_12_q_c_13_)) ; nor02 ix14271 (.Y (nx14270), .A0 (C_MUX2_22_SEL), .A1 (nx13375)) ; mux21 ix13376 (.Y (nx13375), .A0 (reg_19_q_c_13_), .A1 (nx14759), .S0 ( C_MUX2_20_SEL)) ; nor02 ix14341 (.Y (nx14340), .A0 (C_MUX2_9_SEL), .A1 (nx13061)) ; dff REG_16_reg_q_13_ (.Q (reg_16_q_c_13_), .QB (nx13389), .D (nx14404), .CLK ( CLK)) ; xor2 ix14405 (.Y (nx14404), .A0 (nx14400), .A1 (nx14402)) ; mux21 ix14401 (.Y (nx14400), .A0 (reg_20_q_c_12_), .A1 (nx12848), .S0 ( nx13282)) ; mux21 ix13391 (.Y (nx13390), .A0 (reg_36_q_c_13_), .A1 (reg_35_q_c_13_), .S0 ( C_MUX2_11_SEL)) ; dff REG_36_reg_q_13_ (.Q (reg_36_q_c_13_), .QB (\$dummy [440]), .D (nx14432) , .CLK (CLK)) ; xnor2 ix14433 (.Y (nx14432), .A0 (nx14428), .A1 (nx13395)) ; mux21 ix14429 (.Y (nx14428), .A0 (nx12859), .A1 (reg_16_q_c_12_), .S0 ( nx12861)) ; dff REG_5_reg_q_13_ (.Q (reg_5_q_c_13_), .QB (nx13399), .D (nx14860), .CLK ( CLK)) ; mux21 ix13404 (.Y (nx13403), .A0 (reg_19_q_c_13_), .A1 (reg_11_q_c_13_), .S0 ( C_MUX2_7_SEL)) ; dff REG_47_reg_q_13_ (.Q (reg_47_q_c_13_), .QB (\$dummy [441]), .D (nx14600) , .CLK (CLK)) ; xor2 ix14601 (.Y (nx14600), .A0 (nx13411), .A1 (nx13413)) ; mux21 ix13412 (.Y (nx13411), .A0 (nx13448), .A1 (nx15915), .S0 (nx12881)) ; xnor2 ix13414 (.Y (nx13413), .A0 (nx13337), .A1 (nx14596)) ; ao21 ix14597 (.Y (nx14596), .A0 (C_MUX2_12_SEL), .A1 (reg_15_q_c_13_), .B0 ( nx14572)) ; dff REG_15_reg_q_13_ (.Q (reg_15_q_c_13_), .QB (\$dummy [442]), .D (nx14586) , .CLK (CLK)) ; xor2 ix14587 (.Y (nx14586), .A0 (nx14582), .A1 (nx14584)) ; mux21 ix14583 (.Y (nx14582), .A0 (nx6543), .A1 (nx12889), .S0 (nx13464)) ; xnor2 ix14585 (.Y (nx14584), .A0 (PRI_IN_1[13]), .A1 (nx6552)) ; nor02 ix14573 (.Y (nx14572), .A0 (C_MUX2_12_SEL), .A1 (nx13343)) ; ao21 ix14459 (.Y (nx6551), .A0 (C_MUX2_24_SEL), .A1 (reg_27_q_c_13_), .B0 ( nx14454)) ; nor02 ix14455 (.Y (nx14454), .A0 (C_MUX2_24_SEL), .A1 (nx13437)) ; nor02 ix14707 (.Y (nx14706), .A0 (C_MUX2_13_SEL), .A1 (nx13443)) ; mux21 ix13444 (.Y (nx13443), .A0 (reg_13_q_c_13_), .A1 (reg_11_q_c_13_), .S0 ( C_MUX2_5_SEL)) ; dff REG_43_reg_q_13_ (.Q (reg_43_q_c_13_), .QB (\$dummy [443]), .D (nx14780) , .CLK (CLK)) ; xor2 ix14781 (.Y (nx14780), .A0 (nx14776), .A1 (nx14778)) ; xnor2 ix14779 (.Y (nx14778), .A0 (reg_34_q_c_13_), .A1 (nx14596)) ; mux21 ix13474 (.Y (nx13473), .A0 (reg_18_q_c_13_), .A1 (nx14418), .S0 ( C_MUX2_19_SEL)) ; dff REG_39_reg_q_13_ (.Q (reg_39_q_c_13_), .QB (\$dummy [444]), .D (nx15074) , .CLK (CLK)) ; mux21 ix15075 (.Y (nx15074), .A0 (nx13479), .A1 (nx13486), .S0 ( C_MUX2_10_SEL)) ; xnor2 ix13480 (.Y (nx13479), .A0 (nx14940), .A1 (nx14942)) ; xnor2 ix14943 (.Y (nx14942), .A0 (nx15917), .A1 (nx13337)) ; xnor2 ix13487 (.Y (nx13486), .A0 (nx14956), .A1 (nx15068)) ; mux21 ix14957 (.Y (nx14956), .A0 (nx12957), .A1 (nx12949), .S0 (nx13948)) ; xnor2 ix15069 (.Y (nx15068), .A0 (PRI_IN_4[13]), .A1 (nx13491)) ; mux21 ix13492 (.Y (nx13491), .A0 (PRI_OUT_5[13]), .A1 (reg_22_q_c_13_), .S0 ( C_MUX2_25_SEL)) ; dff REG_21_reg_q_13_ (.Q (PRI_OUT_5[13]), .QB (\$dummy [445]), .D (nx15052) , .CLK (CLK)) ; xnor2 ix15053 (.Y (nx15052), .A0 (nx13495), .A1 (nx15050)) ; aoi22 ix13496 (.Y (nx13495), .A0 (nx13410), .A1 (reg_48_q_c_12_), .B0 ( nx13844), .B1 (nx13930)) ; xnor2 ix15051 (.Y (nx15050), .A0 (reg_48_q_c_13_), .A1 (nx13277)) ; dff REG_48_reg_q_13_ (.Q (reg_48_q_c_13_), .QB (\$dummy [446]), .D (nx15042) , .CLK (CLK)) ; ao21 ix15043 (.Y (nx15042), .A0 (C_MUX2_23_SEL), .A1 (nx15038), .B0 (nx14980 )) ; xnor2 ix15039 (.Y (nx15038), .A0 (nx14988), .A1 (nx13505)) ; xnor2 ix13506 (.Y (nx13505), .A0 (reg_36_q_c_13_), .A1 (nx15034)) ; ao21 ix15035 (.Y (nx15034), .A0 (C_MUX2_4_SEL), .A1 (PRI_OUT_4[13]), .B0 ( nx14992)) ; dff REG_9_reg_q_13_ (.Q (PRI_OUT_4[13]), .QB (\$dummy [447]), .D (nx15024), .CLK (CLK)) ; xnor2 ix15025 (.Y (nx15024), .A0 (nx15000), .A1 (nx13513)) ; oai22 ix15001 (.Y (nx15000), .A0 (nx12993), .A1 (nx12997), .B0 (nx13007), .B1 ( nx12869)) ; xnor2 ix13514 (.Y (nx13513), .A0 (reg_22_q_c_13_), .A1 (reg_46_q_c_13_)) ; dff REG_46_reg_q_13_ (.Q (reg_46_q_c_13_), .QB (\$dummy [448]), .D (nx15014) , .CLK (CLK)) ; xor2 ix15015 (.Y (nx15014), .A0 (nx15010), .A1 (nx15012)) ; mux21 ix15011 (.Y (nx15010), .A0 (reg_33_q_c_12_), .A1 (nx13003), .S0 ( nx13892)) ; nor02 ix14993 (.Y (nx14992), .A0 (C_MUX2_4_SEL), .A1 (nx13315)) ; nor02 ix14981 (.Y (nx14980), .A0 (C_MUX2_23_SEL), .A1 (nx13524)) ; xor2 ix13525 (.Y (nx13524), .A0 (nx14972), .A1 (nx13527)) ; oai22 ix14973 (.Y (nx14972), .A0 (nx12973), .A1 (nx12977), .B0 (nx12929), .B1 ( nx12738)) ; dff REG_26_reg_q_14_ (.Q (PRI_OUT_9[14]), .QB (\$dummy [449]), .D (nx16204) , .CLK (CLK)) ; xnor2 ix16205 (.Y (nx16204), .A0 (nx13536), .A1 (nx16202)) ; aoi22 ix13537 (.Y (nx13536), .A0 (nx13475), .A1 (reg_39_q_c_13_), .B0 ( nx13980), .B1 (nx15082)) ; xnor2 ix16203 (.Y (nx16202), .A0 (reg_33_q_c_14_), .A1 (reg_39_q_c_14_)) ; dff REG_33_reg_q_14_ (.Q (reg_33_q_c_14_), .QB (\$dummy [450]), .D (nx16046) , .CLK (CLK)) ; xnor2 ix16047 (.Y (nx16046), .A0 (nx13543), .A1 (nx16044)) ; aoi22 ix13544 (.Y (nx13543), .A0 (nx14922), .A1 (reg_17_q_c_13_), .B0 ( nx13988), .B1 (nx14924)) ; dff REG_17_reg_q_14_ (.Q (reg_17_q_c_14_), .QB (nx13960), .D (nx16028), .CLK ( CLK)) ; xnor2 ix16029 (.Y (nx16028), .A0 (nx13551), .A1 (nx16026)) ; aoi22 ix13552 (.Y (nx13551), .A0 (nx13437), .A1 (reg_29_q_c_13_), .B0 ( nx13998), .B1 (nx14906)) ; dff REG_29_reg_q_14_ (.Q (reg_29_q_c_14_), .QB (\$dummy [451]), .D (nx16018) , .CLK (CLK)) ; xnor2 ix16019 (.Y (nx16018), .A0 (nx15128), .A1 (nx13561)) ; mux21 ix15129 (.Y (nx15128), .A0 (nx13053), .A1 (nx14334), .S0 (nx13059)) ; xnor2 ix13562 (.Y (nx13561), .A0 (nx13563), .A1 (nx15454)) ; mux21 ix13564 (.Y (nx13563), .A0 (reg_20_q_c_14_), .A1 (reg_17_q_c_14_), .S0 ( C_MUX2_16_SEL)) ; dff REG_20_reg_q_14_ (.Q (reg_20_q_c_14_), .QB (\$dummy [452]), .D (nx16000) , .CLK (CLK)) ; xnor2 ix16001 (.Y (nx16000), .A0 (nx13567), .A1 (nx15998)) ; aoi22 ix13568 (.Y (nx13567), .A0 (nx13437), .A1 (reg_28_q_c_13_), .B0 ( nx14018), .B1 (nx14878)) ; dff REG_28_reg_q_14_ (.Q (reg_28_q_c_14_), .QB (\$dummy [453]), .D (nx15990) , .CLK (CLK)) ; xnor2 ix15991 (.Y (nx15990), .A0 (nx13573), .A1 (nx15988)) ; aoi22 ix13574 (.Y (nx13573), .A0 (nx13575), .A1 (reg_5_q_c_13_), .B0 ( nx14028), .B1 (nx14868)) ; inv02 ix13576 (.Y (nx13575), .A (PRI_IN_2[13])) ; xnor2 ix15981 (.Y (nx15980), .A0 (nx13581), .A1 (nx15978)) ; aoi22 ix13582 (.Y (nx13581), .A0 (nx13583), .A1 (reg_34_q_c_13_), .B0 ( nx14038), .B1 (nx14858)) ; inv02 ix13584 (.Y (nx13583), .A (PRI_IN_3[13])) ; dff REG_34_reg_q_14_ (.Q (\$dummy [454]), .QB (nx13956), .D (nx15970), .CLK ( CLK)) ; xor2 ix15971 (.Y (nx15970), .A0 (nx13589), .A1 (nx13593)) ; aoi22 ix13590 (.Y (nx13589), .A0 (reg_19_q_c_13_), .A1 (reg_18_q_c_13_), .B0 ( nx14046), .B1 (nx14848)) ; dff REG_18_reg_q_14_ (.Q (reg_18_q_c_14_), .QB (nx13955), .D (nx15960), .CLK ( CLK)) ; xnor2 ix15961 (.Y (nx15960), .A0 (nx13599), .A1 (nx15958)) ; aoi22 ix13600 (.Y (nx13599), .A0 (nx13341), .A1 (PRI_IN_9[13]), .B0 (nx14056 ), .B1 (nx14838)) ; xor2 ix15951 (.Y (nx15950), .A0 (nx13607), .A1 (nx13610)) ; aoi22 ix13608 (.Y (nx13607), .A0 (reg_42_q_c_13_), .A1 (reg_17_q_c_13_), .B0 ( nx14064), .B1 (nx14828)) ; dff REG_42_reg_q_14_ (.Q (\$dummy [455]), .QB (nx13953), .D (nx15940), .CLK ( CLK)) ; xnor2 ix15941 (.Y (nx15940), .A0 (nx15192), .A1 (nx13617)) ; dff REG_40_reg_q_14_ (.Q (reg_40_q_c_14_), .QB (nx13951), .D (nx15930), .CLK ( CLK)) ; xnor2 ix15931 (.Y (nx15930), .A0 (nx13621), .A1 (nx15928)) ; aoi22 ix13622 (.Y (nx13621), .A0 (nx13399), .A1 (PRI_OUT_3[13]), .B0 ( nx14082), .B1 (nx14808)) ; dff REG_4_reg_q_14_ (.Q (PRI_OUT_3[14]), .QB (\$dummy [456]), .D (nx15920), .CLK (CLK)) ; xnor2 ix15921 (.Y (nx15920), .A0 (nx13627), .A1 (nx15918)) ; aoi22 ix13628 (.Y (nx13627), .A0 (nx13461), .A1 (reg_32_q_c_13_), .B0 ( nx14092), .B1 (nx14798)) ; xnor2 ix15919 (.Y (nx15918), .A0 (PRI_OUT_3[14]), .A1 (reg_32_q_c_14_)) ; dff REG_32_reg_q_14_ (.Q (reg_32_q_c_14_), .QB (\$dummy [457]), .D (nx15910) , .CLK (CLK)) ; xor2 ix15911 (.Y (nx15910), .A0 (nx13634), .A1 (nx13637)) ; dff REG_24_reg_q_14_ (.Q (PRI_OUT_8[14]), .QB (\$dummy [458]), .D (nx15880) , .CLK (CLK)) ; xor2 ix15881 (.Y (nx15880), .A0 (nx13643), .A1 (nx13646)) ; aoi22 ix13644 (.Y (nx13643), .A0 (reg_41_q_c_13_), .A1 (reg_34_q_c_13_), .B0 ( nx14108), .B1 (nx14758)) ; dff REG_41_reg_q_14_ (.Q (\$dummy [459]), .QB (nx13937), .D (nx15870), .CLK ( CLK)) ; xor2 ix15871 (.Y (nx15870), .A0 (nx13651), .A1 (nx13659)) ; aoi22 ix13652 (.Y (nx13651), .A0 (nx6552), .A1 (PRI_IN_8[13]), .B0 (nx14116) , .B1 (nx14748)) ; xnor2 ix13660 (.Y (nx13659), .A0 (PRI_IN_8[14]), .A1 (nx14765)) ; ao21 ix15867 (.Y (nx6563), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_14_), .B0 ( nx15826)) ; dff REG_8_reg_q_14_ (.Q (reg_8_q_c_14_), .QB (\$dummy [460]), .D (nx15856), .CLK (CLK)) ; xor2 ix15857 (.Y (nx15856), .A0 (nx13665), .A1 (nx13669)) ; aoi22 ix13666 (.Y (nx13665), .A0 (reg_37_q_c_13_), .A1 (PRI_OUT_1[13]), .B0 ( nx14714), .B1 (nx14734)) ; dff REG_2_reg_q_14_ (.Q (PRI_OUT_1[14]), .QB (\$dummy [461]), .D (nx15846), .CLK (CLK)) ; xor2 ix15847 (.Y (nx15846), .A0 (nx13674), .A1 (nx13677)) ; aoi22 ix13675 (.Y (nx13674), .A0 (reg_45_q_c_13_), .A1 (reg_35_q_c_13_), .B0 ( nx14722), .B1 (nx14724)) ; dff REG_35_reg_q_14_ (.Q (reg_35_q_c_14_), .QB (nx13929), .D (nx15582), .CLK ( CLK)) ; xnor2 ix15583 (.Y (nx15582), .A0 (nx15570), .A1 (nx13684)) ; dff REG_37_reg_q_14_ (.Q (reg_37_q_c_14_), .QB (nx13924), .D (nx15770), .CLK ( CLK)) ; dff REG_44_reg_q_14_ (.Q (reg_44_q_c_14_), .QB (nx13923), .D (nx15760), .CLK ( CLK)) ; xnor2 ix15761 (.Y (nx15760), .A0 (nx13697), .A1 (nx15758)) ; aoi22 ix13698 (.Y (nx13697), .A0 (nx13407), .A1 (reg_10_q_c_13_), .B0 ( nx14160), .B1 (nx14638)) ; dff REG_10_reg_q_14_ (.Q (reg_10_q_c_14_), .QB (\$dummy [462]), .D (nx15750) , .CLK (CLK)) ; xor2 ix15751 (.Y (nx15750), .A0 (nx13704), .A1 (nx13707)) ; aoi22 ix13705 (.Y (nx13704), .A0 (reg_11_q_c_13_), .A1 (reg_5_q_c_13_), .B0 ( nx14168), .B1 (nx14628)) ; dff REG_11_reg_q_14_ (.Q (reg_11_q_c_14_), .QB (nx13919), .D (nx15740), .CLK ( CLK)) ; xnor2 ix15741 (.Y (nx15740), .A0 (nx15296), .A1 (nx13717)) ; oai22 ix15297 (.Y (nx15296), .A0 (nx13215), .A1 (nx13223), .B0 (nx13714), .B1 ( nx13428)) ; dff REG_45_reg_q_14_ (.Q (reg_45_q_c_14_), .QB (nx13917), .D (nx15730), .CLK ( CLK)) ; xor2 ix15731 (.Y (nx15730), .A0 (nx13723), .A1 (nx13726)) ; aoi22 ix13724 (.Y (nx13723), .A0 (reg_47_q_c_13_), .A1 (reg_27_q_c_13_), .B0 ( nx14184), .B1 (nx14608)) ; dff REG_27_reg_q_14_ (.Q (reg_27_q_c_14_), .QB (nx13900), .D (nx15672), .CLK ( CLK)) ; xnor2 ix15673 (.Y (nx15672), .A0 (nx13731), .A1 (nx15670)) ; aoi22 ix13732 (.Y (nx13731), .A0 (nx13267), .A1 (reg_31_q_c_13_), .B0 ( nx14194), .B1 (nx14550)) ; dff REG_13_reg_q_14_ (.Q (reg_13_q_c_14_), .QB (nx13761), .D (nx15808), .CLK ( CLK)) ; xnor2 ix15809 (.Y (nx15808), .A0 (nx13736), .A1 (nx15806)) ; aoi22 ix13737 (.Y (nx13736), .A0 (nx13439), .A1 (PRI_OUT_0[13]), .B0 ( nx14126), .B1 (nx14686)) ; dff REG_1_reg_q_14_ (.Q (PRI_OUT_0[14]), .QB (\$dummy [463]), .D (nx15798), .CLK (CLK)) ; xnor2 ix15799 (.Y (nx15798), .A0 (nx13743), .A1 (nx15796)) ; aoi22 ix13744 (.Y (nx13743), .A0 (nx14674), .A1 (reg_41_q_c_13_), .B0 ( nx14134), .B1 (nx14676)) ; mux21 ix13750 (.Y (nx13749), .A0 (reg_25_q_c_14_), .A1 (PRI_OUT_8[14]), .S0 ( C_MUX2_8_SEL)) ; dff REG_25_reg_q_14_ (.Q (reg_25_q_c_14_), .QB (\$dummy [464]), .D (nx15780) , .CLK (CLK)) ; xnor2 ix15781 (.Y (nx15780), .A0 (nx15262), .A1 (nx13755)) ; oai22 ix15263 (.Y (nx15262), .A0 (nx13259), .A1 (nx13263), .B0 (nx13655), .B1 ( nx13434)) ; dff REG_31_reg_q_14_ (.Q (reg_31_q_c_14_), .QB (nx13899), .D (nx15662), .CLK ( CLK)) ; xnor2 ix15663 (.Y (nx15662), .A0 (nx13764), .A1 (nx15660)) ; mux21 ix13765 (.Y (nx13764), .A0 (nx13277), .A1 (nx14204), .S0 (nx14540)) ; xnor2 ix15661 (.Y (nx15660), .A0 (nx13767), .A1 (nx13897)) ; mux21 ix13768 (.Y (nx13767), .A0 (reg_38_q_c_14_), .A1 (reg_37_q_c_14_), .S0 ( C_MUX2_3_SEL)) ; dff REG_38_reg_q_14_ (.Q (reg_38_q_c_14_), .QB (\$dummy [465]), .D (nx15636) , .CLK (CLK)) ; xor2 ix15637 (.Y (nx15636), .A0 (nx15334), .A1 (nx15634)) ; mux21 ix15335 (.Y (nx15334), .A0 (reg_22_q_c_13_), .A1 (nx13283), .S0 ( nx14514)) ; dff REG_22_reg_q_14_ (.Q (reg_22_q_c_14_), .QB (nx13895), .D (nx15626), .CLK ( CLK)) ; xnor2 ix15627 (.Y (nx15626), .A0 (nx15344), .A1 (nx13783)) ; oai22 ix15345 (.Y (nx15344), .A0 (nx13289), .A1 (nx13293), .B0 (nx6549), .B1 ( nx13469)) ; xnor2 ix13784 (.Y (nx13783), .A0 (reg_28_q_c_14_), .A1 (nx13785)) ; mux21 ix13786 (.Y (nx13785), .A0 (reg_10_q_c_14_), .A1 (nx6557), .S0 ( C_MUX2_14_SEL)) ; mux21 ix15619 (.Y (nx6557), .A0 (nx13789), .A1 (nx13893), .S0 (C_MUX2_21_SEL )) ; mux21 ix13790 (.Y (nx13789), .A0 (PRI_OUT_7[14]), .A1 (reg_17_q_c_14_), .S0 ( C_MUX2_17_SEL)) ; dff REG_6_reg_q_14_ (.Q (PRI_OUT_7[14]), .QB (\$dummy [466]), .D (nx15596), .CLK (CLK)) ; xor2 ix15597 (.Y (nx15596), .A0 (nx15356), .A1 (nx15594)) ; mux21 ix15357 (.Y (nx15356), .A0 (nx13390), .A1 (nx13305), .S0 (nx14474)) ; xnor2 ix15595 (.Y (nx15594), .A0 (nx15538), .A1 (nx13879)) ; mux21 ix15539 (.Y (nx15538), .A0 (nx13789), .A1 (nx13796), .S0 ( C_MUX2_15_SEL)) ; dff REG_12_reg_q_14_ (.Q (reg_12_q_c_14_), .QB (nx13870), .D (nx15500), .CLK ( CLK)) ; xor2 ix15501 (.Y (nx15500), .A0 (nx13801), .A1 (nx13805)) ; aoi22 ix13802 (.Y (nx13801), .A0 (reg_19_q_c_13_), .A1 (reg_12_q_c_13_), .B0 ( nx14248), .B1 (nx14378)) ; dff REG_19_reg_q_14_ (.Q (reg_19_q_c_14_), .QB (nx13869), .D (nx15490), .CLK ( CLK)) ; xnor2 ix15491 (.Y (nx15490), .A0 (nx15378), .A1 (nx13815)) ; mux21 ix13818 (.Y (nx13817), .A0 (nx15480), .A1 (nx15462), .S0 (C_MUX2_1_SEL )) ; mux21 ix15481 (.Y (nx15480), .A0 (nx13821), .A1 (nx13823), .S0 (C_MUX2_6_SEL )) ; dff REG_7_reg_q_14_ (.Q (reg_7_q_c_14_), .QB (nx13821), .D (nx15950), .CLK ( CLK)) ; mux21 ix13824 (.Y (nx13823), .A0 (reg_17_q_c_14_), .A1 (reg_5_q_c_14_), .S0 ( C_MUX2_18_SEL)) ; ao21 ix15463 (.Y (nx15462), .A0 (C_MUX2_9_SEL), .A1 (nx15454), .B0 (nx15460) ) ; ao21 ix15455 (.Y (nx15454), .A0 (C_MUX2_22_SEL), .A1 (reg_14_q_c_14_), .B0 ( nx15390)) ; dff REG_14_reg_q_14_ (.Q (reg_14_q_c_14_), .QB (\$dummy [467]), .D (nx15444) , .CLK (CLK)) ; xor2 ix15445 (.Y (nx15444), .A0 (nx15400), .A1 (nx15442)) ; mux21 ix15401 (.Y (nx15400), .A0 (PRI_IN_7[13]), .A1 (nx13349), .S0 (nx14322 )) ; xnor2 ix15443 (.Y (nx15442), .A0 (PRI_IN_7[14]), .A1 (PRI_OUT_6[14])) ; dff REG_23_reg_q_14_ (.Q (PRI_OUT_6[14]), .QB (\$dummy [468]), .D (nx15434) , .CLK (CLK)) ; xor2 ix15435 (.Y (nx15434), .A0 (nx15557), .A1 (nx13847)) ; dff REG_30_reg_q_14_ (.Q (reg_30_q_c_14_), .QB (\$dummy [469]), .D (nx15424) , .CLK (CLK)) ; xnor2 ix15425 (.Y (nx15424), .A0 (nx13853), .A1 (nx15422)) ; aoi22 ix13854 (.Y (nx13853), .A0 (nx13855), .A1 (reg_12_q_c_13_), .B0 ( nx14300), .B1 (nx14302)) ; inv02 ix13856 (.Y (nx13855), .A (PRI_IN_0[13])) ; nor02 ix15391 (.Y (nx15390), .A0 (C_MUX2_22_SEL), .A1 (nx13865)) ; mux21 ix13866 (.Y (nx13865), .A0 (reg_19_q_c_14_), .A1 (reg_17_q_c_14_), .S0 ( C_MUX2_20_SEL)) ; nor02 ix15461 (.Y (nx15460), .A0 (C_MUX2_9_SEL), .A1 (nx13563)) ; dff REG_16_reg_q_14_ (.Q (reg_16_q_c_14_), .QB (\$dummy [470]), .D (nx15524) , .CLK (CLK)) ; xnor2 ix15525 (.Y (nx15524), .A0 (nx13873), .A1 (nx15522)) ; mux21 ix13874 (.Y (nx13873), .A0 (nx13470), .A1 (nx14400), .S0 (nx14402)) ; xnor2 ix15523 (.Y (nx15522), .A0 (reg_20_q_c_14_), .A1 (nx6557)) ; mux21 ix13880 (.Y (nx13879), .A0 (reg_36_q_c_14_), .A1 (reg_35_q_c_14_), .S0 ( C_MUX2_11_SEL)) ; dff REG_36_reg_q_14_ (.Q (reg_36_q_c_14_), .QB (\$dummy [471]), .D (nx15552) , .CLK (CLK)) ; xor2 ix15553 (.Y (nx15552), .A0 (nx13884), .A1 (nx13887)) ; mux21 ix13886 (.Y (nx13884), .A0 (nx14428), .A1 (nx13389), .S0 (nx13395)) ; xnor2 ix13888 (.Y (nx13887), .A0 (reg_16_q_c_14_), .A1 (nx13785)) ; dff REG_5_reg_q_14_ (.Q (reg_5_q_c_14_), .QB (nx13893), .D (nx15980), .CLK ( CLK)) ; mux21 ix13898 (.Y (nx13897), .A0 (reg_19_q_c_14_), .A1 (reg_11_q_c_14_), .S0 ( C_MUX2_7_SEL)) ; dff REG_47_reg_q_14_ (.Q (\$dummy [472]), .QB (nx13915), .D (nx15720), .CLK ( CLK)) ; xnor2 ix15721 (.Y (nx15720), .A0 (nx15688), .A1 (nx13905)) ; mux21 ix15689 (.Y (nx15688), .A0 (nx13411), .A1 (nx14366), .S0 (nx13413)) ; xnor2 ix13906 (.Y (nx13905), .A0 (nx14791), .A1 (nx15716)) ; ao21 ix15717 (.Y (nx15716), .A0 (C_MUX2_12_SEL), .A1 (reg_15_q_c_14_), .B0 ( nx15692)) ; dff REG_15_reg_q_14_ (.Q (reg_15_q_c_14_), .QB (\$dummy [473]), .D (nx15706) , .CLK (CLK)) ; xnor2 ix15707 (.Y (nx15706), .A0 (nx13910), .A1 (nx15704)) ; aoi22 ix13911 (.Y (nx13910), .A0 (nx13655), .A1 (PRI_IN_1[13]), .B0 (nx14582 ), .B1 (nx14584)) ; xnor2 ix15705 (.Y (nx15704), .A0 (PRI_IN_1[14]), .A1 (nx14765)) ; nor02 ix15693 (.Y (nx15692), .A0 (C_MUX2_12_SEL), .A1 (nx13823)) ; nor02 ix15575 (.Y (nx15574), .A0 (C_MUX2_24_SEL), .A1 (nx14831)) ; nor02 ix15827 (.Y (nx15826), .A0 (C_MUX2_13_SEL), .A1 (nx13935)) ; mux21 ix13936 (.Y (nx13935), .A0 (reg_13_q_c_14_), .A1 (reg_11_q_c_14_), .S0 ( C_MUX2_5_SEL)) ; dff REG_43_reg_q_14_ (.Q (reg_43_q_c_14_), .QB (\$dummy [474]), .D (nx15900) , .CLK (CLK)) ; xnor2 ix15901 (.Y (nx15900), .A0 (nx13941), .A1 (nx15898)) ; mux21 ix13962 (.Y (nx13961), .A0 (reg_18_q_c_14_), .A1 (nx15538), .S0 ( C_MUX2_19_SEL)) ; dff REG_39_reg_q_14_ (.Q (reg_39_q_c_14_), .QB (\$dummy [475]), .D (nx16194) , .CLK (CLK)) ; xnor2 ix16191 (.Y (nx16190), .A0 (nx13968), .A1 (nx16188)) ; aoi22 ix13969 (.Y (nx13968), .A0 (nx15066), .A1 (PRI_IN_4[13]), .B0 (nx14956 ), .B1 (nx15068)) ; xnor2 ix16189 (.Y (nx16188), .A0 (PRI_IN_4[14]), .A1 (nx13973)) ; mux21 ix13974 (.Y (nx13973), .A0 (PRI_OUT_5[14]), .A1 (reg_22_q_c_14_), .S0 ( C_MUX2_25_SEL)) ; dff REG_21_reg_q_14_ (.Q (PRI_OUT_5[14]), .QB (\$dummy [476]), .D (nx16172) , .CLK (CLK)) ; xor2 ix16173 (.Y (nx16172), .A0 (nx16084), .A1 (nx16170)) ; mux21 ix16085 (.Y (nx16084), .A0 (nx13277), .A1 (nx13495), .S0 (nx15050)) ; xnor2 ix16171 (.Y (nx16170), .A0 (reg_48_q_c_14_), .A1 (nx13767)) ; dff REG_48_reg_q_14_ (.Q (reg_48_q_c_14_), .QB (\$dummy [477]), .D (nx16162) , .CLK (CLK)) ; mux21 ix16163 (.Y (nx16162), .A0 (nx13987), .A1 (nx13995), .S0 ( C_MUX2_23_SEL)) ; xnor2 ix13988 (.Y (nx13987), .A0 (nx13989), .A1 (nx13993)) ; aoi22 ix13990 (.Y (nx13989), .A0 (reg_40_q_c_13_), .A1 (reg_13_q_c_13_), .B0 ( nx14972), .B1 (nx14974)) ; xnor2 ix13996 (.Y (nx13995), .A0 (nx13997), .A1 (nx14001)) ; dff REG_9_reg_q_14_ (.Q (PRI_OUT_4[14]), .QB (\$dummy [478]), .D (nx16144), .CLK (CLK)) ; xor2 ix16145 (.Y (nx16144), .A0 (nx14009), .A1 (nx14012)) ; aoi22 ix14010 (.Y (nx14009), .A0 (reg_46_q_c_13_), .A1 (reg_22_q_c_13_), .B0 ( nx15000), .B1 (nx15022)) ; dff REG_46_reg_q_14_ (.Q (\$dummy [479]), .QB (nx14023), .D (nx16134), .CLK ( CLK)) ; xnor2 ix16135 (.Y (nx16134), .A0 (nx14019), .A1 (nx16132)) ; aoi22 ix14020 (.Y (nx14019), .A0 (nx13475), .A1 (PRI_IN_5[13]), .B0 (nx15010 ), .B1 (nx15012)) ; xnor2 ix16133 (.Y (nx16132), .A0 (PRI_IN_5[14]), .A1 (reg_33_q_c_14_)) ; nor02 ix16113 (.Y (nx16112), .A0 (C_MUX2_4_SEL), .A1 (nx13796)) ; dff REG_26_reg_q_15_ (.Q (PRI_OUT_9[15]), .QB (\$dummy [480]), .D (nx17324) , .CLK (CLK)) ; xnor2 ix17325 (.Y (nx17324), .A0 (nx16220), .A1 (nx14045)) ; mux21 ix16221 (.Y (nx16220), .A0 (reg_33_q_c_14_), .A1 (nx13536), .S0 ( nx16202)) ; xor2 ix14046 (.Y (nx14045), .A0 (reg_33_q_c_15_), .A1 (reg_39_q_c_15_)) ; dff REG_33_reg_q_15_ (.Q (reg_33_q_c_15_), .QB (\$dummy [481]), .D (nx17166) , .CLK (CLK)) ; xnor2 ix17167 (.Y (nx17166), .A0 (nx16228), .A1 (nx14055)) ; oai22 ix16229 (.Y (nx16228), .A0 (nx13543), .A1 (nx14053), .B0 (nx13961), .B1 ( nx13960)) ; xor2 ix14056 (.Y (nx14055), .A0 (reg_17_q_c_15_), .A1 (nx14513)) ; dff REG_17_reg_q_15_ (.Q (reg_17_q_c_15_), .QB (\$dummy [482]), .D (nx17148) , .CLK (CLK)) ; xnor2 ix17149 (.Y (nx17148), .A0 (nx16238), .A1 (nx14063)) ; mux21 ix16239 (.Y (nx16238), .A0 (nx14761), .A1 (nx13551), .S0 (nx16026)) ; xnor2 ix14064 (.Y (nx14063), .A0 (reg_29_q_c_15_), .A1 (nx14795)) ; dff REG_29_reg_q_15_ (.Q (reg_29_q_c_15_), .QB (\$dummy [483]), .D (nx17138) , .CLK (CLK)) ; xnor2 ix17139 (.Y (nx17138), .A0 (nx14069), .A1 (nx17136)) ; mux21 ix14070 (.Y (nx14069), .A0 (nx15128), .A1 (nx14071), .S0 (nx13561)) ; xor2 ix17137 (.Y (nx17136), .A0 (nx14077), .A1 (nx16574)) ; mux21 ix14078 (.Y (nx14077), .A0 (reg_20_q_c_15_), .A1 (reg_17_q_c_15_), .S0 ( C_MUX2_16_SEL)) ; dff REG_20_reg_q_15_ (.Q (reg_20_q_c_15_), .QB (\$dummy [484]), .D (nx17120) , .CLK (CLK)) ; xnor2 ix17121 (.Y (nx17120), .A0 (nx16258), .A1 (nx14085)) ; mux21 ix16259 (.Y (nx16258), .A0 (nx14761), .A1 (nx13567), .S0 (nx15998)) ; xnor2 ix14086 (.Y (nx14085), .A0 (reg_28_q_c_15_), .A1 (nx14795)) ; dff REG_28_reg_q_15_ (.Q (reg_28_q_c_15_), .QB (\$dummy [485]), .D (nx17110) , .CLK (CLK)) ; xnor2 ix17111 (.Y (nx17110), .A0 (nx16268), .A1 (nx14095)) ; oai22 ix16269 (.Y (nx16268), .A0 (nx13573), .A1 (nx14093), .B0 (PRI_IN_2[14] ), .B1 (nx13893)) ; xnor2 ix17101 (.Y (nx17100), .A0 (nx16278), .A1 (nx14105)) ; oai22 ix16279 (.Y (nx16278), .A0 (nx13581), .A1 (nx14103), .B0 (PRI_IN_3[14] ), .B1 (nx13956)) ; xnor2 ix17091 (.Y (nx17090), .A0 (nx16286), .A1 (nx14113)) ; oai22 ix16287 (.Y (nx16286), .A0 (nx13589), .A1 (nx13593), .B0 (nx13869), .B1 ( nx13955)) ; xnor2 ix14114 (.Y (nx14113), .A0 (reg_18_q_c_15_), .A1 (reg_19_q_c_15_)) ; dff REG_18_reg_q_15_ (.Q (reg_18_q_c_15_), .QB (\$dummy [486]), .D (nx17080) , .CLK (CLK)) ; xnor2 ix17081 (.Y (nx17080), .A0 (nx16296), .A1 (nx14121)) ; mux21 ix16297 (.Y (nx16296), .A0 (reg_7_q_c_14_), .A1 (nx13599), .S0 ( nx15958)) ; xor2 ix14122 (.Y (nx14121), .A0 (PRI_IN_9[15]), .A1 (reg_7_q_c_15_)) ; dff REG_7_reg_q_15_ (.Q (reg_7_q_c_15_), .QB (\$dummy [487]), .D (nx17070), .CLK (CLK)) ; xnor2 ix17071 (.Y (nx17070), .A0 (nx16304), .A1 (nx14129)) ; oai22 ix16305 (.Y (nx16304), .A0 (nx13607), .A1 (nx13610), .B0 (nx13953), .B1 ( nx13960)) ; xnor2 ix14130 (.Y (nx14129), .A0 (reg_17_q_c_15_), .A1 (reg_42_q_c_15_)) ; dff REG_42_reg_q_15_ (.Q (reg_42_q_c_15_), .QB (\$dummy [488]), .D (nx17060) , .CLK (CLK)) ; xnor2 ix17061 (.Y (nx17060), .A0 (nx14135), .A1 (nx17058)) ; xor2 ix17059 (.Y (nx17058), .A0 (reg_40_q_c_15_), .A1 (nx16836)) ; dff REG_40_reg_q_15_ (.Q (reg_40_q_c_15_), .QB (\$dummy [489]), .D (nx17050) , .CLK (CLK)) ; xnor2 ix17051 (.Y (nx17050), .A0 (nx16322), .A1 (nx14149)) ; mux21 ix16323 (.Y (nx16322), .A0 (reg_5_q_c_14_), .A1 (nx13621), .S0 ( nx15928)) ; dff REG_4_reg_q_15_ (.Q (PRI_OUT_3[15]), .QB (\$dummy [490]), .D (nx17040), .CLK (CLK)) ; xnor2 ix17041 (.Y (nx17040), .A0 (nx16332), .A1 (nx14157)) ; mux21 ix16333 (.Y (nx16332), .A0 (PRI_OUT_3[14]), .A1 (nx13627), .S0 ( nx15918)) ; xor2 ix14158 (.Y (nx14157), .A0 (PRI_OUT_3[15]), .A1 (reg_32_q_c_15_)) ; dff REG_32_reg_q_15_ (.Q (reg_32_q_c_15_), .QB (\$dummy [491]), .D (nx17030) , .CLK (CLK)) ; dff REG_24_reg_q_15_ (.Q (PRI_OUT_8[15]), .QB (\$dummy [492]), .D (nx17000) , .CLK (CLK)) ; xnor2 ix17001 (.Y (nx17000), .A0 (nx16348), .A1 (nx14175)) ; oai22 ix16349 (.Y (nx16348), .A0 (nx13643), .A1 (nx13646), .B0 (nx13937), .B1 ( nx13956)) ; dff REG_34_reg_q_15_ (.Q (reg_34_q_c_15_), .QB (nx14107), .D (nx17090), .CLK ( CLK)) ; dff REG_41_reg_q_15_ (.Q (reg_41_q_c_15_), .QB (\$dummy [493]), .D (nx16990) , .CLK (CLK)) ; xnor2 ix16991 (.Y (nx16990), .A0 (nx16356), .A1 (nx14189)) ; mux21 ix16357 (.Y (nx16356), .A0 (nx13651), .A1 (nx14185), .S0 (nx13659)) ; xnor2 ix14190 (.Y (nx14189), .A0 (PRI_IN_8[15]), .A1 (nx6571)) ; ao21 ix16987 (.Y (nx6571), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_15_), .B0 ( nx16946)) ; dff REG_8_reg_q_15_ (.Q (reg_8_q_c_15_), .QB (\$dummy [494]), .D (nx16976), .CLK (CLK)) ; xnor2 ix16977 (.Y (nx16976), .A0 (nx16954), .A1 (nx14199)) ; mux21 ix16955 (.Y (nx16954), .A0 (nx13665), .A1 (nx13924), .S0 (nx13669)) ; xnor2 ix14200 (.Y (nx14199), .A0 (PRI_OUT_1[15]), .A1 (reg_37_q_c_15_)) ; dff REG_2_reg_q_15_ (.Q (PRI_OUT_1[15]), .QB (\$dummy [495]), .D (nx16966), .CLK (CLK)) ; xnor2 ix16967 (.Y (nx16966), .A0 (nx16962), .A1 (nx14207)) ; oai22 ix16963 (.Y (nx16962), .A0 (nx13674), .A1 (nx13677), .B0 (nx13917), .B1 ( nx13929)) ; xnor2 ix16703 (.Y (nx16702), .A0 (nx14213), .A1 (nx16700)) ; xnor2 ix16701 (.Y (nx16700), .A0 (reg_37_q_c_15_), .A1 (nx14795)) ; dff REG_37_reg_q_15_ (.Q (reg_37_q_c_15_), .QB (\$dummy [496]), .D (nx16890) , .CLK (CLK)) ; dff REG_44_reg_q_15_ (.Q (reg_44_q_c_15_), .QB (\$dummy [497]), .D (nx16880) , .CLK (CLK)) ; xnor2 ix16881 (.Y (nx16880), .A0 (nx16400), .A1 (nx14235)) ; mux21 ix16401 (.Y (nx16400), .A0 (reg_27_q_c_14_), .A1 (nx13697), .S0 ( nx15758)) ; dff REG_10_reg_q_15_ (.Q (reg_10_q_c_15_), .QB (\$dummy [498]), .D (nx16870) , .CLK (CLK)) ; xnor2 ix16871 (.Y (nx16870), .A0 (nx16408), .A1 (nx14241)) ; oai22 ix16409 (.Y (nx16408), .A0 (nx13704), .A1 (nx13707), .B0 (nx13919), .B1 ( nx13893)) ; dff REG_5_reg_q_15_ (.Q (reg_5_q_c_15_), .QB (nx14097), .D (nx17100), .CLK ( CLK)) ; dff REG_11_reg_q_15_ (.Q (reg_11_q_c_15_), .QB (\$dummy [499]), .D (nx16860) , .CLK (CLK)) ; xnor2 ix16861 (.Y (nx16860), .A0 (nx14249), .A1 (nx16858)) ; aoi22 ix14250 (.Y (nx14249), .A0 (nx6557), .A1 (reg_45_q_c_14_), .B0 ( nx15296), .B1 (nx15738)) ; xor2 ix16859 (.Y (nx16858), .A0 (reg_45_q_c_15_), .A1 (nx6568)) ; dff REG_45_reg_q_15_ (.Q (reg_45_q_c_15_), .QB (\$dummy [500]), .D (nx16850) , .CLK (CLK)) ; xnor2 ix16851 (.Y (nx16850), .A0 (nx16424), .A1 (nx14263)) ; oai22 ix16425 (.Y (nx16424), .A0 (nx13723), .A1 (nx13726), .B0 (nx13915), .B1 ( nx13900)) ; dff REG_27_reg_q_15_ (.Q (reg_27_q_c_15_), .QB (nx14449), .D (nx16792), .CLK ( CLK)) ; xnor2 ix16793 (.Y (nx16792), .A0 (nx16434), .A1 (nx14273)) ; dff REG_13_reg_q_15_ (.Q (reg_13_q_c_15_), .QB (\$dummy [501]), .D (nx16928) , .CLK (CLK)) ; xnor2 ix16929 (.Y (nx16928), .A0 (nx16366), .A1 (nx14281)) ; mux21 ix16367 (.Y (nx16366), .A0 (reg_35_q_c_14_), .A1 (nx13736), .S0 ( nx15806)) ; dff REG_1_reg_q_15_ (.Q (PRI_OUT_0[15]), .QB (\$dummy [502]), .D (nx16918), .CLK (CLK)) ; xnor2 ix16919 (.Y (nx16918), .A0 (nx16374), .A1 (nx14291)) ; oai22 ix16375 (.Y (nx16374), .A0 (nx13743), .A1 (nx14289), .B0 (nx13749), .B1 ( nx13937)) ; xor2 ix14292 (.Y (nx14291), .A0 (reg_41_q_c_15_), .A1 (nx14293)) ; mux21 ix14294 (.Y (nx14293), .A0 (reg_25_q_c_15_), .A1 (PRI_OUT_8[15]), .S0 ( C_MUX2_8_SEL)) ; dff REG_25_reg_q_15_ (.Q (reg_25_q_c_15_), .QB (\$dummy [503]), .D (nx16900) , .CLK (CLK)) ; xnor2 ix16901 (.Y (nx16900), .A0 (nx14299), .A1 (nx16898)) ; aoi22 ix14300 (.Y (nx14299), .A0 (nx14765), .A1 (reg_37_q_c_14_), .B0 ( nx15262), .B1 (nx15778)) ; xor2 ix16899 (.Y (nx16898), .A0 (reg_37_q_c_15_), .A1 (nx6571)) ; dff REG_35_reg_q_15_ (.Q (reg_35_q_c_15_), .QB (nx14307), .D (nx16702), .CLK ( CLK)) ; xnor2 ix16783 (.Y (nx16782), .A0 (nx16444), .A1 (nx14318)) ; mux21 ix16445 (.Y (nx16444), .A0 (nx15650), .A1 (nx13764), .S0 (nx15660)) ; xor2 ix14319 (.Y (nx14318), .A0 (nx14320), .A1 (nx14447)) ; mux21 ix14321 (.Y (nx14320), .A0 (reg_38_q_c_15_), .A1 (reg_37_q_c_15_), .S0 ( C_MUX2_3_SEL)) ; dff REG_38_reg_q_15_ (.Q (reg_38_q_c_15_), .QB (\$dummy [504]), .D (nx16756) , .CLK (CLK)) ; xnor2 ix16757 (.Y (nx16756), .A0 (nx14325), .A1 (nx16754)) ; mux21 ix14326 (.Y (nx14325), .A0 (nx13895), .A1 (nx15334), .S0 (nx15634)) ; xnor2 ix16755 (.Y (nx16754), .A0 (reg_22_q_c_15_), .A1 (nx16600)) ; dff REG_22_reg_q_15_ (.Q (reg_22_q_c_15_), .QB (\$dummy [505]), .D (nx16746) , .CLK (CLK)) ; xnor2 ix16747 (.Y (nx16746), .A0 (nx14330), .A1 (nx16744)) ; aoi22 ix14331 (.Y (nx14330), .A0 (nx13785), .A1 (reg_28_q_c_14_), .B0 ( nx15344), .B1 (nx15624)) ; xor2 ix16745 (.Y (nx16744), .A0 (reg_28_q_c_15_), .A1 (nx14335)) ; mux21 ix14336 (.Y (nx14335), .A0 (reg_10_q_c_15_), .A1 (nx6568), .S0 ( C_MUX2_14_SEL)) ; mux21 ix16739 (.Y (nx6568), .A0 (nx14339), .A1 (nx14097), .S0 (C_MUX2_21_SEL )) ; mux21 ix14340 (.Y (nx14339), .A0 (PRI_OUT_7[15]), .A1 (reg_17_q_c_15_), .S0 ( C_MUX2_17_SEL)) ; dff REG_6_reg_q_15_ (.Q (PRI_OUT_7[15]), .QB (\$dummy [506]), .D (nx16716), .CLK (CLK)) ; xnor2 ix16717 (.Y (nx16716), .A0 (nx14345), .A1 (nx16714)) ; mux21 ix14346 (.Y (nx14345), .A0 (nx15592), .A1 (nx15356), .S0 (nx15594)) ; xnor2 ix16715 (.Y (nx16714), .A0 (nx16658), .A1 (nx14434)) ; mux21 ix16659 (.Y (nx16658), .A0 (nx14339), .A1 (nx14353), .S0 ( C_MUX2_15_SEL)) ; mux21 ix14354 (.Y (nx14353), .A0 (reg_12_q_c_15_), .A1 (reg_16_q_c_15_), .S0 ( C_MUX2_2_SEL)) ; xnor2 ix16621 (.Y (nx16620), .A0 (nx16488), .A1 (nx14361)) ; oai22 ix16489 (.Y (nx16488), .A0 (nx13801), .A1 (nx13805), .B0 (nx13869), .B1 ( nx13870)) ; dff REG_19_reg_q_15_ (.Q (reg_19_q_c_15_), .QB (\$dummy [507]), .D (nx16610) , .CLK (CLK)) ; xnor2 ix16611 (.Y (nx16610), .A0 (nx14365), .A1 (nx16608)) ; dff REG_31_reg_q_15_ (.Q (reg_31_q_c_15_), .QB (nx14310), .D (nx16782), .CLK ( CLK)) ; mux21 ix14378 (.Y (nx14377), .A0 (nx16600), .A1 (nx16582), .S0 (C_MUX2_1_SEL )) ; ao21 ix16601 (.Y (nx16600), .A0 (nx6859), .A1 (reg_7_q_c_15_), .B0 (nx16598) ) ; nor02 ix16599 (.Y (nx16598), .A0 (nx6859), .A1 (nx14383)) ; mux21 ix14384 (.Y (nx14383), .A0 (reg_17_q_c_15_), .A1 (reg_5_q_c_15_), .S0 ( C_MUX2_18_SEL)) ; ao21 ix16583 (.Y (nx16582), .A0 (C_MUX2_9_SEL), .A1 (nx16574), .B0 (nx16580) ) ; ao21 ix16575 (.Y (nx16574), .A0 (C_MUX2_22_SEL), .A1 (reg_14_q_c_15_), .B0 ( nx16510)) ; dff REG_14_reg_q_15_ (.Q (reg_14_q_c_15_), .QB (\$dummy [508]), .D (nx16564) , .CLK (CLK)) ; xnor2 ix16565 (.Y (nx16564), .A0 (nx14389), .A1 (nx16562)) ; aoi22 ix14390 (.Y (nx14389), .A0 (nx14391), .A1 (PRI_OUT_6[14]), .B0 ( nx15400), .B1 (nx15442)) ; inv02 ix14392 (.Y (nx14391), .A (PRI_IN_7[14])) ; xnor2 ix16563 (.Y (nx16562), .A0 (PRI_IN_7[15]), .A1 (PRI_OUT_6[15])) ; dff REG_23_reg_q_15_ (.Q (PRI_OUT_6[15]), .QB (\$dummy [509]), .D (nx16554) , .CLK (CLK)) ; dff REG_30_reg_q_15_ (.Q (reg_30_q_c_15_), .QB (\$dummy [510]), .D (nx16544) , .CLK (CLK)) ; xnor2 ix16545 (.Y (nx16544), .A0 (nx16540), .A1 (nx14411)) ; oai22 ix16541 (.Y (nx16540), .A0 (nx13853), .A1 (nx14409), .B0 (PRI_IN_0[14] ), .B1 (nx13870)) ; dff REG_12_reg_q_15_ (.Q (reg_12_q_c_15_), .QB (nx14413), .D (nx16620), .CLK ( CLK)) ; nor02 ix16511 (.Y (nx16510), .A0 (C_MUX2_22_SEL), .A1 (nx14421)) ; mux21 ix14422 (.Y (nx14421), .A0 (reg_19_q_c_15_), .A1 (reg_17_q_c_15_), .S0 ( C_MUX2_20_SEL)) ; nor02 ix16581 (.Y (nx16580), .A0 (C_MUX2_9_SEL), .A1 (nx14077)) ; dff REG_16_reg_q_15_ (.Q (reg_16_q_c_15_), .QB (\$dummy [511]), .D (nx16644) , .CLK (CLK)) ; xnor2 ix16645 (.Y (nx16644), .A0 (nx16640), .A1 (nx14431)) ; mux21 ix16641 (.Y (nx16640), .A0 (reg_20_q_c_14_), .A1 (nx13873), .S0 ( nx15522)) ; xor2 ix14432 (.Y (nx14431), .A0 (reg_20_q_c_15_), .A1 (nx6568)) ; mux21 ix14435 (.Y (nx14434), .A0 (reg_36_q_c_15_), .A1 (reg_35_q_c_15_), .S0 ( C_MUX2_11_SEL)) ; dff REG_36_reg_q_15_ (.Q (reg_36_q_c_15_), .QB (\$dummy [512]), .D (nx16672) , .CLK (CLK)) ; xnor2 ix16673 (.Y (nx16672), .A0 (nx16668), .A1 (nx14439)) ; mux21 ix16669 (.Y (nx16668), .A0 (nx13884), .A1 (reg_16_q_c_14_), .S0 ( nx13887)) ; xnor2 ix14440 (.Y (nx14439), .A0 (reg_16_q_c_15_), .A1 (nx14335)) ; mux21 ix14448 (.Y (nx14447), .A0 (reg_19_q_c_15_), .A1 (reg_11_q_c_15_), .S0 ( C_MUX2_7_SEL)) ; dff REG_47_reg_q_15_ (.Q (reg_47_q_c_15_), .QB (\$dummy [513]), .D (nx16840) , .CLK (CLK)) ; xnor2 ix16841 (.Y (nx16840), .A0 (nx14453), .A1 (nx16838)) ; mux21 ix14454 (.Y (nx14453), .A0 (nx15688), .A1 (nx14791), .S0 (nx13905)) ; xor2 ix16839 (.Y (nx16838), .A0 (nx14377), .A1 (nx16836)) ; ao21 ix16837 (.Y (nx16836), .A0 (C_MUX2_12_SEL), .A1 (reg_15_q_c_15_), .B0 ( nx16812)) ; dff REG_15_reg_q_15_ (.Q (reg_15_q_c_15_), .QB (\$dummy [514]), .D (nx16826) , .CLK (CLK)) ; xnor2 ix16827 (.Y (nx16826), .A0 (nx16822), .A1 (nx14465)) ; mux21 ix16823 (.Y (nx16822), .A0 (nx6563), .A1 (nx13910), .S0 (nx15704)) ; xor2 ix14466 (.Y (nx14465), .A0 (PRI_IN_1[15]), .A1 (nx6571)) ; nor02 ix16813 (.Y (nx16812), .A0 (C_MUX2_12_SEL), .A1 (nx14383)) ; aoi21 ix14480 (.Y (nx14479), .A0 (C_MUX2_24_SEL), .A1 (reg_27_q_c_15_), .B0 ( nx16694)) ; nor02 ix16695 (.Y (nx16694), .A0 (C_MUX2_24_SEL), .A1 (nx14795)) ; nor02 ix16947 (.Y (nx16946), .A0 (C_MUX2_13_SEL), .A1 (nx14485)) ; mux21 ix14486 (.Y (nx14485), .A0 (reg_13_q_c_15_), .A1 (reg_11_q_c_15_), .S0 ( C_MUX2_5_SEL)) ; dff REG_43_reg_q_15_ (.Q (reg_43_q_c_15_), .QB (\$dummy [515]), .D (nx17020) , .CLK (CLK)) ; xnor2 ix17021 (.Y (nx17020), .A0 (nx17016), .A1 (nx14497)) ; oai22 ix17017 (.Y (nx17016), .A0 (nx13941), .A1 (nx14495), .B0 (nx15716), .B1 ( nx13956)) ; mux21 ix14514 (.Y (nx14513), .A0 (reg_18_q_c_15_), .A1 (nx16658), .S0 ( C_MUX2_19_SEL)) ; dff REG_39_reg_q_15_ (.Q (reg_39_q_c_15_), .QB (\$dummy [516]), .D (nx17314) , .CLK (CLK)) ; mux21 ix17315 (.Y (nx17314), .A0 (nx14519), .A1 (nx14525), .S0 ( C_MUX2_10_SEL)) ; xnor2 ix17183 (.Y (nx17182), .A0 (reg_44_q_c_15_), .A1 (nx14377)) ; xnor2 ix14526 (.Y (nx14525), .A0 (nx17196), .A1 (nx17308)) ; mux21 ix17197 (.Y (nx17196), .A0 (nx13973), .A1 (nx13968), .S0 (nx16188)) ; xnor2 ix17309 (.Y (nx17308), .A0 (PRI_IN_4[15]), .A1 (nx14531)) ; mux21 ix14532 (.Y (nx14531), .A0 (PRI_OUT_5[15]), .A1 (reg_22_q_c_15_), .S0 ( C_MUX2_25_SEL)) ; dff REG_21_reg_q_15_ (.Q (PRI_OUT_5[15]), .QB (\$dummy [517]), .D (nx17292) , .CLK (CLK)) ; xnor2 ix17293 (.Y (nx17292), .A0 (nx14535), .A1 (nx17290)) ; aoi22 ix14536 (.Y (nx14535), .A0 (nx15650), .A1 (reg_48_q_c_14_), .B0 ( nx16084), .B1 (nx16170)) ; xnor2 ix17291 (.Y (nx17290), .A0 (reg_48_q_c_15_), .A1 (nx14320)) ; dff REG_48_reg_q_15_ (.Q (reg_48_q_c_15_), .QB (\$dummy [518]), .D (nx17282) , .CLK (CLK)) ; xnor2 ix17217 (.Y (nx17216), .A0 (nx17212), .A1 (nx14546)) ; oai22 ix17213 (.Y (nx17212), .A0 (nx13989), .A1 (nx13993), .B0 (nx13951), .B1 ( nx13761)) ; xnor2 ix14547 (.Y (nx14546), .A0 (reg_13_q_c_15_), .A1 (reg_40_q_c_15_)) ; mux21 ix14557 (.Y (nx14556), .A0 (nx6566), .A1 (PRI_OUT_4[15]), .S0 ( C_MUX2_4_SEL)) ; dff REG_9_reg_q_15_ (.Q (PRI_OUT_4[15]), .QB (\$dummy [519]), .D (nx17264), .CLK (CLK)) ; xnor2 ix17265 (.Y (nx17264), .A0 (nx17240), .A1 (nx14563)) ; oai22 ix17241 (.Y (nx17240), .A0 (nx14009), .A1 (nx14012), .B0 (nx14023), .B1 ( nx13895)) ; xnor2 ix14564 (.Y (nx14563), .A0 (reg_22_q_c_15_), .A1 (reg_46_q_c_15_)) ; dff REG_46_reg_q_15_ (.Q (reg_46_q_c_15_), .QB (\$dummy [520]), .D (nx17254) , .CLK (CLK)) ; xnor2 ix17255 (.Y (nx17254), .A0 (nx17250), .A1 (nx14571)) ; mux21 ix17251 (.Y (nx17250), .A0 (reg_33_q_c_14_), .A1 (nx14019), .S0 ( nx16132)) ; xor2 ix14572 (.Y (nx14571), .A0 (PRI_IN_5[15]), .A1 (reg_33_q_c_15_)) ; dff REG_3_reg_q_0_ (.Q (PRI_OUT_2[0]), .QB (\$dummy [521]), .D (nx17338), .CLK ( CLK)) ; dff REG_3_reg_q_1_ (.Q (PRI_OUT_2[1]), .QB (\$dummy [522]), .D (nx17348), .CLK ( CLK)) ; xor2 ix17349 (.Y (nx17348), .A0 (nx14587), .A1 (nx14589)) ; dff REG_3_reg_q_2_ (.Q (PRI_OUT_2[2]), .QB (\$dummy [523]), .D (nx17366), .CLK ( CLK)) ; xor2 ix17367 (.Y (nx17366), .A0 (nx14595), .A1 (nx14599)) ; aoi32 ix14596 (.Y (nx14595), .A0 (reg_31_q_c_0_), .A1 (reg_42_q_c_0_), .A2 ( nx17346), .B0 (reg_42_q_c_1_), .B1 (reg_31_q_c_1_)) ; dff REG_3_reg_q_3_ (.Q (PRI_OUT_2[3]), .QB (\$dummy [524]), .D (nx17384), .CLK ( CLK)) ; xnor2 ix17385 (.Y (nx17384), .A0 (nx17380), .A1 (nx14605)) ; oai22 ix17381 (.Y (nx17380), .A0 (nx14595), .A1 (nx14599), .B0 (nx7831), .B1 ( nx7779)) ; dff REG_3_reg_q_4_ (.Q (PRI_OUT_2[4]), .QB (\$dummy [525]), .D (nx17402), .CLK ( CLK)) ; xor2 ix17403 (.Y (nx17402), .A0 (nx14611), .A1 (nx14614)) ; aoi22 ix14612 (.Y (nx14611), .A0 (reg_42_q_c_3_), .A1 (reg_31_q_c_3_), .B0 ( nx17380), .B1 (nx17382)) ; dff REG_3_reg_q_5_ (.Q (PRI_OUT_2[5]), .QB (\$dummy [526]), .D (nx17420), .CLK ( CLK)) ; xnor2 ix17421 (.Y (nx17420), .A0 (nx17416), .A1 (nx14621)) ; oai22 ix17417 (.Y (nx17416), .A0 (nx14611), .A1 (nx14614), .B0 (nx8867), .B1 ( nx8813)) ; dff REG_3_reg_q_6_ (.Q (PRI_OUT_2[6]), .QB (\$dummy [527]), .D (nx17438), .CLK ( CLK)) ; xor2 ix17439 (.Y (nx17438), .A0 (nx14626), .A1 (nx14629)) ; aoi22 ix14627 (.Y (nx14626), .A0 (reg_42_q_c_5_), .A1 (reg_31_q_c_5_), .B0 ( nx17416), .B1 (nx17418)) ; dff REG_3_reg_q_7_ (.Q (PRI_OUT_2[7]), .QB (\$dummy [528]), .D (nx17456), .CLK ( CLK)) ; xnor2 ix17457 (.Y (nx17456), .A0 (nx17452), .A1 (nx14635)) ; oai22 ix17453 (.Y (nx17452), .A0 (nx14626), .A1 (nx14629), .B0 (nx9897), .B1 ( nx9843)) ; dff REG_3_reg_q_8_ (.Q (PRI_OUT_2[8]), .QB (\$dummy [529]), .D (nx17474), .CLK ( CLK)) ; xor2 ix17475 (.Y (nx17474), .A0 (nx14641), .A1 (nx14644)) ; aoi22 ix14642 (.Y (nx14641), .A0 (reg_42_q_c_7_), .A1 (reg_31_q_c_7_), .B0 ( nx17452), .B1 (nx17454)) ; dff REG_3_reg_q_9_ (.Q (PRI_OUT_2[9]), .QB (\$dummy [530]), .D (nx17492), .CLK ( CLK)) ; xnor2 ix17493 (.Y (nx17492), .A0 (nx17488), .A1 (nx14651)) ; oai22 ix17489 (.Y (nx17488), .A0 (nx14641), .A1 (nx14644), .B0 (nx10911), .B1 ( nx10857)) ; dff REG_3_reg_q_10_ (.Q (PRI_OUT_2[10]), .QB (\$dummy [531]), .D (nx17510), .CLK (CLK)) ; xor2 ix17511 (.Y (nx17510), .A0 (nx14656), .A1 (nx14659)) ; aoi22 ix14657 (.Y (nx14656), .A0 (reg_42_q_c_9_), .A1 (reg_31_q_c_9_), .B0 ( nx17488), .B1 (nx17490)) ; dff REG_3_reg_q_11_ (.Q (PRI_OUT_2[11]), .QB (\$dummy [532]), .D (nx17528), .CLK (CLK)) ; xnor2 ix17529 (.Y (nx17528), .A0 (nx17524), .A1 (nx14665)) ; oai22 ix17525 (.Y (nx17524), .A0 (nx14656), .A1 (nx14659), .B0 (nx11923), .B1 ( nx11863)) ; dff REG_3_reg_q_12_ (.Q (PRI_OUT_2[12]), .QB (\$dummy [533]), .D (nx17546), .CLK (CLK)) ; xor2 ix17547 (.Y (nx17546), .A0 (nx14671), .A1 (nx14675)) ; aoi22 ix14672 (.Y (nx14671), .A0 (reg_42_q_c_11_), .A1 (reg_31_q_c_11_), .B0 ( nx17524), .B1 (nx17526)) ; dff REG_3_reg_q_13_ (.Q (PRI_OUT_2[13]), .QB (\$dummy [534]), .D (nx17564), .CLK (CLK)) ; xnor2 ix17565 (.Y (nx17564), .A0 (nx17560), .A1 (nx14682)) ; oai22 ix17561 (.Y (nx17560), .A0 (nx14671), .A1 (nx14675), .B0 (nx12930), .B1 ( nx12873)) ; dff REG_3_reg_q_14_ (.Q (PRI_OUT_2[14]), .QB (\$dummy [535]), .D (nx17582), .CLK (CLK)) ; xor2 ix17583 (.Y (nx17582), .A0 (nx14687), .A1 (nx14691)) ; aoi22 ix14688 (.Y (nx14687), .A0 (reg_42_q_c_13_), .A1 (reg_31_q_c_13_), .B0 ( nx17560), .B1 (nx17562)) ; dff REG_3_reg_q_15_ (.Q (PRI_OUT_2[15]), .QB (\$dummy [536]), .D (nx17600), .CLK (CLK)) ; xnor2 ix17601 (.Y (nx17600), .A0 (nx17596), .A1 (nx14697)) ; oai22 ix17597 (.Y (nx17596), .A0 (nx14687), .A1 (nx14691), .B0 (nx13953), .B1 ( nx13899)) ; inv02 ix17563 (.Y (nx17562), .A (nx14682)) ; inv02 ix17527 (.Y (nx17526), .A (nx14665)) ; inv02 ix17491 (.Y (nx17490), .A (nx14651)) ; inv02 ix17455 (.Y (nx17454), .A (nx14635)) ; inv02 ix17419 (.Y (nx17418), .A (nx14621)) ; inv02 ix17383 (.Y (nx17382), .A (nx14605)) ; inv02 ix17347 (.Y (nx17346), .A (nx14589)) ; inv02 ix16655 (.Y (nx6566), .A (nx14353)) ; inv02 ix14523 (.Y (nx14522), .A (nx16062)) ; inv02 ix14054 (.Y (nx14053), .A (nx16044)) ; inv02 ix14094 (.Y (nx14093), .A (nx15988)) ; inv02 ix14104 (.Y (nx14103), .A (nx15978)) ; inv02 ix15939 (.Y (nx15938), .A (nx13617)) ; inv02 ix14496 (.Y (nx14495), .A (nx15898)) ; inv02 ix14290 (.Y (nx14289), .A (nx15796)) ; inv02 ix15779 (.Y (nx15778), .A (nx13755)) ; inv02 ix15739 (.Y (nx15738), .A (nx13717)) ; inv02 ix14186 (.Y (nx14185), .A (nx6563)) ; inv02 ix15651 (.Y (nx15650), .A (nx13767)) ; inv02 ix15625 (.Y (nx15624), .A (nx13783)) ; inv02 ix15593 (.Y (nx15592), .A (nx13879)) ; inv02 ix15581 (.Y (nx15580), .A (nx13684)) ; inv02 ix15489 (.Y (nx15488), .A (nx13815)) ; inv02 ix14072 (.Y (nx14071), .A (nx15454)) ; inv02 ix14410 (.Y (nx14409), .A (nx15422)) ; inv02 ix15067 (.Y (nx15066), .A (nx13491)) ; inv02 ix15037 (.Y (nx15036), .A (nx13505)) ; inv02 ix15023 (.Y (nx15022), .A (nx13513)) ; inv02 ix14975 (.Y (nx14974), .A (nx13527)) ; inv02 ix14923 (.Y (nx14922), .A (nx13473)) ; inv02 ix14849 (.Y (nx14848), .A (nx13097)) ; inv02 ix14829 (.Y (nx14828), .A (nx13111)) ; inv02 ix14759 (.Y (nx14758), .A (nx13153)) ; inv02 ix14749 (.Y (nx14748), .A (nx13165)) ; inv02 ix14735 (.Y (nx14734), .A (nx13175)) ; inv02 ix14725 (.Y (nx14724), .A (nx13183)) ; inv02 ix14675 (.Y (nx14674), .A (nx13254)) ; inv02 ix14629 (.Y (nx14628), .A (nx13210)) ; inv02 ix14609 (.Y (nx14608), .A (nx13229)) ; inv02 ix13616 (.Y (nx13615), .A (nx14596)) ; inv02 ix13656 (.Y (nx13655), .A (nx6552)) ; inv02 ix13438 (.Y (nx13437), .A (nx6551)) ; inv02 ix14503 (.Y (nx6549), .A (nx13295)) ; inv02 ix13715 (.Y (nx13714), .A (nx6547)) ; inv02 ix14379 (.Y (nx14378), .A (nx13320)) ; inv02 ix14367 (.Y (nx14366), .A (nx13337)) ; inv02 ix13484 (.Y (nx13483), .A (nx13822)) ; inv02 ix13038 (.Y (nx13037), .A (nx13804)) ; inv02 ix13078 (.Y (nx13077), .A (nx13748)) ; inv02 ix13088 (.Y (nx13087), .A (nx13738)) ; inv02 ix13699 (.Y (nx13698), .A (nx12591)) ; inv02 ix13454 (.Y (nx13453), .A (nx13658)) ; inv02 ix13252 (.Y (nx13251), .A (nx13556)) ; inv02 ix13539 (.Y (nx13538), .A (nx12733)) ; inv02 ix13499 (.Y (nx13498), .A (nx12687)) ; inv02 ix13162 (.Y (nx13161), .A (nx6543)) ; inv02 ix13411 (.Y (nx13410), .A (nx12745)) ; inv02 ix13385 (.Y (nx13384), .A (nx12763)) ; inv02 ix13353 (.Y (nx13352), .A (nx12852)) ; inv02 ix13341 (.Y (nx13340), .A (nx12653)) ; inv02 ix13249 (.Y (nx13248), .A (nx12797)) ; inv02 ix13056 (.Y (nx13055), .A (nx13214)) ; inv02 ix13368 (.Y (nx13367), .A (nx13182)) ; inv02 ix12827 (.Y (nx12826), .A (nx12459)) ; inv02 ix12797 (.Y (nx12796), .A (nx12479)) ; inv02 ix12783 (.Y (nx12782), .A (nx12489)) ; inv02 ix12735 (.Y (nx12734), .A (nx12503)) ; inv02 ix12683 (.Y (nx12682), .A (nx12443)) ; inv02 ix12609 (.Y (nx12608), .A (nx12081)) ; inv02 ix12589 (.Y (nx12588), .A (nx12093)) ; inv02 ix12549 (.Y (nx12548), .A (nx12125)) ; inv02 ix12519 (.Y (nx12518), .A (nx12133)) ; inv02 ix12509 (.Y (nx12508), .A (nx12143)) ; inv02 ix12495 (.Y (nx12494), .A (nx12149)) ; inv02 ix12485 (.Y (nx12484), .A (nx12157)) ; inv02 ix12435 (.Y (nx12434), .A (nx12233)) ; inv02 ix12389 (.Y (nx12388), .A (nx12189)) ; inv02 ix12369 (.Y (nx12368), .A (nx12209)) ; inv02 ix12590 (.Y (nx12589), .A (nx12356)) ; inv02 ix12627 (.Y (nx12626), .A (nx6534)) ; inv02 ix12410 (.Y (nx12409), .A (nx6533)) ; inv02 ix12263 (.Y (nx6532), .A (nx12275)) ; inv02 ix12684 (.Y (nx12683), .A (nx6531)) ; inv02 ix12139 (.Y (nx12138), .A (nx12297)) ; inv02 ix12127 (.Y (nx12126), .A (nx12313)) ; inv02 ix12453 (.Y (nx12452), .A (nx11582)) ; inv02 ix12030 (.Y (nx12029), .A (nx11564)) ; inv02 ix12069 (.Y (nx12068), .A (nx11508)) ; inv02 ix12076 (.Y (nx12075), .A (nx11498)) ; inv02 ix11459 (.Y (nx11458), .A (nx11553)) ; inv02 ix12425 (.Y (nx12424), .A (nx11418)) ; inv02 ix12230 (.Y (nx12229), .A (nx11316)) ; inv02 ix11299 (.Y (nx11298), .A (nx11703)) ; inv02 ix11259 (.Y (nx11258), .A (nx11663)) ; inv02 ix12140 (.Y (nx12139), .A (nx6523)) ; inv02 ix11171 (.Y (nx11170), .A (nx11717)) ; inv02 ix11145 (.Y (nx11144), .A (nx11730)) ; inv02 ix11113 (.Y (nx11112), .A (nx11841)) ; inv02 ix11101 (.Y (nx11100), .A (nx11627)) ; inv02 ix11009 (.Y (nx11008), .A (nx11773)) ; inv02 ix12048 (.Y (nx12047), .A (nx10974)) ; inv02 ix12346 (.Y (nx12345), .A (nx10942)) ; inv02 ix10587 (.Y (nx10586), .A (nx11429)) ; inv02 ix10557 (.Y (nx10556), .A (nx11444)) ; inv02 ix10543 (.Y (nx10542), .A (nx11451)) ; inv02 ix10495 (.Y (nx10494), .A (nx11466)) ; inv02 ix10443 (.Y (nx10442), .A (nx11411)) ; inv02 ix10369 (.Y (nx10368), .A (nx11059)) ; inv02 ix10349 (.Y (nx10348), .A (nx11075)) ; inv02 ix10309 (.Y (nx10308), .A (nx11107)) ; inv02 ix10279 (.Y (nx10278), .A (nx11113)) ; inv02 ix10269 (.Y (nx10268), .A (nx11123)) ; inv02 ix10255 (.Y (nx10254), .A (nx11131)) ; inv02 ix10245 (.Y (nx10244), .A (nx11137)) ; inv02 ix10195 (.Y (nx10194), .A (nx11207)) ; inv02 ix10169 (.Y (nx10168), .A (nx11153)) ; inv02 ix10149 (.Y (nx10148), .A (nx11164)) ; inv02 ix10129 (.Y (nx10128), .A (nx11185)) ; inv02 ix11552 (.Y (nx11551), .A (nx10116)) ; inv02 ix11594 (.Y (nx11593), .A (nx6509)) ; inv02 ix11383 (.Y (nx11382), .A (nx6507)) ; inv02 ix10023 (.Y (nx6505), .A (nx11249)) ; inv02 ix11661 (.Y (nx11660), .A (nx6503)) ; inv02 ix9899 (.Y (nx9898), .A (nx11271)) ; inv02 ix9887 (.Y (nx9886), .A (nx11285)) ; inv02 ix11422 (.Y (nx11421), .A (nx9342)) ; inv02 ix11012 (.Y (nx11011), .A (nx9324)) ; inv02 ix11044 (.Y (nx11043), .A (nx9268)) ; inv02 ix11051 (.Y (nx11050), .A (nx9258)) ; inv02 ix9219 (.Y (nx9218), .A (nx10540)) ; inv02 ix11397 (.Y (nx11396), .A (nx9178)) ; inv02 ix11204 (.Y (nx11202), .A (nx9076)) ; inv02 ix9059 (.Y (nx9058), .A (nx10695)) ; inv02 ix9019 (.Y (nx9018), .A (nx10647)) ; inv02 ix11121 (.Y (nx11120), .A (nx6495)) ; inv02 ix8931 (.Y (nx8930), .A (nx10707)) ; inv02 ix8905 (.Y (nx8904), .A (nx10727)) ; inv02 ix8873 (.Y (nx8872), .A (nx10836)) ; inv02 ix8861 (.Y (nx8860), .A (nx10608)) ; inv02 ix8769 (.Y (nx8768), .A (nx10769)) ; inv02 ix11024 (.Y (nx11023), .A (nx8734)) ; inv02 ix11314 (.Y (nx11313), .A (nx8702)) ; inv02 ix8347 (.Y (nx8346), .A (nx10399)) ; inv02 ix8317 (.Y (nx8316), .A (nx10413)) ; inv02 ix8303 (.Y (nx8302), .A (nx10422)) ; inv02 ix8255 (.Y (nx8254), .A (nx10436)) ; inv02 ix8203 (.Y (nx8202), .A (nx10382)) ; inv02 ix8129 (.Y (nx8128), .A (nx10039)) ; inv02 ix8109 (.Y (nx8108), .A (nx10049)) ; inv02 ix8069 (.Y (nx8068), .A (nx10078)) ; inv02 ix8039 (.Y (nx8038), .A (nx10083)) ; inv02 ix8029 (.Y (nx8028), .A (nx10095)) ; inv02 ix8015 (.Y (nx8014), .A (nx10105)) ; inv02 ix8005 (.Y (nx8004), .A (nx10111)) ; inv02 ix7955 (.Y (nx7954), .A (nx10176)) ; inv02 ix7909 (.Y (nx7908), .A (nx10137)) ; inv02 ix7889 (.Y (nx7888), .A (nx10155)) ; inv02 ix10539 (.Y (nx10538), .A (nx7876)) ; inv02 ix10579 (.Y (nx10578), .A (nx6486)) ; inv02 ix10350 (.Y (nx10349), .A (nx6485)) ; inv02 ix7783 (.Y (nx6483), .A (nx10214)) ; inv02 ix10644 (.Y (nx10643), .A (nx6481)) ; inv02 ix7659 (.Y (nx7658), .A (nx10239)) ; inv02 ix7647 (.Y (nx7646), .A (nx10255)) ; inv02 ix10392 (.Y (nx10391), .A (nx7102)) ; inv02 ix9990 (.Y (nx9989), .A (nx7084)) ; inv02 ix10024 (.Y (nx10023), .A (nx7028)) ; inv02 ix10032 (.Y (nx10031), .A (nx7018)) ; inv02 ix6979 (.Y (nx6978), .A (nx9517)) ; inv02 ix10365 (.Y (nx10364), .A (nx6938)) ; inv02 ix10174 (.Y (nx10173), .A (nx6836)) ; inv02 ix6819 (.Y (nx6818), .A (nx9685)) ; inv02 ix6779 (.Y (nx6778), .A (nx9637)) ; inv02 ix10092 (.Y (nx10091), .A (nx6477)) ; inv02 ix6691 (.Y (nx6690), .A (nx9699)) ; inv02 ix6665 (.Y (nx6664), .A (nx9719)) ; inv02 ix6633 (.Y (nx6632), .A (nx9823)) ; inv02 ix6621 (.Y (nx6620), .A (nx9597)) ; inv02 ix6529 (.Y (nx6528), .A (nx9761)) ; inv02 ix10005 (.Y (nx10004), .A (nx6494)) ; inv02 ix10286 (.Y (nx10285), .A (nx6462)) ; inv02 ix6107 (.Y (nx6106), .A (nx9373)) ; inv02 ix6077 (.Y (nx6076), .A (nx9393)) ; inv02 ix6063 (.Y (nx6062), .A (nx9403)) ; inv02 ix6015 (.Y (nx6014), .A (nx9421)) ; inv02 ix5963 (.Y (nx5962), .A (nx9353)) ; inv02 ix5889 (.Y (nx5888), .A (nx9009)) ; inv02 ix5869 (.Y (nx5868), .A (nx9019)) ; inv02 ix5829 (.Y (nx5828), .A (nx9046)) ; inv02 ix5799 (.Y (nx5798), .A (nx9053)) ; inv02 ix5789 (.Y (nx5788), .A (nx9063)) ; inv02 ix5775 (.Y (nx5774), .A (nx9069)) ; inv02 ix5765 (.Y (nx5764), .A (nx9077)) ; inv02 ix5715 (.Y (nx5714), .A (nx9149)) ; inv02 ix5669 (.Y (nx5668), .A (nx9105)) ; inv02 ix5649 (.Y (nx5648), .A (nx9127)) ; inv02 ix9516 (.Y (nx9515), .A (nx15465)) ; inv02 ix9564 (.Y (nx9563), .A (nx15466)) ; inv02 ix9318 (.Y (nx9317), .A (nx6467)) ; inv02 ix5543 (.Y (nx6466), .A (nx9189)) ; inv02 ix9634 (.Y (nx9633), .A (nx6465)) ; inv02 ix5419 (.Y (nx5418), .A (nx9211)) ; inv02 ix5407 (.Y (nx5406), .A (nx9225_XX0_XREP83)) ; inv02 ix5353 (.Y (nx5352), .A (nx9247)) ; inv02 ix9364 (.Y (nx9363), .A (nx4862)) ; inv02 ix8960 (.Y (nx8959), .A (nx4844)) ; inv02 ix8994 (.Y (nx8993), .A (nx4788)) ; inv02 ix9004 (.Y (nx9003), .A (nx4778)) ; inv02 ix4739 (.Y (nx4738), .A (nx8503)) ; inv02 ix9333 (.Y (nx9332), .A (nx4698)) ; inv02 ix9146 (.Y (nx9145), .A (nx4596)) ; inv02 ix4579 (.Y (nx4578), .A (nx8671)) ; inv02 ix4539 (.Y (nx4538), .A (nx8623)) ; inv02 ix9060 (.Y (nx9059), .A (nx6457)) ; inv02 ix4451 (.Y (nx4450), .A (nx8683)) ; inv02 ix4425 (.Y (nx4424), .A (nx8703)) ; inv02 ix4393 (.Y (nx4392), .A (nx8792)) ; inv02 ix4381 (.Y (nx4380), .A (nx8583)) ; inv02 ix4289 (.Y (nx4288), .A (nx8735)) ; inv02 ix8976 (.Y (nx8975), .A (nx4254)) ; inv02 ix9255 (.Y (nx9254), .A (nx4222)) ; inv02 ix3867 (.Y (nx3866), .A (nx8353)) ; inv02 ix3837 (.Y (nx3836), .A (nx8368)) ; inv02 ix3823 (.Y (nx3822), .A (nx8375)) ; inv02 ix3775 (.Y (nx3774), .A (nx8395)) ; inv02 ix3723 (.Y (nx3722), .A (nx8334)) ; inv02 ix3649 (.Y (nx3648), .A (nx7969)) ; inv02 ix3629 (.Y (nx3628), .A (nx7979)) ; inv02 ix3589 (.Y (nx3588), .A (nx8013)) ; inv02 ix3559 (.Y (nx3558), .A (nx8020)) ; inv02 ix3549 (.Y (nx3548), .A (nx8029)) ; inv02 ix3535 (.Y (nx3534), .A (nx8036)) ; inv02 ix3525 (.Y (nx3524), .A (nx8043)) ; inv02 ix3475 (.Y (nx3474), .A (nx8111)) ; inv02 ix3449 (.Y (nx3448), .A (nx8061)) ; inv02 ix3429 (.Y (nx3428), .A (nx8071)) ; inv02 ix3409 (.Y (nx3408), .A (nx8089)) ; inv02 ix8502 (.Y (nx8501), .A (nx3396_XX0_XREP42)) ; inv02 ix8550 (.Y (nx8549), .A (nx6447)) ; inv02 ix8300 (.Y (nx8299), .A (nx6445)) ; inv02 ix3303 (.Y (nx6444), .A (nx8146)) ; inv02 ix8620 (.Y (nx8619), .A (nx6443)) ; inv02 ix3179 (.Y (nx3178), .A (nx8169)) ; inv02 ix3167 (.Y (nx3166), .A (nx8187_XX0_XREP61)) ; inv02 ix8344 (.Y (nx8343), .A (nx2622)) ; inv02 ix7925 (.Y (nx7924), .A (nx2604)) ; inv02 ix7954 (.Y (nx7953), .A (nx2548)) ; inv02 ix7963 (.Y (nx7962), .A (nx2538)) ; inv02 ix2499 (.Y (nx2498), .A (nx7457)) ; inv02 ix8316 (.Y (nx8315), .A (nx2458)) ; inv02 ix8108 (.Y (nx8107), .A (nx2356)) ; inv02 ix2339 (.Y (nx2338), .A (nx7627)) ; inv02 ix2299 (.Y (nx2298), .A (nx7583)) ; inv02 ix8026 (.Y (nx8025), .A (nx6437)) ; inv02 ix2211 (.Y (nx2210), .A (nx7639)) ; inv02 ix2153 (.Y (nx2152), .A (nx7758)) ; inv02 ix2141 (.Y (nx2140), .A (nx7541)) ; inv02 ix2049 (.Y (nx2048), .A (nx7697)) ; inv02 ix7938 (.Y (nx7937), .A (nx2014)) ; inv02 ix8218 (.Y (nx8217), .A (nx1982)) ; inv02 ix1627 (.Y (nx1626), .A (nx7292)) ; inv02 ix1583 (.Y (nx1582), .A (nx7327)) ; inv02 ix1561 (.Y (nx1560), .A (nx7311)) ; inv02 ix1541 (.Y (nx1540), .A (nx7272)) ; inv02 ix1467 (.Y (nx1466), .A (nx6955)) ; inv02 ix1447 (.Y (nx1446), .A (nx6966)) ; inv02 ix1387 (.Y (nx1386), .A (nx6995)) ; inv02 ix1377 (.Y (nx1376), .A (nx7006)) ; inv02 ix1363 (.Y (nx1362), .A (nx7015)) ; inv02 ix1353 (.Y (nx1352), .A (nx7021)) ; inv02 ix1319 (.Y (nx1318), .A (nx7075)) ; inv02 ix1293 (.Y (nx1292), .A (nx7035)) ; inv02 ix1273 (.Y (nx1272), .A (nx7046)) ; inv02 ix1253 (.Y (nx1252), .A (nx7061)) ; inv02 ix7456 (.Y (nx7455), .A (nx1240_XX0_XREP13)) ; inv02 ix7508 (.Y (nx7507), .A (nx15459)) ; inv02 ix7240 (.Y (nx7239), .A (nx6425)) ; inv02 ix1071 (.Y (nx1070), .A (nx7143)) ; inv02 ix1059 (.Y (nx1058), .A (nx7151_XX0_XREP19)) ; inv02 ix959 (.Y (nx958), .A (nx6912)) ; inv02 ix6910 (.Y (nx6909), .A (nx940)) ; inv02 ix937 (.Y (nx936), .A (nx7289)) ; inv02 ix865 (.Y (nx864), .A (nx6890)) ; inv02 ix797 (.Y (nx796), .A (nx6844)) ; inv02 ix753 (.Y (nx752), .A (nx6840)) ; inv02 ix685 (.Y (nx684), .A (nx6833)) ; inv02 ix669 (.Y (nx668), .A (nx6964)) ; inv02 ix639 (.Y (nx638), .A (nx6826)) ; inv02 ix559 (.Y (nx558), .A (nx6999)) ; inv02 ix499 (.Y (nx498), .A (nx6807)) ; inv02 ix431 (.Y (nx430), .A (nx6802)) ; inv02 ix415 (.Y (nx414), .A (nx7044)) ; inv02 ix349 (.Y (nx348), .A (nx6793)) ; inv02 ix6641 (.Y (nx6640), .A (nx6413)) ; inv02 ix297 (.Y (nx296), .A (nx6679)) ; inv02 ix7669 (.Y (nx7668), .A (nx220)) ; inv02 ix6768 (.Y (nx6767), .A (nx6412)) ; inv02 ix7124 (.Y (nx7123), .A (nx170)) ; inv02 ix775 (.Y (nx6409), .A (nx6596)) ; dff REG_13_reg_q_0__rep_1 (.Q (nx14707), .QB (\$dummy [537]), .D (nx506), .CLK ( CLK)) ; dff REG_37_reg_q_0__rep_1 (.Q (nx14709), .QB (\$dummy [538]), .D (nx452), .CLK ( CLK)) ; dff REG_17_reg_q_1__rep_1 (.Q (nx14711), .QB (\$dummy [539]), .D (nx1526), .CLK ( CLK)) ; inv02 ix14712 (.Y (nx14713), .A (nx7807)) ; inv02 ix14714 (.Y (nx14715), .A (nx14819)) ; dff REG_17_reg_q_3__rep_1 (.Q (nx14719), .QB (\$dummy [540]), .D (nx3708), .CLK ( CLK)) ; inv02 ix14720 (.Y (nx14721), .A (nx8843)) ; inv02 ix14722 (.Y (nx14723), .A (nx14821)) ; ao21 ix14724 (.Y (nx14725), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_4_), .B0 ( nx4626)) ; dff REG_17_reg_q_5__rep_1 (.Q (nx14727), .QB (\$dummy [541]), .D (nx5948), .CLK ( CLK)) ; inv02 ix14728 (.Y (nx14729), .A (nx9873)) ; inv02 ix14730 (.Y (nx14731), .A (nx14823)) ; ao21 ix14732 (.Y (nx14733), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_6_), .B0 ( nx6866)) ; dff REG_17_reg_q_7__rep_1 (.Q (nx14735), .QB (\$dummy [542]), .D (nx8188), .CLK ( CLK)) ; inv02 ix14736 (.Y (nx14737), .A (nx10887)) ; inv02 ix14738 (.Y (nx14739), .A (nx14825)) ; ao21 ix14740 (.Y (nx14741), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_8_), .B0 ( nx9106)) ; dff REG_17_reg_q_9__rep_1 (.Q (nx14743), .QB (\$dummy [543]), .D (nx10428), .CLK (CLK)) ; inv02 ix14744 (.Y (nx14745), .A (nx11897)) ; inv02 ix14746 (.Y (nx14747), .A (nx14827)) ; ao21 ix14748 (.Y (nx14749), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_10_), .B0 ( nx11346)) ; dff REG_17_reg_q_11__rep_1 (.Q (nx14751), .QB (\$dummy [544]), .D (nx12668) , .CLK (CLK)) ; inv02 ix14752 (.Y (nx14753), .A (nx12907)) ; inv02 ix14754 (.Y (nx14755), .A (nx14829)) ; ao21 ix14756 (.Y (nx14757), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_12_), .B0 ( nx13586)) ; dff REG_17_reg_q_13__rep_1 (.Q (nx14759), .QB (\$dummy [545]), .D (nx14908) , .CLK (CLK)) ; inv02 ix14760 (.Y (nx14761), .A (nx13927)) ; inv02 ix14762 (.Y (nx14763), .A (nx14831)) ; ao21 ix14764 (.Y (nx14765), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_14_), .B0 ( nx15826)) ; buf02 ix14768 (.Y (nx14769), .A (nx7699)) ; buf02 ix14772 (.Y (nx14773), .A (nx8737)) ; buf02 ix14776 (.Y (nx14777), .A (nx9763)) ; buf02 ix14780 (.Y (nx14781), .A (nx10771)) ; buf02 ix14782 (.Y (nx14783), .A (nx11775)) ; buf02 ix14784 (.Y (nx14785), .A (nx11775)) ; buf02 ix14786 (.Y (nx14787), .A (nx12799)) ; buf02 ix14788 (.Y (nx14789), .A (nx12799)) ; buf02 ix14790 (.Y (nx14791), .A (nx13817)) ; buf02 ix14792 (.Y (nx14793), .A (nx13817)) ; buf02 ix14794 (.Y (nx14795), .A (nx14479)) ; xor2 ix827 (.Y (nx826), .A0 (nx6719), .A1 (nx6847)) ; xor2 ix707 (.Y (nx706), .A0 (reg_18_q_c_0_), .A1 (reg_19_q_c_0_)) ; xor2 ix661 (.Y (nx660), .A0 (reg_40_q_c_0_), .A1 (nx366)) ; xnor2 ix579 (.Y (nx578), .A0 (nx6607), .A1 (reg_41_q_c_0_)) ; xor2 ix565 (.Y (nx564), .A0 (PRI_IN_8[0]), .A1 (nx6413)) ; xor2 ix489 (.Y (nx488), .A0 (nx6637), .A1 (nx6651)) ; xor2 ix467 (.Y (nx466), .A0 (nx14709), .A1 (nx6413)) ; xor2 ix453 (.Y (nx452), .A0 (PRI_IN_6[0]), .A1 (reg_44_q_c_0_)) ; xnor2 ix407 (.Y (nx406), .A0 (nx6799), .A1 (nx15872)) ; xnor2 ix393 (.Y (nx392), .A0 (nx6785), .A1 (reg_47_q_c_0_)) ; xnor2 ix135 (.Y (nx134), .A0 (nx15458), .A1 (reg_19_q_c_0_)) ; xor2 ix209 (.Y (nx208), .A0 (nx14709), .A1 (nx6412)) ; xor2 ix547 (.Y (nx546), .A0 (PRI_OUT_1[0]), .A1 (reg_37_q_c_0_)) ; xnor2 ix533 (.Y (nx532), .A0 (nx6773), .A1 (reg_45_q_c_0_)) ; and02 ix6853 (.Y (nx6852), .A0 (nx6707), .A1 (nx6659)) ; xnor2 ix887 (.Y (nx886), .A0 (nx6683), .A1 (reg_46_q_c_0_)) ; xor2 ix1643 (.Y (nx1642), .A0 (nx7275), .A1 (reg_39_q_c_1_)) ; xor2 ix1497 (.Y (nx1496), .A0 (nx7267), .A1 (nx6425)) ; xor2 ix1487 (.Y (nx1486), .A0 (PRI_IN_2[1]), .A1 (nx15908)) ; xor2 ix1457 (.Y (nx1456), .A0 (PRI_IN_9[1]), .A1 (nx7155)) ; or02 ix6965 (.Y (nx6964), .A0 (nx6719), .A1 (nx6619)) ; xnor2 ix1427 (.Y (nx1426), .A0 (nx7259), .A1 (nx15908)) ; xor2 ix1417 (.Y (nx1416), .A0 (nx7259), .A1 (reg_32_q_c_1_)) ; or02 ix6994 (.Y (nx6993), .A0 (nx6607), .A1 (nx6637)) ; xor2 ix7016 (.Y (nx7015), .A0 (PRI_OUT_1[1]), .A1 (nx7236)) ; or02 ix7020 (.Y (nx7019), .A0 (nx6773), .A1 (nx6799)) ; xnor2 ix7022 (.Y (nx7021), .A0 (nx7241), .A1 (nx15906)) ; xor2 ix7028 (.Y (nx7027), .A0 (nx7236), .A1 (nx6425)) ; xor2 ix1283 (.Y (nx1282), .A0 (reg_10_q_c_1_), .A1 (nx7213)) ; or02 ix7045 (.Y (nx7044), .A0 (nx6603), .A1 (nx6667)) ; xor2 ix7047 (.Y (nx7046), .A0 (nx15908), .A1 (reg_11_q_c_1_)) ; xor2 ix7062 (.Y (nx7061), .A0 (nx7213), .A1 (reg_47_q_c_1_)) ; xnor2 ix1215 (.Y (nx1214), .A0 (nx7087), .A1 (nx7211)) ; xor2 ix1331 (.Y (nx1330), .A0 (PRI_OUT_0[1]), .A1 (nx7241)) ; or02 ix7142 (.Y (nx7141), .A0 (nx15458), .A1 (nx6703)) ; xor2 ix7150 (.Y (nx7149), .A0 (nx7211), .A1 (nx7151)) ; xor2 ix1085 (.Y (nx1084), .A0 (nx7269), .A1 (nx6421)) ; xnor2 ix7312 (.Y (nx7311), .A0 (nx7087), .A1 (nx7261)) ; xor2 ix1573 (.Y (nx1572), .A0 (PRI_IN_5[1]), .A1 (nx7275)) ; xor2 ix2605 (.Y (nx2604), .A0 (nx7838), .A1 (nx7839)) ; xor2 ix2587 (.Y (nx2586), .A0 (reg_29_q_c_2_), .A1 (nx14819)) ; xor2 ix2559 (.Y (nx2558), .A0 (reg_28_q_c_2_), .A1 (nx14819)) ; xor2 ix2549 (.Y (nx2548), .A0 (PRI_IN_2[2]), .A1 (nx7770)) ; xor2 ix2539 (.Y (nx2538), .A0 (PRI_IN_3[2]), .A1 (nx7834)) ; xnor2 ix7426 (.Y (nx7425), .A0 (nx7833), .A1 (nx7748)) ; xor2 ix2519 (.Y (nx2518), .A0 (PRI_IN_9[2]), .A1 (nx7703)) ; xnor2 ix7448 (.Y (nx7447), .A0 (nx7838), .A1 (nx7831)) ; xor2 ix7458 (.Y (nx7457), .A0 (nx7829), .A1 (nx2276_XX0_XREP23)) ; xor2 ix2489 (.Y (nx2488), .A0 (PRI_OUT_3[2]), .A1 (nx7770)) ; xnor2 ix7496 (.Y (nx7495), .A0 (nx7834), .A1 (nx7817)) ; xor2 ix7524 (.Y (nx7523), .A0 (PRI_OUT_1[2]), .A1 (nx7804)) ; xnor2 ix7534 (.Y (nx7533), .A0 (nx7809), .A1 (nx7800)) ; xnor2 ix7542 (.Y (nx7541), .A0 (nx7804), .A1 (nx14819)) ; xor2 ix7552 (.Y (nx7551), .A0 (PRI_IN_6[2]), .A1 (nx7803)) ; xor2 ix2319 (.Y (nx2318), .A0 (reg_10_q_c_2_), .A1 (nx7781)) ; xnor2 ix7572 (.Y (nx7571), .A0 (nx7770), .A1 (nx7801)) ; xor2 ix7584 (.Y (nx7583), .A0 (nx7800), .A1 (nx6433)) ; xnor2 ix7592 (.Y (nx7591), .A0 (nx7781), .A1 (nx7799)) ; xnor2 ix2231 (.Y (nx2230), .A0 (nx7631), .A1 (nx7779)) ; xor2 ix2367 (.Y (nx2366), .A0 (PRI_OUT_0[2]), .A1 (nx7809)) ; xor2 ix2357 (.Y (nx2356), .A0 (nx7817), .A1 (nx7619)) ; xor2 ix7628 (.Y (nx7627), .A0 (nx7804), .A1 (nx14717_XX0_XREP27)) ; xor2 ix2195 (.Y (nx2194), .A0 (nx7773), .A1 (nx2040)) ; xnor2 ix7686 (.Y (nx7685), .A0 (nx15461), .A1 (nx7748)) ; xor2 ix7698 (.Y (nx7697), .A0 (nx7779), .A1 (nx14767)) ; xor2 ix1983 (.Y (nx1982), .A0 (PRI_IN_0[2]), .A1 (nx7749)) ; aoi21 ix2139 (.Y (nx7807), .A0 (C_MUX2_24_SEL), .A1 (reg_27_q_c_2_), .B0 ( nx2134)) ; xor2 ix2459 (.Y (nx2458), .A0 (nx7834), .A1 (nx2276_XX0_XREP23)) ; xnor2 ix7873 (.Y (nx7872), .A0 (nx7631), .A1 (nx7829)) ; xnor2 ix7890 (.Y (nx7889), .A0 (nx7773), .A1 (nx7899)) ; xor2 ix2623 (.Y (nx2622), .A0 (nx7803), .A1 (nx14767_XX0_XREP31)) ; xor2 ix3883 (.Y (nx3882), .A0 (nx8336), .A1 (reg_39_q_c_3_)) ; xor2 ix3679 (.Y (nx3678), .A0 (nx8328), .A1 (nx6445)) ; xor2 ix3669 (.Y (nx3668), .A0 (PRI_IN_2[3]), .A1 (nx8257)) ; xor2 ix3639 (.Y (nx3638), .A0 (PRI_IN_9[3]), .A1 (nx8191)) ; xor2 ix7992 (.Y (nx7991), .A0 (nx8324), .A1 (nx3396_XX0_XREP42)) ; xnor2 ix3609 (.Y (nx3608), .A0 (nx8323), .A1 (nx8257)) ; xor2 ix3599 (.Y (nx3598), .A0 (nx8323), .A1 (reg_32_q_c_3_)) ; xor2 ix8037 (.Y (nx8036), .A0 (PRI_OUT_1[3]), .A1 (nx8296)) ; xnor2 ix8044 (.Y (nx8043), .A0 (nx8301), .A1 (nx8289)) ; xor2 ix8052 (.Y (nx8051), .A0 (nx8296), .A1 (nx6445)) ; xor2 ix3439 (.Y (nx3438), .A0 (reg_10_q_c_3_), .A1 (nx8267)) ; xor2 ix8072 (.Y (nx8071), .A0 (nx8257), .A1 (reg_11_q_c_3_)) ; xor2 ix8084 (.Y (nx8083), .A0 (nx8289), .A1 (nx6443)) ; xor2 ix8090 (.Y (nx8089), .A0 (nx8267), .A1 (reg_47_q_c_3_)) ; ao22 ix2995 (.Y (nx2994), .A0 (nx7631), .A1 (reg_31_q_c_2_), .B0 (nx14803), .B1 (nx2230)) ; inv02 ix14802 (.Y (nx14803), .A (nx7597)) ; xnor2 ix3351 (.Y (nx3350), .A0 (nx8123), .A1 (nx8265)) ; xor2 ix3487 (.Y (nx3486), .A0 (PRI_OUT_0[3]), .A1 (nx8301)) ; xor2 ix8120 (.Y (nx8119), .A0 (nx8296), .A1 (nx6447_XX0_XREP48)) ; xor2 ix8145 (.Y (nx8144), .A0 (nx8328), .A1 (nx8146)) ; xor2 ix8185 (.Y (nx8184), .A0 (nx8265), .A1 (nx8187)) ; xor2 ix3203 (.Y (nx3202), .A0 (nx8329), .A1 (nx6443)) ; xor2 ix8252 (.Y (nx8251), .A0 (nx8241), .A1 (nx8146)) ; xor2 ix3813 (.Y (nx3812), .A0 (PRI_IN_5[3]), .A1 (nx8336)) ; xnor2 ix8396 (.Y (nx8395), .A0 (nx8123), .A1 (nx8324)) ; xor2 ix4845 (.Y (nx4844), .A0 (nx8877), .A1 (nx8878)) ; xor2 ix4827 (.Y (nx4826), .A0 (reg_29_q_c_4_), .A1 (nx14821)) ; xor2 ix4799 (.Y (nx4798), .A0 (reg_28_q_c_4_), .A1 (nx14821)) ; xor2 ix4789 (.Y (nx4788), .A0 (PRI_IN_2[4]), .A1 (nx8806)) ; xor2 ix4779 (.Y (nx4778), .A0 (PRI_IN_3[4]), .A1 (nx8869)) ; xnor2 ix8476 (.Y (nx8475), .A0 (nx8868), .A1 (nx8784)) ; xor2 ix4759 (.Y (nx4758), .A0 (PRI_IN_9[4]), .A1 (nx8741)) ; xnor2 ix8494 (.Y (nx8493), .A0 (nx8877), .A1 (nx8867)) ; xor2 ix8504 (.Y (nx8503), .A0 (nx8866), .A1 (nx4516_XX0_XREP63)) ; xor2 ix4729 (.Y (nx4728), .A0 (PRI_OUT_3[4]), .A1 (nx8806)) ; xnor2 ix8540 (.Y (nx8539), .A0 (nx8869), .A1 (nx8853)) ; xor2 ix8566 (.Y (nx8565), .A0 (PRI_OUT_1[4]), .A1 (nx8840)) ; xnor2 ix8576 (.Y (nx8575), .A0 (nx8845), .A1 (nx8836)) ; xnor2 ix8584 (.Y (nx8583), .A0 (nx8840), .A1 (nx14821)) ; xor2 ix8594 (.Y (nx8593), .A0 (PRI_IN_6[4]), .A1 (nx8839)) ; xor2 ix4559 (.Y (nx4558), .A0 (reg_10_q_c_4_), .A1 (nx8814)) ; xnor2 ix8612 (.Y (nx8611), .A0 (nx8806), .A1 (nx8837)) ; xor2 ix8624 (.Y (nx8623), .A0 (nx8836), .A1 (nx6453)) ; xnor2 ix8634 (.Y (nx8633), .A0 (nx8814), .A1 (nx8835)) ; xnor2 ix4471 (.Y (nx4470), .A0 (nx8675), .A1 (nx8813)) ; xor2 ix4607 (.Y (nx4606), .A0 (PRI_OUT_0[4]), .A1 (nx8845)) ; xor2 ix4597 (.Y (nx4596), .A0 (nx8853), .A1 (nx8663)) ; xor2 ix8672 (.Y (nx8671), .A0 (nx8840), .A1 (nx14725)) ; xor2 ix4435 (.Y (nx4434), .A0 (nx8808), .A1 (nx4280)) ; xnor2 ix8726 (.Y (nx8725), .A0 (nx8785), .A1 (nx8784)) ; xor2 ix8736 (.Y (nx8735), .A0 (nx8813), .A1 (nx14771_XX0_XREP67)) ; xor2 ix4223 (.Y (nx4222), .A0 (PRI_IN_0[4]), .A1 (nx8785)) ; aoi21 ix4379 (.Y (nx8843), .A0 (C_MUX2_24_SEL), .A1 (reg_27_q_c_4_), .B0 ( nx4374)) ; xor2 ix4699 (.Y (nx4698), .A0 (nx8869), .A1 (nx4516)) ; xnor2 ix8910 (.Y (nx8909), .A0 (nx8675), .A1 (nx8866)) ; xnor2 ix8926 (.Y (nx8925), .A0 (nx8808), .A1 (nx8935)) ; xor2 ix4863 (.Y (nx4862), .A0 (nx8839), .A1 (nx14771_XX0_XREP67)) ; xor2 ix6123 (.Y (nx6122), .A0 (nx9355), .A1 (reg_39_q_c_5_)) ; xor2 ix5919 (.Y (nx5918), .A0 (nx9347), .A1 (nx6467)) ; xor2 ix5909 (.Y (nx5908), .A0 (PRI_IN_2[5]), .A1 (nx9285)) ; xor2 ix5879 (.Y (nx5878), .A0 (PRI_IN_9[5]), .A1 (nx9229)) ; xor2 ix9030 (.Y (nx9029), .A0 (nx9341), .A1 (nx5636)) ; xnor2 ix5849 (.Y (nx5848), .A0 (nx9339), .A1 (nx9285)) ; xor2 ix5839 (.Y (nx5838), .A0 (nx9339), .A1 (reg_32_q_c_5_)) ; xor2 ix9070 (.Y (nx9069), .A0 (PRI_OUT_1[5]), .A1 (nx9314)) ; xnor2 ix9078 (.Y (nx9077), .A0 (nx9319), .A1 (nx9310)) ; xor2 ix9086 (.Y (nx9085), .A0 (nx9314), .A1 (nx6467)) ; xor2 ix5679 (.Y (nx5678), .A0 (reg_10_q_c_5_), .A1 (nx9293)) ; xor2 ix9106 (.Y (nx9105), .A0 (nx9285), .A1 (reg_11_q_c_5_)) ; xor2 ix9120 (.Y (nx9119), .A0 (nx9310), .A1 (nx6465)) ; xor2 ix9128 (.Y (nx9127), .A0 (nx9293), .A1 (reg_47_q_c_5_)) ; ao22 ix5235 (.Y (nx5234), .A0 (nx8675), .A1 (reg_31_q_c_4_), .B0 (nx14805), .B1 (nx4470)) ; inv02 ix14804 (.Y (nx14805), .A (nx8639)) ; xnor2 ix5591 (.Y (nx5590), .A0 (nx9162), .A1 (nx9291)) ; xor2 ix5727 (.Y (nx5726), .A0 (PRI_OUT_0[5]), .A1 (nx9319)) ; xor2 ix9158 (.Y (nx9157), .A0 (nx9314), .A1 (nx6468)) ; xor2 ix9187 (.Y (nx9186), .A0 (nx9347), .A1 (nx9189)) ; xor2 ix9224 (.Y (nx9223), .A0 (nx9291), .A1 (nx9225)) ; xor2 ix5443 (.Y (nx5442), .A0 (nx9349), .A1 (nx6465)) ; xor2 ix9282 (.Y (nx9281), .A0 (nx9274), .A1 (nx9189)) ; xor2 ix6053 (.Y (nx6052), .A0 (PRI_IN_5[5]), .A1 (nx9355)) ; xnor2 ix9422 (.Y (nx9421), .A0 (nx9162), .A1 (nx9341)) ; xor2 ix7085 (.Y (nx7084), .A0 (nx9905), .A1 (nx9906)) ; xor2 ix7067 (.Y (nx7066), .A0 (reg_29_q_c_6_), .A1 (nx14823)) ; xor2 ix7039 (.Y (nx7038), .A0 (reg_28_q_c_6_), .A1 (nx14823)) ; xor2 ix7029 (.Y (nx7028), .A0 (PRI_IN_2[6]), .A1 (nx9836)) ; xor2 ix7019 (.Y (nx7018), .A0 (PRI_IN_3[6]), .A1 (nx9901)) ; xnor2 ix9491 (.Y (nx9490), .A0 (nx9899), .A1 (nx9811)) ; xor2 ix6999 (.Y (nx6998), .A0 (PRI_IN_9[6]), .A1 (nx9767)) ; xnor2 ix9508 (.Y (nx9507), .A0 (nx9905), .A1 (nx9897)) ; xor2 ix9518 (.Y (nx9517), .A0 (nx9896), .A1 (nx15467)) ; xor2 ix6969 (.Y (nx6968), .A0 (PRI_OUT_3[6]), .A1 (nx9836)) ; xnor2 ix9554 (.Y (nx9553), .A0 (nx9901), .A1 (nx9883)) ; xor2 ix9580 (.Y (nx9579), .A0 (PRI_OUT_1[6]), .A1 (nx9869)) ; xnor2 ix9590 (.Y (nx9589), .A0 (nx9875), .A1 (nx9863)) ; xnor2 ix9598 (.Y (nx9597), .A0 (nx9869), .A1 (nx14823)) ; xor2 ix6799 (.Y (nx6798), .A0 (reg_10_q_c_6_), .A1 (nx9845)) ; xnor2 ix9626 (.Y (nx9625), .A0 (nx9836), .A1 (nx9865)) ; xor2 ix9638 (.Y (nx9637), .A0 (nx9863), .A1 (nx6473)) ; xnor2 ix9648 (.Y (nx9647), .A0 (nx9845), .A1 (nx9861)) ; xnor2 ix6711 (.Y (nx6710), .A0 (nx9690), .A1 (nx9843)) ; xor2 ix6847 (.Y (nx6846), .A0 (PRI_OUT_0[6]), .A1 (nx9875)) ; xor2 ix6837 (.Y (nx6836), .A0 (nx9883), .A1 (nx9677)) ; xor2 ix9686 (.Y (nx9685), .A0 (nx9869), .A1 (nx14733)) ; xor2 ix6675 (.Y (nx6674), .A0 (nx9838), .A1 (nx6520)) ; xnor2 ix9748 (.Y (nx9747), .A0 (nx9812), .A1 (nx9811)) ; xor2 ix9762 (.Y (nx9761), .A0 (nx9843), .A1 (nx14775)) ; xor2 ix6463 (.Y (nx6462), .A0 (PRI_IN_0[6]), .A1 (nx9812)) ; aoi21 ix6619 (.Y (nx9873), .A0 (C_MUX2_24_SEL), .A1 (reg_27_q_c_6_), .B0 ( nx6614)) ; xor2 ix6939 (.Y (nx6938), .A0 (nx9901), .A1 (nx15467)) ; xnor2 ix9938 (.Y (nx9937), .A0 (nx9690), .A1 (nx9896)) ; xnor2 ix9957 (.Y (nx9956), .A0 (nx9838), .A1 (nx9963)) ; xor2 ix7103 (.Y (nx7102), .A0 (nx9867), .A1 (nx14775_XX0_XREP101)) ; xor2 ix8363 (.Y (nx8362), .A0 (nx10384), .A1 (reg_39_q_c_7_)) ; xor2 ix8159 (.Y (nx8158), .A0 (nx10376), .A1 (nx6485)) ; xor2 ix8149 (.Y (nx8148), .A0 (PRI_IN_2[7]), .A1 (nx10317)) ; xor2 ix8119 (.Y (nx8118), .A0 (PRI_IN_9[7]), .A1 (nx10259)) ; xor2 ix10062 (.Y (nx10061), .A0 (nx10372), .A1 (nx7876)) ; xnor2 ix8089 (.Y (nx8088), .A0 (nx10371), .A1 (nx10317)) ; xor2 ix8079 (.Y (nx8078), .A0 (nx10371), .A1 (reg_32_q_c_7_)) ; xor2 ix10106 (.Y (nx10105), .A0 (PRI_OUT_1[7]), .A1 (nx10346)) ; xnor2 ix10112 (.Y (nx10111), .A0 (nx10351), .A1 (nx10342)) ; xor2 ix10120 (.Y (nx10119), .A0 (nx10346), .A1 (nx6485)) ; xor2 ix7919 (.Y (nx7918), .A0 (reg_10_q_c_7_), .A1 (nx10325)) ; xor2 ix10138 (.Y (nx10137), .A0 (nx10317), .A1 (reg_11_q_c_7_)) ; xor2 ix10150 (.Y (nx10149), .A0 (nx10342), .A1 (nx6481)) ; xor2 ix10156 (.Y (nx10155), .A0 (nx10325), .A1 (reg_47_q_c_7_)) ; ao22 ix7475 (.Y (nx7474), .A0 (nx9690), .A1 (reg_31_q_c_6_), .B0 (nx14807), .B1 (nx6710)) ; inv02 ix14806 (.Y (nx14807), .A (nx9653)) ; xnor2 ix7831 (.Y (nx7830), .A0 (nx10188), .A1 (nx10324)) ; xor2 ix7967 (.Y (nx7966), .A0 (PRI_OUT_0[7]), .A1 (nx10351)) ; xor2 ix10185 (.Y (nx10184), .A0 (nx10346), .A1 (nx6486)) ; xor2 ix10213 (.Y (nx10212), .A0 (nx10376), .A1 (nx10214)) ; xor2 ix10254 (.Y (nx10253), .A0 (nx10324), .A1 (nx10255)) ; xor2 ix7683 (.Y (nx7682), .A0 (nx10377), .A1 (nx6481)) ; xor2 ix10314 (.Y (nx10313), .A0 (nx10305), .A1 (nx10214)) ; xor2 ix8293 (.Y (nx8292), .A0 (PRI_IN_5[7]), .A1 (nx10384)) ; xnor2 ix10437 (.Y (nx10436), .A0 (nx10188), .A1 (nx10372)) ; xor2 ix9325 (.Y (nx9324), .A0 (nx10919), .A1 (nx10921)) ; xor2 ix9307 (.Y (nx9306), .A0 (reg_29_q_c_8_), .A1 (nx14825)) ; xor2 ix9279 (.Y (nx9278), .A0 (reg_28_q_c_8_), .A1 (nx14825)) ; xor2 ix9269 (.Y (nx9268), .A0 (PRI_IN_2[8]), .A1 (nx10849)) ; xor2 ix9259 (.Y (nx9258), .A0 (PRI_IN_3[8]), .A1 (nx10913)) ; xnor2 ix10516 (.Y (nx10515), .A0 (nx10912), .A1 (nx10825)) ; xor2 ix9239 (.Y (nx9238), .A0 (PRI_IN_9[8]), .A1 (nx10775)) ; xnor2 ix10532 (.Y (nx10531), .A0 (nx10919), .A1 (nx10911)) ; xor2 ix10541 (.Y (nx10540), .A0 (nx10909), .A1 (nx8996)) ; xor2 ix9209 (.Y (nx9208), .A0 (PRI_OUT_3[8]), .A1 (nx10849)) ; xnor2 ix10570 (.Y (nx10569), .A0 (nx10913), .A1 (nx10895)) ; xor2 ix10594 (.Y (nx10593), .A0 (PRI_OUT_1[8]), .A1 (nx10883)) ; xnor2 ix10602 (.Y (nx10601), .A0 (nx10889), .A1 (nx10878)) ; xnor2 ix10609 (.Y (nx10608), .A0 (nx10883), .A1 (nx14825)) ; xor2 ix9039 (.Y (nx9038), .A0 (reg_10_q_c_8_), .A1 (nx10858)) ; xnor2 ix10636 (.Y (nx10635), .A0 (nx10849), .A1 (nx10879)) ; xor2 ix10648 (.Y (nx10647), .A0 (nx10878), .A1 (nx6490)) ; xnor2 ix10658 (.Y (nx10657), .A0 (nx10858), .A1 (nx10877)) ; xnor2 ix8951 (.Y (nx8950), .A0 (nx10699), .A1 (nx10857)) ; xor2 ix9087 (.Y (nx9086), .A0 (PRI_OUT_0[8]), .A1 (nx10889)) ; xor2 ix9077 (.Y (nx9076), .A0 (nx10895), .A1 (nx10687)) ; xor2 ix10696 (.Y (nx10695), .A0 (nx10883), .A1 (nx14741)) ; xor2 ix8915 (.Y (nx8914), .A0 (nx10851), .A1 (nx8760)) ; xnor2 ix10756 (.Y (nx10755), .A0 (nx10826), .A1 (nx10825)) ; xor2 ix10770 (.Y (nx10769), .A0 (nx10857), .A1 (nx14779)) ; xor2 ix8703 (.Y (nx8702), .A0 (PRI_IN_0[8]), .A1 (nx10826)) ; aoi21 ix8859 (.Y (nx10887), .A0 (C_MUX2_24_SEL), .A1 (reg_27_q_c_8_), .B0 ( nx8854)) ; xor2 ix9179 (.Y (nx9178), .A0 (nx10913), .A1 (nx8996)) ; xnor2 ix10956 (.Y (nx10955), .A0 (nx10699), .A1 (nx10909)) ; xnor2 ix10974 (.Y (nx10973), .A0 (nx10851), .A1 (nx10983)) ; xor2 ix9343 (.Y (nx9342), .A0 (nx10882), .A1 (nx14779)) ; xor2 ix10603 (.Y (nx10602), .A0 (nx11413), .A1 (reg_39_q_c_9_)) ; xor2 ix10399 (.Y (nx10398), .A0 (nx11407), .A1 (nx6507)) ; xor2 ix10389 (.Y (nx10388), .A0 (PRI_IN_2[9]), .A1 (nx11344)) ; xor2 ix10359 (.Y (nx10358), .A0 (PRI_IN_9[9]), .A1 (nx11289)) ; xor2 ix11086 (.Y (nx11085), .A0 (nx11403), .A1 (nx10116)) ; xnor2 ix10329 (.Y (nx10328), .A0 (nx11402), .A1 (nx11344)) ; xor2 ix10319 (.Y (nx10318), .A0 (nx11402), .A1 (reg_32_q_c_9_)) ; xor2 ix11132 (.Y (nx11131), .A0 (PRI_OUT_1[9]), .A1 (nx11379)) ; xnor2 ix11138 (.Y (nx11137), .A0 (nx11385), .A1 (nx11373)) ; xor2 ix11148 (.Y (nx11147), .A0 (nx11379), .A1 (nx6507)) ; xor2 ix10159 (.Y (nx10158), .A0 (reg_10_q_c_9_), .A1 (nx11353)) ; xor2 ix11165 (.Y (nx11164), .A0 (nx11344), .A1 (reg_11_q_c_9_)) ; xor2 ix11178 (.Y (nx11177), .A0 (nx11373), .A1 (nx6503)) ; xor2 ix11186 (.Y (nx11185), .A0 (nx11353), .A1 (reg_47_q_c_9_)) ; ao22 ix9715 (.Y (nx9714), .A0 (nx10699), .A1 (reg_31_q_c_8_), .B0 (nx14809) , .B1 (nx8950)) ; inv02 ix14808 (.Y (nx14809), .A (nx10663)) ; xnor2 ix10071 (.Y (nx10070), .A0 (nx11223), .A1 (nx11351)) ; xor2 ix10207 (.Y (nx10206), .A0 (PRI_OUT_0[9]), .A1 (nx11385)) ; xor2 ix11218 (.Y (nx11217), .A0 (nx11379), .A1 (nx6509)) ; xor2 ix11248 (.Y (nx11247), .A0 (nx11407), .A1 (nx11249)) ; xor2 ix11284 (.Y (nx11283), .A0 (nx11351), .A1 (nx11285)) ; xor2 ix9923 (.Y (nx9922), .A0 (nx11408), .A1 (nx6503)) ; xor2 ix11340 (.Y (nx11339), .A0 (nx11333), .A1 (nx11249)) ; xor2 ix10533 (.Y (nx10532), .A0 (PRI_IN_5[9]), .A1 (nx11413)) ; xnor2 ix11467 (.Y (nx11466), .A0 (nx11223), .A1 (nx11403)) ; xor2 ix11565 (.Y (nx11564), .A0 (nx11933), .A1 (nx11935)) ; xor2 ix11547 (.Y (nx11546), .A0 (reg_29_q_c_10_), .A1 (nx14827)) ; xor2 ix11519 (.Y (nx11518), .A0 (reg_28_q_c_10_), .A1 (nx14827)) ; xor2 ix11509 (.Y (nx11508), .A0 (PRI_IN_2[10]), .A1 (nx11854)) ; xor2 ix11499 (.Y (nx11498), .A0 (PRI_IN_3[10]), .A1 (nx11927)) ; xnor2 ix11529 (.Y (nx11528), .A0 (nx11925), .A1 (nx11829)) ; xor2 ix11479 (.Y (nx11478), .A0 (PRI_IN_9[10]), .A1 (nx11779)) ; xnor2 ix11545 (.Y (nx11544), .A0 (nx11933), .A1 (nx11923)) ; xor2 ix11554 (.Y (nx11553), .A0 (nx11922), .A1 (nx11236)) ; xor2 ix11449 (.Y (nx11448), .A0 (PRI_OUT_3[10]), .A1 (nx11854)) ; xnor2 ix11586 (.Y (nx11585), .A0 (nx11927), .A1 (nx11907)) ; xor2 ix11610 (.Y (nx11609), .A0 (PRI_OUT_1[10]), .A1 (nx11893)) ; xnor2 ix11620 (.Y (nx11619), .A0 (nx11899), .A1 (nx11887)) ; xnor2 ix11628 (.Y (nx11627), .A0 (nx11893), .A1 (nx14827)) ; xor2 ix11279 (.Y (nx11278), .A0 (reg_10_q_c_10_), .A1 (nx11865)) ; xnor2 ix11656 (.Y (nx11655), .A0 (nx11854), .A1 (nx11888)) ; xor2 ix11664 (.Y (nx11663), .A0 (nx11887), .A1 (nx6517)) ; xnor2 ix11672 (.Y (nx11671), .A0 (nx11865), .A1 (nx11885)) ; xnor2 ix11191 (.Y (nx11190), .A0 (nx11709), .A1 (nx11863)) ; xor2 ix11327 (.Y (nx11326), .A0 (PRI_OUT_0[10]), .A1 (nx11899)) ; xor2 ix11317 (.Y (nx11316), .A0 (nx11907), .A1 (nx11697)) ; xor2 ix11704 (.Y (nx11703), .A0 (nx11893), .A1 (nx14749)) ; xor2 ix11155 (.Y (nx11154), .A0 (nx11857), .A1 (nx11000)) ; xnor2 ix11760 (.Y (nx11759), .A0 (nx11831), .A1 (nx11829)) ; xor2 ix11774 (.Y (nx11773), .A0 (nx11863), .A1 (nx14783)) ; xor2 ix10943 (.Y (nx10942), .A0 (PRI_IN_0[10]), .A1 (nx11831)) ; aoi21 ix11099 (.Y (nx11897), .A0 (C_MUX2_24_SEL), .A1 (reg_27_q_c_10_), .B0 ( nx11094)) ; xor2 ix11419 (.Y (nx11418), .A0 (nx11927), .A1 (nx11236)) ; xnor2 ix11972 (.Y (nx11971), .A0 (nx11709), .A1 (nx11922)) ; xnor2 ix11992 (.Y (nx11991), .A0 (nx11857), .A1 (nx12001)) ; xor2 ix11583 (.Y (nx11582), .A0 (nx11891), .A1 (nx14783)) ; xor2 ix12843 (.Y (nx12842), .A0 (nx12445), .A1 (reg_39_q_c_11_)) ; xor2 ix12639 (.Y (nx12638), .A0 (nx12439), .A1 (nx6533)) ; xor2 ix12629 (.Y (nx12628), .A0 (PRI_IN_2[11]), .A1 (nx12377)) ; xor2 ix12599 (.Y (nx12598), .A0 (PRI_IN_9[11]), .A1 (nx12316)) ; xor2 ix12106 (.Y (nx12105), .A0 (nx12431), .A1 (nx12356)) ; xnor2 ix12569 (.Y (nx12568), .A0 (nx12429), .A1 (nx12377)) ; xor2 ix12559 (.Y (nx12558), .A0 (nx12429), .A1 (reg_32_q_c_11_)) ; xor2 ix12150 (.Y (nx12149), .A0 (PRI_OUT_1[11]), .A1 (nx12406)) ; xnor2 ix12158 (.Y (nx12157), .A0 (nx12411), .A1 (nx12402)) ; xor2 ix12168 (.Y (nx12167), .A0 (nx12406), .A1 (nx6533)) ; xor2 ix12399 (.Y (nx12398), .A0 (reg_10_q_c_11_), .A1 (nx12385)) ; xor2 ix12190 (.Y (nx12189), .A0 (nx12377), .A1 (reg_11_q_c_11_)) ; xor2 ix12202 (.Y (nx12201), .A0 (nx12402), .A1 (nx6531)) ; xor2 ix12210 (.Y (nx12209), .A0 (nx12385), .A1 (reg_47_q_c_11_)) ; ao22 ix11955 (.Y (nx11954), .A0 (nx11709), .A1 (reg_31_q_c_10_), .B0 ( nx14811), .B1 (nx11190)) ; inv02 ix14810 (.Y (nx14811), .A (nx11677)) ; xnor2 ix12311 (.Y (nx12310), .A0 (nx12247), .A1 (nx12384)) ; xor2 ix12447 (.Y (nx12446), .A0 (PRI_OUT_0[11]), .A1 (nx12411)) ; xor2 ix12243 (.Y (nx12242), .A0 (nx12406), .A1 (nx6534)) ; xor2 ix12274 (.Y (nx12273), .A0 (nx12439), .A1 (nx12275)) ; xor2 ix12312 (.Y (nx12311), .A0 (nx12384), .A1 (nx12313)) ; xor2 ix12163 (.Y (nx12162), .A0 (nx12440), .A1 (nx6531)) ; xor2 ix12374 (.Y (nx12373), .A0 (nx12365), .A1 (nx12275)) ; xor2 ix12773 (.Y (nx12772), .A0 (PRI_IN_5[11]), .A1 (nx12445)) ; xnor2 ix12504 (.Y (nx12503), .A0 (nx12247), .A1 (nx12431)) ; xor2 ix13805 (.Y (nx13804), .A0 (nx12939), .A1 (nx12941)) ; xor2 ix13787 (.Y (nx13786), .A0 (reg_29_q_c_12_), .A1 (nx14829)) ; xor2 ix13759 (.Y (nx13758), .A0 (reg_28_q_c_12_), .A1 (nx14829)) ; xor2 ix13749 (.Y (nx13748), .A0 (PRI_IN_2[12]), .A1 (nx12867)) ; xor2 ix13739 (.Y (nx13738), .A0 (PRI_IN_3[12]), .A1 (nx12933)) ; xnor2 ix12570 (.Y (nx12569), .A0 (nx12931), .A1 (nx12843)) ; xor2 ix13719 (.Y (nx13718), .A0 (PRI_IN_9[12]), .A1 (nx12803)) ; xnor2 ix12584 (.Y (nx12583), .A0 (nx12939), .A1 (nx12930)) ; xor2 ix12592 (.Y (nx12591), .A0 (nx12929), .A1 (nx13476)) ; xor2 ix13689 (.Y (nx13688), .A0 (PRI_OUT_3[12]), .A1 (nx12867)) ; xnor2 ix12618 (.Y (nx12617), .A0 (nx12933), .A1 (nx12915)) ; xor2 ix12640 (.Y (nx12639), .A0 (PRI_OUT_1[12]), .A1 (nx12902)) ; xnor2 ix12648 (.Y (nx12647), .A0 (nx12909), .A1 (nx12897)) ; xnor2 ix12654 (.Y (nx12653), .A0 (nx12902), .A1 (nx14829)) ; xor2 ix13519 (.Y (nx13518), .A0 (reg_10_q_c_12_), .A1 (nx12875)) ; xnor2 ix12677 (.Y (nx12676), .A0 (nx12867), .A1 (nx12899)) ; xor2 ix12688 (.Y (nx12687), .A0 (nx12897), .A1 (nx6539)) ; xnor2 ix12696 (.Y (nx12694), .A0 (nx12875), .A1 (nx12895)) ; xnor2 ix13431 (.Y (nx13430), .A0 (nx12738), .A1 (nx12873)) ; xor2 ix13567 (.Y (nx13566), .A0 (PRI_OUT_0[12]), .A1 (nx12909)) ; xor2 ix13557 (.Y (nx13556), .A0 (nx12915), .A1 (nx12725)) ; xor2 ix12734 (.Y (nx12733), .A0 (nx12902), .A1 (nx14757)) ; xor2 ix13395 (.Y (nx13394), .A0 (nx12869), .A1 (nx13240)) ; xnor2 ix12788 (.Y (nx12787), .A0 (nx12845), .A1 (nx12843)) ; xor2 ix12798 (.Y (nx12797), .A0 (nx12873), .A1 (nx15915)) ; xor2 ix13183 (.Y (nx13182), .A0 (PRI_IN_0[12]), .A1 (nx12845)) ; aoi21 ix13339 (.Y (nx12907), .A0 (C_MUX2_24_SEL), .A1 (reg_27_q_c_12_), .B0 ( nx13334)) ; xor2 ix13659 (.Y (nx13658), .A0 (nx12933), .A1 (nx13476)) ; xnor2 ix12978 (.Y (nx12977), .A0 (nx12738), .A1 (nx12929)) ; xnor2 ix12998 (.Y (nx12997), .A0 (nx12869), .A1 (nx13007)) ; xor2 ix13823 (.Y (nx13822), .A0 (nx12901), .A1 (nx15916)) ; xor2 ix15083 (.Y (nx15082), .A0 (nx13475), .A1 (reg_39_q_c_13_)) ; xor2 ix14879 (.Y (nx14878), .A0 (nx13469), .A1 (nx6551)) ; xor2 ix14869 (.Y (nx14868), .A0 (PRI_IN_2[13]), .A1 (nx13399)) ; xor2 ix14839 (.Y (nx14838), .A0 (PRI_IN_9[13]), .A1 (nx13341)) ; xor2 ix13124 (.Y (nx13123), .A0 (nx13463), .A1 (nx14596)) ; xnor2 ix14809 (.Y (nx14808), .A0 (nx13461), .A1 (nx13399)) ; xor2 ix14799 (.Y (nx14798), .A0 (nx13461), .A1 (reg_32_q_c_13_)) ; xor2 ix13176 (.Y (nx13175), .A0 (PRI_OUT_1[13]), .A1 (nx13434)) ; xnor2 ix13184 (.Y (nx13183), .A0 (nx13439), .A1 (nx13428)) ; xor2 ix13192 (.Y (nx13191), .A0 (nx13434), .A1 (nx6551)) ; xor2 ix14639 (.Y (nx14638), .A0 (reg_10_q_c_13_), .A1 (nx13407)) ; xor2 ix13211 (.Y (nx13210), .A0 (nx13399), .A1 (reg_11_q_c_13_)) ; xor2 ix13224 (.Y (nx13223), .A0 (nx13428), .A1 (nx6547)) ; xor2 ix13230 (.Y (nx13229), .A0 (nx13407), .A1 (reg_47_q_c_13_)) ; ao22 ix14195 (.Y (nx14194), .A0 (nx12738), .A1 (reg_31_q_c_12_), .B0 ( nx14813), .B1 (nx13430)) ; inv02 ix14812 (.Y (nx14813), .A (nx12701)) ; xnor2 ix14551 (.Y (nx14550), .A0 (nx13267), .A1 (nx13405)) ; xor2 ix14687 (.Y (nx14686), .A0 (PRI_OUT_0[13]), .A1 (nx13439)) ; xor2 ix13264 (.Y (nx13263), .A0 (nx13434), .A1 (nx6552)) ; xor2 ix13294 (.Y (nx13293), .A0 (nx13469), .A1 (nx13295)) ; xor2 ix13336 (.Y (nx13335), .A0 (nx13405), .A1 (nx13337)) ; xor2 ix14403 (.Y (nx14402), .A0 (nx13470), .A1 (nx6547)) ; xor2 ix13396 (.Y (nx13395), .A0 (nx13389), .A1 (nx13295)) ; xor2 ix15013 (.Y (nx15012), .A0 (PRI_IN_5[13]), .A1 (nx13475)) ; xnor2 ix13528 (.Y (nx13527), .A0 (nx13267), .A1 (nx13463)) ; xor2 ix16045 (.Y (nx16044), .A0 (nx13960), .A1 (nx13961)) ; xor2 ix16027 (.Y (nx16026), .A0 (reg_29_q_c_14_), .A1 (nx14831)) ; xor2 ix15999 (.Y (nx15998), .A0 (reg_28_q_c_14_), .A1 (nx14831)) ; xor2 ix15989 (.Y (nx15988), .A0 (PRI_IN_2[14]), .A1 (nx13893)) ; xor2 ix15979 (.Y (nx15978), .A0 (PRI_IN_3[14]), .A1 (nx13956)) ; xnor2 ix13594 (.Y (nx13593), .A0 (nx13955), .A1 (nx13869)) ; xor2 ix15959 (.Y (nx15958), .A0 (PRI_IN_9[14]), .A1 (nx13821)) ; xnor2 ix13611 (.Y (nx13610), .A0 (nx13960), .A1 (nx13953)) ; xor2 ix13618 (.Y (nx13617), .A0 (nx13951), .A1 (nx15716)) ; xor2 ix15929 (.Y (nx15928), .A0 (PRI_OUT_3[14]), .A1 (nx13893)) ; xnor2 ix13647 (.Y (nx13646), .A0 (nx13956), .A1 (nx13937)) ; xor2 ix13670 (.Y (nx13669), .A0 (PRI_OUT_1[14]), .A1 (nx13924)) ; xnor2 ix13678 (.Y (nx13677), .A0 (nx13929), .A1 (nx13917)) ; xnor2 ix13685 (.Y (nx13684), .A0 (nx13924), .A1 (nx14831)) ; xor2 ix15759 (.Y (nx15758), .A0 (reg_10_q_c_14_), .A1 (nx13900)) ; xnor2 ix13708 (.Y (nx13707), .A0 (nx13893), .A1 (nx13919)) ; xor2 ix13718 (.Y (nx13717), .A0 (nx13917), .A1 (nx6557)) ; xnor2 ix13727 (.Y (nx13726), .A0 (nx13900), .A1 (nx13915)) ; xnor2 ix15671 (.Y (nx15670), .A0 (nx13761), .A1 (nx13899)) ; xor2 ix15807 (.Y (nx15806), .A0 (PRI_OUT_0[14]), .A1 (nx13929)) ; xor2 ix15797 (.Y (nx15796), .A0 (nx13937), .A1 (nx13749)) ; xor2 ix13756 (.Y (nx13755), .A0 (nx13924), .A1 (nx14765)) ; xor2 ix15635 (.Y (nx15634), .A0 (nx13895), .A1 (nx15480)) ; xnor2 ix13806 (.Y (nx13805), .A0 (nx13870), .A1 (nx13869)) ; xor2 ix13816 (.Y (nx13815), .A0 (nx13899), .A1 (nx14791)) ; xor2 ix15423 (.Y (nx15422), .A0 (PRI_IN_0[14]), .A1 (nx13870)) ; aoi21 ix15579 (.Y (nx13927), .A0 (C_MUX2_24_SEL), .A1 (reg_27_q_c_14_), .B0 ( nx15574)) ; xor2 ix15899 (.Y (nx15898), .A0 (nx13956), .A1 (nx15716)) ; xnor2 ix13994 (.Y (nx13993), .A0 (nx13761), .A1 (nx13951)) ; xnor2 ix14014 (.Y (nx14012), .A0 (nx13895), .A1 (nx14023)) ; xor2 ix16063 (.Y (nx16062), .A0 (nx15921), .A1 (nx14791)) ; xor2 ix14096 (.Y (nx14095), .A0 (PRI_IN_2[15]), .A1 (reg_5_q_c_15_)) ; xor2 ix14106 (.Y (nx14105), .A0 (PRI_IN_3[15]), .A1 (reg_34_q_c_15_)) ; xor2 ix14150 (.Y (nx14149), .A0 (PRI_OUT_3[15]), .A1 (reg_5_q_c_15_)) ; xor2 ix14176 (.Y (nx14175), .A0 (nx14107), .A1 (reg_41_q_c_15_)) ; xor2 ix14208 (.Y (nx14207), .A0 (nx14307), .A1 (reg_45_q_c_15_)) ; xor2 ix14236 (.Y (nx14235), .A0 (reg_10_q_c_15_), .A1 (reg_27_q_c_15_)) ; xor2 ix14242 (.Y (nx14241), .A0 (nx14097), .A1 (reg_11_q_c_15_)) ; xor2 ix14264 (.Y (nx14263), .A0 (nx14449), .A1 (reg_47_q_c_15_)) ; ao22 ix16435 (.Y (nx16434), .A0 (nx13761), .A1 (reg_31_q_c_14_), .B0 ( nx14815), .B1 (nx15670)) ; inv02 ix14814 (.Y (nx14815), .A (nx13731)) ; xor2 ix14274 (.Y (nx14273), .A0 (reg_13_q_c_15_), .A1 (reg_31_q_c_15_)) ; xor2 ix14282 (.Y (nx14281), .A0 (PRI_OUT_0[15]), .A1 (reg_35_q_c_15_)) ; xor2 ix14362 (.Y (nx14361), .A0 (nx14413), .A1 (reg_19_q_c_15_)) ; xnor2 ix16609 (.Y (nx16608), .A0 (nx14310), .A1 (nx14377)) ; xor2 ix14412 (.Y (nx14411), .A0 (PRI_IN_0[15]), .A1 (reg_12_q_c_15_)) ; xnor2 ix14498 (.Y (nx14497), .A0 (nx14107), .A1 (nx16836)) ; xnor2 ix17339 (.Y (nx17338), .A0 (nx6675), .A1 (reg_42_q_c_0_)) ; or02 ix14588 (.Y (nx14587), .A0 (nx6675), .A1 (nx6619)) ; xor2 ix14590 (.Y (nx14589), .A0 (nx7211), .A1 (reg_42_q_c_1_)) ; xnor2 ix14600 (.Y (nx14599), .A0 (nx7779), .A1 (nx7831)) ; xor2 ix14606 (.Y (nx14605), .A0 (nx8265), .A1 (reg_42_q_c_3_)) ; xnor2 ix14615 (.Y (nx14614), .A0 (nx8813), .A1 (nx8867)) ; xor2 ix14622 (.Y (nx14621), .A0 (nx9291), .A1 (reg_42_q_c_5_)) ; xnor2 ix14630 (.Y (nx14629), .A0 (nx9843), .A1 (nx9897)) ; xor2 ix14636 (.Y (nx14635), .A0 (nx10324), .A1 (reg_42_q_c_7_)) ; xnor2 ix14645 (.Y (nx14644), .A0 (nx10857), .A1 (nx10911)) ; xor2 ix14652 (.Y (nx14651), .A0 (nx11351), .A1 (reg_42_q_c_9_)) ; xnor2 ix14660 (.Y (nx14659), .A0 (nx11863), .A1 (nx11923)) ; xor2 ix14666 (.Y (nx14665), .A0 (nx12384), .A1 (reg_42_q_c_11_)) ; xnor2 ix14676 (.Y (nx14675), .A0 (nx12873), .A1 (nx12930)) ; xor2 ix14683 (.Y (nx14682), .A0 (nx13405), .A1 (reg_42_q_c_13_)) ; xnor2 ix14692 (.Y (nx14691), .A0 (nx13899), .A1 (nx13953)) ; xor2 ix14698 (.Y (nx14697), .A0 (nx14310), .A1 (reg_42_q_c_15_)) ; dff REG_5_reg_q_0__rep_1 (.Q (\$dummy [546]), .QB (nx14817), .D (nx724), .CLK ( CLK)) ; inv02 ix14818 (.Y (nx14819), .A (nx14713)) ; inv02 ix14820 (.Y (nx14821), .A (nx14721)) ; inv02 ix14822 (.Y (nx14823), .A (nx14729)) ; inv02 ix14824 (.Y (nx14825), .A (nx14737)) ; inv02 ix14826 (.Y (nx14827), .A (nx14745)) ; inv02 ix14828 (.Y (nx14829), .A (nx14753)) ; inv02 ix14830 (.Y (nx14831), .A (nx14761)) ; ao21 ix367 (.Y (nx366), .A0 (C_MUX2_12_SEL), .A1 (reg_15_q_c_0_), .B0 (nx344 )) ; dff REG_15_reg_q_0_ (.Q (reg_15_q_c_0_), .QB (\$dummy [547]), .D (nx356), .CLK ( CLK)) ; nor02 ix345 (.Y (nx344), .A0 (C_MUX2_12_SEL), .A1 (nx6711)) ; ao21 ix367_0_XREP1 (.Y (nx366_XX0_XREP1), .A0 (C_MUX2_12_SEL), .A1 ( reg_15_q_c_0_), .B0 (nx344)) ; ao21 ix557 (.Y (nx6413), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_0_), .B0 (nx524 )) ; dff REG_8_reg_q_0_ (.Q (reg_8_q_c_0_), .QB (\$dummy [548]), .D (nx546), .CLK ( CLK)) ; nor02 ix525 (.Y (nx524), .A0 (C_MUX2_13_SEL), .A1 (nx7004)) ; ao21 ix557_0_XREP5 (.Y (nx6413_XX0_XREP5), .A0 (C_MUX2_13_SEL), .A1 ( reg_8_q_c_0_), .B0 (nx524)) ; mux21 ix6708 (.Y (nx6707), .A0 (nx102), .A1 (nx84), .S0 (C_MUX2_1_SEL)) ; mux21 ix103 (.Y (nx102), .A0 (nx6615), .A1 (nx6711), .S0 (C_MUX2_6_SEL)) ; mux21 ix85 (.Y (nx84), .A0 (nx6596), .A1 (nx6716), .S0 (C_MUX2_9_SEL)) ; mux21 ix6708_0_XREP7 (.Y (nx6707_XX0_XREP7), .A0 (nx102), .A1 (nx84), .S0 ( C_MUX2_1_SEL)) ; dff REG_12_reg_q_0_ (.Q (reg_12_q_c_0_), .QB (nx6733), .D (nx134), .CLK (CLK )) ; ao21 ix1241 (.Y (nx1240), .A0 (C_MUX2_12_SEL), .A1 (reg_15_q_c_1_), .B0 ( nx1226)) ; dff REG_15_reg_q_1_ (.Q (reg_15_q_c_1_), .QB (\$dummy [549]), .D (nx1230), .CLK ( CLK)) ; nor02 ix1227 (.Y (nx1226), .A0 (C_MUX2_12_SEL), .A1 (nx7157)) ; dff REG_8_reg_q_1_ (.Q (reg_8_q_c_1_), .QB (\$dummy [550]), .D (nx1364), .CLK ( CLK)) ; nor02 ix1351 (.Y (nx1350), .A0 (C_MUX2_13_SEL), .A1 (nx7247)) ; mux21 ix7152 (.Y (nx7151), .A0 (nx1052), .A1 (nx1034), .S0 (C_MUX2_1_SEL)) ; mux21 ix1053 (.Y (nx1052), .A0 (nx7155), .A1 (nx7157), .S0 (C_MUX2_6_SEL)) ; mux21 ix7152_0_XREP19 (.Y (nx7151_XX0_XREP19), .A0 (nx1052), .A1 (nx1034), .S0 ( C_MUX2_1_SEL)) ; ao21 ix2277 (.Y (nx2276), .A0 (C_MUX2_12_SEL), .A1 (reg_15_q_c_2_), .B0 ( nx2252)) ; dff REG_15_reg_q_2_ (.Q (reg_15_q_c_2_), .QB (\$dummy [551]), .D (nx2266), .CLK ( CLK)) ; nor02 ix2253 (.Y (nx2252), .A0 (C_MUX2_12_SEL), .A1 (nx7705)) ; ao21 ix2277_0_XREP23 (.Y (nx2276_XX0_XREP23), .A0 (C_MUX2_12_SEL), .A1 ( reg_15_q_c_2_), .B0 (nx2252)) ; ao21 ix14716 (.Y (nx14717), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_2_), .B0 ( nx2386)) ; dff REG_8_reg_q_2_ (.Q (reg_8_q_c_2_), .QB (\$dummy [552]), .D (nx2416), .CLK ( CLK)) ; nor02 ix2387 (.Y (nx2386), .A0 (C_MUX2_13_SEL), .A1 (nx7815)) ; ao21 ix14716_0_XREP27 (.Y (nx14717_XX0_XREP27), .A0 (C_MUX2_13_SEL), .A1 ( reg_8_q_c_2_), .B0 (nx2386)) ; dff REG_12_reg_q_2_ (.Q (reg_12_q_c_2_), .QB (nx7749), .D (nx2060), .CLK ( CLK)) ; xor2 ix2061 (.Y (nx2060), .A0 (nx7681), .A1 (nx7685)) ; buf02 ix14766 (.Y (nx14767), .A (nx7699)) ; mux21 ix7700 (.Y (nx7699), .A0 (nx2040), .A1 (nx2022), .S0 (C_MUX2_1_SEL)) ; buf02 ix14766_0_XREP31 (.Y (nx14767_XX0_XREP31), .A (nx7699)) ; dff REG_12_reg_q_3_ (.Q (reg_12_q_c_3_), .QB (\$dummy [553]), .D (nx3180), .CLK ( CLK)) ; xnor2 ix3181 (.Y (nx3180), .A0 (nx3048), .A1 (nx8169)) ; ao21 ix3397 (.Y (nx3396), .A0 (C_MUX2_12_SEL), .A1 (reg_15_q_c_3_), .B0 ( nx3372)) ; dff REG_15_reg_q_3_ (.Q (reg_15_q_c_3_), .QB (\$dummy [554]), .D (nx3386), .CLK ( CLK)) ; nor02 ix3373 (.Y (nx3372), .A0 (C_MUX2_12_SEL), .A1 (nx8193)) ; ao21 ix3397_0_XREP42 (.Y (nx3396_XX0_XREP42), .A0 (C_MUX2_12_SEL), .A1 ( reg_15_q_c_3_), .B0 (nx3372)) ; ao21 ix3547 (.Y (nx6447), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_3_), .B0 ( nx3506)) ; dff REG_8_reg_q_3_ (.Q (reg_8_q_c_3_), .QB (\$dummy [555]), .D (nx3536), .CLK ( CLK)) ; nor02 ix3507 (.Y (nx3506), .A0 (C_MUX2_13_SEL), .A1 (nx8307)) ; ao21 ix3547_0_XREP48 (.Y (nx6447_XX0_XREP48), .A0 (C_MUX2_13_SEL), .A1 ( reg_8_q_c_3_), .B0 (nx3506)) ; mux21 ix8188 (.Y (nx8187), .A0 (nx3160), .A1 (nx3142), .S0 (C_MUX2_1_SEL)) ; mux21 ix3161 (.Y (nx3160), .A0 (nx8191), .A1 (nx8193), .S0 (C_MUX2_6_SEL)) ; ao21 ix3143 (.Y (nx3142), .A0 (C_MUX2_9_SEL), .A1 (nx3134), .B0 (nx3140)) ; mux21 ix8188_0_XREP61 (.Y (nx8187_XX0_XREP61), .A0 (nx3160), .A1 (nx3142), .S0 ( C_MUX2_1_SEL)) ; ao21 ix4517 (.Y (nx4516), .A0 (C_MUX2_12_SEL), .A1 (reg_15_q_c_4_), .B0 ( nx4492)) ; dff REG_15_reg_q_4_ (.Q (reg_15_q_c_4_), .QB (\$dummy [556]), .D (nx4506), .CLK ( CLK)) ; nor02 ix4493 (.Y (nx4492), .A0 (C_MUX2_12_SEL), .A1 (nx8743)) ; ao21 ix4517_0_XREP63 (.Y (nx4516_XX0_XREP63), .A0 (C_MUX2_12_SEL), .A1 ( reg_15_q_c_4_), .B0 (nx4492)) ; buf02 ix14770 (.Y (nx14771), .A (nx8737)) ; mux21 ix8738 (.Y (nx8737), .A0 (nx4280), .A1 (nx4262), .S0 (C_MUX2_1_SEL)) ; buf02 ix14770_0_XREP67 (.Y (nx14771_XX0_XREP67), .A (nx8737)) ; dff REG_12_reg_q_5_ (.Q (reg_12_q_c_5_), .QB (\$dummy [557]), .D (nx5420), .CLK ( CLK)) ; xnor2 ix5421 (.Y (nx5420), .A0 (nx5288), .A1 (nx9211)) ; ao21 ix5637 (.Y (nx5636), .A0 (C_MUX2_12_SEL), .A1 (reg_15_q_c_5_), .B0 ( nx5612)) ; dff REG_15_reg_q_5_ (.Q (reg_15_q_c_5_), .QB (\$dummy [558]), .D (nx5626), .CLK ( CLK)) ; nor02 ix5613 (.Y (nx5612), .A0 (C_MUX2_12_SEL), .A1 (nx9231)) ; ao21 ix5787 (.Y (nx6468), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_5_), .B0 ( nx5746)) ; dff REG_8_reg_q_5_ (.Q (reg_8_q_c_5_), .QB (\$dummy [559]), .D (nx5776), .CLK ( CLK)) ; nor02 ix5747 (.Y (nx5746), .A0 (C_MUX2_13_SEL), .A1 (nx9325)) ; mux21 ix9226 (.Y (nx9225), .A0 (nx5400), .A1 (nx5382), .S0 (C_MUX2_1_SEL)) ; mux21 ix5401 (.Y (nx5400), .A0 (nx9229), .A1 (nx9231), .S0 (C_MUX2_6_SEL)) ; ao21 ix5383 (.Y (nx5382), .A0 (C_MUX2_9_SEL), .A1 (nx5374), .B0 (nx5380)) ; mux21 ix9226_0_XREP83 (.Y (nx9225_XX0_XREP83), .A0 (nx5400), .A1 (nx5382), .S0 ( C_MUX2_1_SEL)) ; ao21 ix6757 (.Y (nx6756), .A0 (C_MUX2_12_SEL), .A1 (reg_15_q_c_6_), .B0 ( nx6732)) ; dff REG_15_reg_q_6_ (.Q (reg_15_q_c_6_), .QB (\$dummy [560]), .D (nx6746), .CLK ( CLK)) ; nor02 ix6733 (.Y (nx6732), .A0 (C_MUX2_12_SEL), .A1 (nx9769)) ; buf02 ix14774 (.Y (nx14775), .A (nx9763)) ; mux21 ix9764 (.Y (nx9763), .A0 (nx6520), .A1 (nx6502), .S0 (C_MUX2_1_SEL)) ; buf02 ix14774_0_XREP101 (.Y (nx14775_XX0_XREP101), .A (nx9763)) ; dff REG_12_reg_q_7_ (.Q (reg_12_q_c_7_), .QB (\$dummy [561]), .D (nx7660), .CLK ( CLK)) ; xnor2 ix7661 (.Y (nx7660), .A0 (nx7528), .A1 (nx10239)) ; buf02 ix14778 (.Y (nx14779), .A (nx10771)) ; mux21 ix10772 (.Y (nx10771), .A0 (nx8760), .A1 (nx8742), .S0 (C_MUX2_1_SEL) ) ; buf04 ix15923 (.Y (nx15458), .A (nx6733)) ; buf04 ix15924 (.Y (nx15459), .A (nx6427)) ; buf04 ix15925 (.Y (nx15461), .A (nx7749)) ; buf04 ix15926 (.Y (nx15463), .A (reg_12_q_c_3_)) ; buf04 ix15927 (.Y (nx15464), .A (reg_12_q_c_5_)) ; buf04 ix15928 (.Y (nx15465), .A (nx5636)) ; buf04 ix15930 (.Y (nx15466), .A (nx6468)) ; buf04 ix15932 (.Y (nx15467), .A (nx6756)) ; buf04 ix15933 (.Y (nx15468), .A (reg_12_q_c_7_)) ; and02 ix15934 (.Y (nx15469), .A0 (C_MUX2_4_SEL), .A1 (PRI_OUT_4[14])) ; inv02 ix15935 (.Y (nx15470), .A (reg_36_q_c_14_)) ; or03 ix15936 (.Y (nx15471), .A0 (nx15469), .A1 (nx15470), .A2 (nx16112)) ; oai21 ix15937 (.Y (nx15472), .A0 (nx15469), .A1 (nx16112), .B0 (nx15470)) ; aoi21 ix15938 (.Y (nx15473), .A0 (nx15471), .A1 (nx15472), .B0 (nx13997)) ; aoi21 ix15940 (.Y (nx15474), .A0 (C_MUX2_4_SEL), .A1 (PRI_OUT_4[14]), .B0 ( nx16112)) ; nor02 ix15942 (.Y (nx15475), .A0 (nx15470), .A1 (nx15474)) ; inv02 nx6895_rename (.Y (nx6895), .A (C_MUX2_23_SEL)) ; inv02 ix15943 (.Y (nx15476), .A (reg_36_q_c_15_)) ; inv02 ix15944 (.Y (nx15477), .A (nx14556)) ; aoi22 ix15945 (.Y (nx15478), .A0 (reg_36_q_c_15_), .A1 (nx14556), .B0 ( nx15476), .B1 (nx15477)) ; or03 ix15946 (.Y (nx15479), .A0 (nx15475), .A1 (nx6895), .A2 (nx15478)) ; aoi221 ix15947 (.Y (nx15481), .A0 (nx15476), .A1 (nx15477), .B0 ( reg_36_q_c_15_), .B1 (nx14556), .C0 (nx6895)) ; aoi222 ix15948 (.Y (nx15482), .A0 (nx17216), .A1 (nx6895), .B0 (nx15475), .B1 ( nx15481), .C0 (nx15473), .C1 (nx15481)) ; oai21 nx17282_rename (.Y (nx17282), .A0 (nx15473), .A1 (nx15479), .B0 ( nx15482)) ; oai32 nx14001_rename (.Y (nx14001), .A0 (nx15469), .A1 (reg_36_q_c_14_), .A2 ( nx16112), .B0 (nx15470), .B1 (nx15474)) ; inv01 ix15949 (.Y (nx15483), .A (C_MUX2_4_SEL)) ; inv01 ix15950 (.Y (nx15484), .A (nx7135)) ; oai22 ix15952 (.Y (nx15485), .A0 (nx15496), .A1 (PRI_OUT_4[1]), .B0 (nx15484 ), .B1 (C_MUX2_4_SEL)) ; inv01 ix15953 (.Y (nx15486), .A (reg_36_q_c_1_)) ; inv01 ix15954 (.Y (nx15487), .A (nx6697)) ; oai221 nx7315_rename (.Y (nx7315), .A0 (nx15496), .A1 (PRI_OUT_4[0]), .B0 ( nx15487), .B1 (C_MUX2_4_SEL), .C0 (reg_36_q_c_0_)) ; oai221 ix15955 (.Y (nx15489), .A0 (nx15496), .A1 (PRI_OUT_4[1]), .B0 ( nx15484), .B1 (C_MUX2_4_SEL), .C0 (reg_36_q_c_1_)) ; inv02 nx7877_rename (.Y (nx7877), .A (nx15499)) ; inv02 ix15956 (.Y (nx15491), .A (reg_36_q_c_0_)) ; inv02 ix15957 (.Y (nx15492), .A (PRI_OUT_4[0])) ; oai33 nx900_rename (.Y (nx900), .A0 (nx15491), .A1 (C_MUX2_4_SEL), .A2 ( nx6697), .B0 (nx15491), .B1 (nx15497), .B2 (nx15492)) ; inv02 ix15958 (.Y (nx15493), .A (PRI_OUT_4[1])) ; aoi22 ix15960 (.Y (nx15494), .A0 (C_MUX2_4_SEL), .A1 (nx15493), .B0 (nx7135) , .B1 (nx15497)) ; aoi22 ix15962 (.Y (nx15495), .A0 (nx15497), .A1 (nx15484), .B0 (C_MUX2_4_SEL ), .B1 (PRI_OUT_4[1])) ; oai22 nx7317_rename (.Y (nx7317), .A0 (nx15494), .A1 (reg_36_q_c_1_), .B0 ( nx15486), .B1 (nx15495)) ; oai22 nx896_rename (.Y (nx896), .A0 (nx15497), .A1 (nx15492), .B0 ( C_MUX2_4_SEL), .B1 (nx6697)) ; buf04 ix15963 (.Y (nx15496), .A (nx15483)) ; buf04 ix15964 (.Y (nx15497), .A (nx15483)) ; ao22 ix15965 (.Y (nx15498), .A0 (nx15485), .A1 (nx15486), .B0 (nx7315), .B1 ( nx15489)) ; aoi22 ix15966 (.Y (nx15499), .A0 (nx15485), .A1 (nx15486), .B0 (nx7315), .B1 ( nx15489)) ; inv02 ix15967 (.Y (nx15501), .A (nx13914)) ; inv02 ix15968 (.Y (nx15502), .A (reg_36_q_c_12_)) ; inv01 ix15969 (.Y (nx15503), .A (C_MUX2_20_SEL)) ; aoi22 ix15970 (.Y (nx15504), .A0 (reg_19_q_c_2_), .A1 (nx15503), .B0 ( reg_17_q_c_2_), .B1 (C_MUX2_20_SEL)) ; inv01 ix15972 (.Y (nx15505), .A (C_MUX2_9_SEL)) ; inv01 ix15973 (.Y (nx15506), .A (reg_14_q_c_2_)) ; inv01 ix15974 (.Y (nx15507), .A (C_MUX2_22_SEL)) ; oai332 nx2022_rename (.Y (nx2022), .A0 (nx15504), .A1 (nx15505), .A2 ( C_MUX2_22_SEL), .B0 (nx15506), .B1 (nx15505), .B2 (nx15507), .C0 ( C_MUX2_9_SEL), .C1 (nx7385)) ; oai22 nx2014_rename (.Y (nx2014), .A0 (nx15507), .A1 (nx15506), .B0 (nx15504 ), .B1 (C_MUX2_22_SEL)) ; inv02 ix15975 (.Y (nx15508), .A (nx12702)) ; nor02 ix15976 (.Y (nx15509), .A0 (nx15508), .A1 (nx13483)) ; inv01 ix15977 (.Y (nx15510), .A (nx12126)) ; inv02 ix15978 (.Y (nx15511), .A (reg_44_q_c_11_)) ; oai32 ix15980 (.Y (nx15512), .A0 (nx13483), .A1 (nx15510), .A2 (nx15511), .B0 ( nx14789), .B1 (nx12901)) ; inv02 ix15982 (.Y (nx15513), .A (nx14942)) ; or03 ix15983 (.Y (nx15514), .A0 (nx15513), .A1 (C_MUX2_10_SEL), .A2 (nx16062 )) ; nor02 ix15984 (.Y (nx15515), .A0 (C_MUX2_10_SEL), .A1 (nx16062)) ; and02 ix15985 (.Y (nx15516), .A0 (nx14366), .A1 (nx15917)) ; nand02 ix15986 (.Y (nx15517), .A0 (nx14366), .A1 (nx15917)) ; inv02 ix15987 (.Y (nx15518), .A (C_MUX2_10_SEL)) ; and03 ix15988 (.Y (nx15519), .A0 (nx15517), .A1 (nx16062), .A2 (nx15518)) ; aoi222 ix15990 (.Y (nx15520), .A0 (nx15515), .A1 (nx15516), .B0 (nx15513), .B1 ( nx15519), .C0 (nx15696), .C1 (nx15519)) ; ao21 ix15992 (.Y (nx15521), .A0 (nx14366), .A1 (nx15919), .B0 (nx14942)) ; inv02 ix15993 (.Y (nx15523), .A (nx14366)) ; inv02 ix15994 (.Y (nx15525), .A (nx15919)) ; inv01 nx14940_rename (.Y (nx14940), .A (nx15696)) ; and02 ix15995 (.Y (nx15526), .A0 (nx12126), .A1 (reg_44_q_c_11_)) ; oai22 nx13017_rename (.Y (nx13017), .A0 (nx15526), .A1 (nx12700), .B0 ( nx15526), .B1 (nx12702)) ; inv01 ix15996 (.Y (nx15527), .A (C_MUX2_20_SEL)) ; aoi22 ix15997 (.Y (nx15528), .A0 (reg_19_q_c_1_), .A1 (nx15527), .B0 ( nx15904), .B1 (C_MUX2_20_SEL)) ; inv01 ix15998 (.Y (nx15529), .A (C_MUX2_9_SEL)) ; inv01 ix16000 (.Y (nx15530), .A (reg_14_q_c_1_)) ; inv01 ix16002 (.Y (nx15531), .A (C_MUX2_22_SEL)) ; oai332 nx1034_rename (.Y (nx1034), .A0 (nx15528), .A1 (nx15529), .A2 ( C_MUX2_22_SEL), .B0 (nx15530), .B1 (nx15529), .B2 (nx15531), .C0 ( C_MUX2_9_SEL), .C1 (nx6935)) ; oai22 nx1026_rename (.Y (nx1026), .A0 (nx15531), .A1 (nx15530), .B0 (nx15528 ), .B1 (C_MUX2_22_SEL)) ; inv01 ix16003 (.Y (nx15532), .A (nx8917)) ; and02 ix16004 (.Y (nx15533), .A0 (nx3836), .A1 (nx15532)) ; ao32 nx6026_rename (.Y (nx6026), .A0 (nx15532), .A1 (nx3834), .A2 ( reg_36_q_c_3_), .B0 (nx3788), .B1 (nx15533)) ; and02 ix16005 (.Y (nx15534), .A0 (nx3834), .A1 (reg_36_q_c_3_)) ; oai22 nx8913_rename (.Y (nx8913), .A0 (nx15534), .A1 (nx3788), .B0 (nx15534) , .B1 (nx3836)) ; inv02 ix16006 (.Y (nx15535), .A (C_MUX2_2_SEL)) ; inv02 ix16007 (.Y (nx15536), .A (reg_30_q_c_13_)) ; aoi221 ix16008 (.Y (nx15537), .A0 (C_MUX2_2_SEL), .A1 (reg_16_q_c_13_), .B0 ( reg_12_q_c_13_), .B1 (nx15535), .C0 (nx15536)) ; inv02 ix16009 (.Y (nx15539), .A (reg_30_q_c_12_)) ; oai22 nx12779_rename (.Y (nx12779), .A0 (C_MUX2_2_SEL), .A1 (reg_12_q_c_12_) , .B0 (nx15535), .B1 (reg_16_q_c_12_)) ; inv02 ix16010 (.Y (nx15540), .A (nx12779)) ; nor02 ix16011 (.Y (nx15541), .A0 (nx15539), .A1 (nx15540)) ; inv02 ix16012 (.Y (nx15542), .A (nx13361)) ; oai32 nx13839_rename (.Y (nx13839), .A0 (nx15537), .A1 (nx15541), .A2 ( nx14288), .B0 (nx15542), .B1 (nx15537)) ; inv01 ix16013 (.Y (nx15543), .A (nx13839)) ; inv02 ix16014 (.Y (nx15544), .A (reg_30_q_c_15_)) ; inv02 ix16015 (.Y (nx15545), .A (nx14353)) ; aoi22 ix16016 (.Y (nx15546), .A0 (reg_30_q_c_15_), .A1 (nx14353), .B0 ( nx15544), .B1 (nx15545)) ; inv02 nx14403_rename (.Y (nx14403), .A (nx15546)) ; oai22 nx13796_rename (.Y (nx13796), .A0 (C_MUX2_2_SEL), .A1 (reg_12_q_c_14_) , .B0 (nx15535), .B1 (reg_16_q_c_14_)) ; ao22 ix16017 (.Y (nx15547), .A0 (reg_12_q_c_14_), .A1 (nx15535), .B0 ( reg_16_q_c_14_), .B1 (C_MUX2_2_SEL)) ; inv02 ix16018 (.Y (nx15548), .A (reg_30_q_c_14_)) ; aoi22 ix16020 (.Y (nx15549), .A0 (reg_30_q_c_14_), .A1 (nx13796), .B0 ( nx15547), .B1 (nx15548)) ; and02 ix16021 (.Y (nx15550), .A0 (nx14403), .A1 (nx15549)) ; inv02 ix16022 (.Y (nx15551), .A (reg_12_q_c_14_)) ; inv02 ix16023 (.Y (nx15553), .A (reg_16_q_c_14_)) ; aoi22 ix16024 (.Y (nx15554), .A0 (nx15535), .A1 (nx15551), .B0 (C_MUX2_2_SEL ), .B1 (nx15553)) ; aoi22 ix16025 (.Y (nx15555), .A0 (nx15546), .A1 (nx15548), .B0 (nx15546), .B1 ( nx15554)) ; oai322 ix16026 (.Y (nx15556), .A0 (nx15546), .A1 (nx15548), .A2 (nx15554), .B0 ( nx15549), .B1 (nx15555), .C0 (nx15543), .C1 (nx15555)) ; ao21 nx16554_rename (.Y (nx16554), .A0 (nx15543), .A1 (nx15550), .B0 ( nx15556)) ; inv01 ix16028 (.Y (nx15557), .A (nx15543)) ; inv02 nx13847_rename (.Y (nx13847), .A (nx15549)) ; oai22 nx13315_rename (.Y (nx13315), .A0 (C_MUX2_2_SEL), .A1 (reg_12_q_c_13_) , .B0 (nx15535), .B1 (reg_16_q_c_13_)) ; or02 nx14290_rename (.Y (nx14290), .A0 (nx15541), .A1 (nx14288)) ; inv02 ix16030 (.Y (nx15558), .A (nx15540)) ; inv02 ix16031 (.Y (nx15559), .A (nx15540)) ; inv02 ix16032 (.Y (nx15560), .A (nx15540)) ; inv02 ix16033 (.Y (nx15561), .A (nx11674)) ; inv02 ix16034 (.Y (nx15562), .A (reg_36_q_c_10_)) ; oai22 nx12748_rename (.Y (nx12748), .A0 (nx15561), .A1 (nx15562), .B0 ( nx11975), .B1 (nx11979)) ; inv01 ix16035 (.Y (nx15563), .A (reg_16_q_c_0_)) ; inv01 ix16036 (.Y (nx15564), .A (reg_16_q_c_1_)) ; oai21 ix16037 (.Y (nx15565), .A0 (nx15563), .A1 (reg_30_q_c_0_), .B0 ( nx15564)) ; inv01 ix16038 (.Y (nx15566), .A (reg_30_q_c_1_)) ; inv01 ix16039 (.Y (nx15567), .A (reg_12_q_c_0_)) ; oai21 ix16040 (.Y (nx15568), .A0 (nx15567), .A1 (reg_30_q_c_0_), .B0 ( reg_30_q_c_1_)) ; inv01 ix16041 (.Y (nx15569), .A (C_MUX2_2_SEL)) ; and02 ix16042 (.Y (nx15571), .A0 (nx15912), .A1 (nx15578)) ; oai44 ix16043 (.Y (nx15572), .A0 (nx15564), .A1 (reg_30_q_c_0_), .A2 ( nx15578), .A3 (nx15563), .B0 (nx15567), .B1 (reg_30_q_c_0_), .B2 ( C_MUX2_2_SEL), .B3 (reg_30_q_c_1_)) ; inv02 nx7719_rename (.Y (nx7719), .A (nx15639)) ; oai22 nx7135_rename (.Y (nx7135), .A0 (C_MUX2_2_SEL), .A1 (nx15912), .B0 ( nx15578), .B1 (reg_16_q_c_1_)) ; aoi22 ix16044 (.Y (nx15573), .A0 (reg_12_q_c_0_), .A1 (nx15579), .B0 ( C_MUX2_2_SEL), .B1 (reg_16_q_c_0_)) ; nor02 nx6739_rename (.Y (nx6739), .A0 (nx15573), .A1 (reg_30_q_c_0_)) ; aoi22 ix16046 (.Y (nx15575), .A0 (nx15912), .A1 (nx15579), .B0 ( reg_16_q_c_1_), .B1 (C_MUX2_2_SEL)) ; inv02 ix16048 (.Y (nx15576), .A (nx15912)) ; aoi22 ix16049 (.Y (nx15577), .A0 (nx15579), .A1 (nx15576), .B0 (C_MUX2_2_SEL ), .B1 (nx15564)) ; oai22 nx7171_rename (.Y (nx7171), .A0 (nx15575), .A1 (reg_30_q_c_1_), .B0 ( nx15566), .B1 (nx15577)) ; oai22 nx6697_rename (.Y (nx6697), .A0 (C_MUX2_2_SEL), .A1 (reg_12_q_c_0_), .B0 ( nx15579), .B1 (reg_16_q_c_0_)) ; buf04 ix16050 (.Y (nx15578), .A (nx15569)) ; buf04 ix16051 (.Y (nx15579), .A (nx15569)) ; and02 ix16052 (.Y (nx15581), .A0 (nx15919), .A1 (PRI_IN_6[13])) ; and02 ix16053 (.Y (nx15583), .A0 (reg_44_q_c_12_), .A1 (PRI_IN_6[12])) ; inv02 ix16054 (.Y (nx15584), .A (nx13199)) ; inv02 ix16055 (.Y (nx15585), .A (PRI_IN_6[15])) ; inv02 ix16056 (.Y (nx15586), .A (reg_44_q_c_15_)) ; aoi222 ix16057 (.Y (nx15587), .A0 (PRI_IN_6[15]), .A1 (reg_44_q_c_15_), .B0 ( nx15585), .B1 (nx15586), .C0 (reg_44_q_c_14_), .C1 (PRI_IN_6[14])) ; nand02 ix16058 (.Y (nx15588), .A0 (nx15919), .A1 (PRI_IN_6[13])) ; inv02 ix16059 (.Y (nx15589), .A (nx15921)) ; inv02 ix16060 (.Y (nx15590), .A (PRI_IN_6[14])) ; aoi22 ix16061 (.Y (nx15591), .A0 (PRI_IN_6[15]), .A1 (reg_44_q_c_15_), .B0 ( nx15585), .B1 (nx15586)) ; aoi221 ix16062 (.Y (nx15593), .A0 (PRI_IN_6[14]), .A1 (nx15589), .B0 ( nx15921), .B1 (nx15590), .C0 (nx15591)) ; aoi22 ix16064 (.Y (nx15595), .A0 (nx15921), .A1 (nx15590), .B0 (PRI_IN_6[14] ), .B1 (nx15589)) ; and02 ix16065 (.Y (nx15597), .A0 (reg_44_q_c_14_), .A1 (PRI_IN_6[14])) ; oai22 nx14227_rename (.Y (nx14227), .A0 (nx15585), .A1 (nx15586), .B0 ( PRI_IN_6[15]), .B1 (reg_44_q_c_15_)) ; nand02 ix16066 (.Y (nx15598), .A0 (reg_44_q_c_14_), .A1 (PRI_IN_6[14])) ; oai32 ix16067 (.Y (nx15599), .A0 (nx15595), .A1 (nx15597), .A2 (nx14227), .B0 ( nx15591), .B1 (nx15598)) ; ao221 nx16890_rename (.Y (nx16890), .A0 (nx15794), .A1 (nx15587), .B0 ( nx15775), .B1 (nx15593), .C0 (nx15599)) ; or02 nx14150_rename (.Y (nx14150), .A0 (nx15583), .A1 (nx15797)) ; inv02 ix16068 (.Y (nx15600), .A (C_MUX2_2_SEL)) ; inv02 ix16069 (.Y (nx15601), .A (reg_30_q_c_10_)) ; oai221 ix16070 (.Y (nx15602), .A0 (C_MUX2_2_SEL), .A1 (reg_12_q_c_10_), .B0 ( nx15627), .B1 (reg_16_q_c_10_), .C0 (nx15601)) ; inv02 ix16071 (.Y (nx15603), .A (reg_30_q_c_9_)) ; aoi221 ix16072 (.Y (nx15604), .A0 (C_MUX2_2_SEL), .A1 (reg_16_q_c_9_), .B0 ( reg_12_q_c_9_), .B1 (nx15627), .C0 (nx15603)) ; inv02 ix16073 (.Y (nx15605), .A (nx11307)) ; inv02 ix16074 (.Y (nx15606), .A (reg_16_q_c_10_)) ; inv02 ix16075 (.Y (nx15607), .A (reg_12_q_c_10_)) ; aoi22 ix16076 (.Y (nx15608), .A0 (C_MUX2_2_SEL), .A1 (nx15606), .B0 (nx15627 ), .B1 (nx15607)) ; nor02 ix16077 (.Y (nx15609), .A0 (nx15601), .A1 (nx15608)) ; inv02 ix16078 (.Y (nx15610), .A (reg_30_q_c_12_)) ; inv02 ix16079 (.Y (nx15611), .A (nx15559)) ; aoi22 ix16080 (.Y (nx15612), .A0 (nx15559), .A1 (nx15610), .B0 ( reg_30_q_c_12_), .B1 (nx15611)) ; nor02 ix16081 (.Y (nx15613), .A0 (C_MUX2_2_SEL), .A1 (nx15914)) ; nor02 ix16082 (.Y (nx15614), .A0 (nx15627), .A1 (reg_16_q_c_11_)) ; aoi22 ix16083 (.Y (nx15615), .A0 (reg_30_q_c_11_), .A1 (nx15613), .B0 ( reg_30_q_c_11_), .B1 (nx15614)) ; inv02 ix16084 (.Y (nx15616), .A (reg_16_q_c_11_)) ; inv02 ix16086 (.Y (nx15617), .A (nx15914)) ; oai221 ix16087 (.Y (nx15618), .A0 (nx15616), .A1 (nx15627), .B0 (nx15617), .B1 ( C_MUX2_2_SEL), .C0 (reg_30_q_c_11_)) ; inv02 ix16088 (.Y (nx15619), .A (nx12337)) ; inv02 ix16089 (.Y (nx15620), .A (reg_30_q_c_11_)) ; aoi221 ix16090 (.Y (nx15621), .A0 (reg_16_q_c_11_), .A1 (C_MUX2_2_SEL), .B0 ( nx15914), .B1 (nx15628), .C0 (nx15620)) ; nor02 ix16091 (.Y (nx15622), .A0 (nx15619), .A1 (nx15621)) ; ao21 nx12817_rename (.Y (nx12817), .A0 (nx15618), .A1 (nx15683), .B0 ( nx15622)) ; oai22 nx12823_rename (.Y (nx12823), .A0 (nx15610), .A1 (nx15611), .B0 ( reg_30_q_c_12_), .B1 (nx15559)) ; oai22 nx12291_rename (.Y (nx12291), .A0 (C_MUX2_2_SEL), .A1 (nx15914), .B0 ( nx15628), .B1 (reg_16_q_c_11_)) ; inv01 nx12050_rename (.Y (nx12050), .A (nx15683)) ; oai22 nx11749_rename (.Y (nx11749), .A0 (nx15628), .A1 (reg_16_q_c_10_), .B0 ( C_MUX2_2_SEL), .B1 (reg_12_q_c_10_)) ; inv01 ix16092 (.Y (nx15623), .A (nx15684)) ; aoi22 ix16093 (.Y (nx15625), .A0 (reg_12_q_c_10_), .A1 (nx15628), .B0 ( C_MUX2_2_SEL), .B1 (reg_16_q_c_10_)) ; oai22 nx11807_rename (.Y (nx11807), .A0 (nx15625), .A1 (reg_30_q_c_10_), .B0 ( nx15601), .B1 (nx15608)) ; oai22 nx11265_rename (.Y (nx11265), .A0 (C_MUX2_2_SEL), .A1 (reg_12_q_c_9_) , .B0 (nx15628), .B1 (reg_16_q_c_9_)) ; buf04 ix16094 (.Y (nx15627), .A (nx15600)) ; buf04 ix16095 (.Y (nx15628), .A (nx15600)) ; inv01 ix16096 (.Y (nx15629), .A (reg_16_q_c_3_)) ; inv01 ix16097 (.Y (nx15630), .A (C_MUX2_2_SEL)) ; inv01 ix16098 (.Y (nx15631), .A (reg_12_q_c_3_)) ; oai221 ix16099 (.Y (nx15632), .A0 (nx15629), .A1 (nx15653), .B0 (nx15631), .B1 ( C_MUX2_2_SEL), .C0 (reg_30_q_c_3_)) ; inv01 ix16100 (.Y (nx15633), .A (reg_30_q_c_2_)) ; oai221 ix16101 (.Y (nx15635), .A0 (C_MUX2_2_SEL), .A1 (reg_12_q_c_2_), .B0 ( nx15653), .B1 (reg_16_q_c_2_), .C0 (nx15633)) ; oai22 ix16102 (.Y (nx15637), .A0 (nx16382), .A1 (nx15568), .B0 (nx15571), .B1 ( nx16382)) ; nand03 ix16103 (.Y (nx15638), .A0 (nx15565), .A1 (C_MUX2_2_SEL), .A2 ( nx15566)) ; and02 ix16104 (.Y (nx15639), .A0 (nx15637), .A1 (nx15638)) ; inv01 ix16105 (.Y (nx15640), .A (reg_16_q_c_2_)) ; inv01 ix16106 (.Y (nx15641), .A (reg_12_q_c_2_)) ; aoi22 ix16107 (.Y (nx15642), .A0 (C_MUX2_2_SEL), .A1 (nx15640), .B0 (nx15653 ), .B1 (nx15641)) ; nor02 ix16108 (.Y (nx15643), .A0 (nx15633), .A1 (nx15642)) ; aoi21 ix16109 (.Y (nx15644), .A0 (nx15635), .A1 (nx15639), .B0 (nx15643)) ; inv01 ix16110 (.Y (nx15645), .A (nx8211)) ; inv01 ix16111 (.Y (nx15646), .A (reg_30_q_c_3_)) ; aoi221 ix16112 (.Y (nx15647), .A0 (reg_16_q_c_3_), .A1 (C_MUX2_2_SEL), .B0 ( reg_12_q_c_3_), .B1 (nx15654), .C0 (nx15646)) ; nor02 ix16114 (.Y (nx15648), .A0 (nx15645), .A1 (nx15647)) ; aoi21 ix16115 (.Y (nx15649), .A0 (nx15632), .A1 (nx15644), .B0 (nx15648)) ; inv02 nx8757_rename (.Y (nx8757), .A (nx15649)) ; inv01 ix16116 (.Y (nx15651), .A (nx15649)) ; oai22 nx8164_rename (.Y (nx8164), .A0 (C_MUX2_2_SEL), .A1 (reg_12_q_c_3_), .B0 ( nx15654), .B1 (reg_16_q_c_3_)) ; inv02 nx3090_rename (.Y (nx3090), .A (nx15644)) ; oai22 nx7675_rename (.Y (nx7675), .A0 (nx15654), .A1 (reg_16_q_c_2_), .B0 ( C_MUX2_2_SEL), .B1 (reg_12_q_c_2_)) ; aoi22 ix16117 (.Y (nx15652), .A0 (reg_12_q_c_2_), .A1 (nx15654), .B0 ( C_MUX2_2_SEL), .B1 (reg_16_q_c_2_)) ; oai22 nx7727_rename (.Y (nx7727), .A0 (nx15652), .A1 (reg_30_q_c_2_), .B0 ( nx15633), .B1 (nx15642)) ; buf04 ix16118 (.Y (nx15653), .A (nx15630)) ; buf04 ix16119 (.Y (nx15654), .A (nx15630)) ; and02 ix16120 (.Y (nx15655), .A0 (reg_43_q_c_13_), .A1 (PRI_OUT_8[13])) ; and02 ix16121 (.Y (nx15656), .A0 (reg_43_q_c_12_), .A1 (PRI_OUT_8[12])) ; inv02 ix16122 (.Y (nx15657), .A (nx13147)) ; oai32 nx13634_rename (.Y (nx13634), .A0 (nx14098), .A1 (nx15655), .A2 ( nx15656), .B0 (nx15657), .B1 (nx15655)) ; inv02 ix16123 (.Y (nx15658), .A (PRI_OUT_8[15])) ; inv02 ix16124 (.Y (nx15659), .A (reg_43_q_c_15_)) ; aoi22 ix16125 (.Y (nx15661), .A0 (PRI_OUT_8[15]), .A1 (reg_43_q_c_15_), .B0 ( nx15658), .B1 (nx15659)) ; nor02 ix16126 (.Y (nx15663), .A0 (reg_43_q_c_14_), .A1 (PRI_OUT_8[14])) ; nand02 ix16127 (.Y (nx15664), .A0 (reg_43_q_c_13_), .A1 (PRI_OUT_8[13])) ; aoi221 ix16128 (.Y (nx15665), .A0 (reg_43_q_c_13_), .A1 (PRI_OUT_8[13]), .B0 ( reg_43_q_c_12_), .B1 (PRI_OUT_8[12]), .C0 (nx14098)) ; aoi21 ix16129 (.Y (nx15666), .A0 (nx13147), .A1 (nx15664), .B0 (nx15665)) ; inv02 ix16130 (.Y (nx15667), .A (reg_43_q_c_14_)) ; inv02 ix16131 (.Y (nx15668), .A (PRI_OUT_8[14])) ; oai21 ix16132 (.Y (nx15669), .A0 (nx15667), .A1 (nx15668), .B0 (nx15661)) ; inv02 nx14167_rename (.Y (nx14167), .A (nx15661)) ; and02 ix16134 (.Y (nx15671), .A0 (reg_43_q_c_14_), .A1 (PRI_OUT_8[14])) ; aoi22 ix16136 (.Y (nx15673), .A0 (nx15661), .A1 (nx15663), .B0 (nx14167), .B1 ( nx15671)) ; oai321 nx17030_rename (.Y (nx17030), .A0 (nx13634), .A1 (nx15661), .A2 ( nx15663), .B0 (nx15666), .B1 (nx15669), .C0 (nx15673)) ; oai22 nx13637_rename (.Y (nx13637), .A0 (nx15667), .A1 (nx15668), .B0 ( reg_43_q_c_14_), .B1 (PRI_OUT_8[14])) ; or02 nx14100_rename (.Y (nx14100), .A0 (nx15656), .A1 (nx14098)) ; inv02 ix16137 (.Y (nx15674), .A (nx15604)) ; nand02 ix16138 (.Y (nx15675), .A0 (nx15615), .A1 (nx15674)) ; nor02 ix16139 (.Y (nx15676), .A0 (nx15609), .A1 (nx15675)) ; inv02 ix16140 (.Y (nx15677), .A (nx15605)) ; inv02 ix16141 (.Y (nx15678), .A (nx12337)) ; oai21 ix16142 (.Y (nx15679), .A0 (nx15609), .A1 (nx15602), .B0 (nx15678)) ; ao221 ix16143 (.Y (nx15680), .A0 (nx15676), .A1 (nx15677), .B0 (nx15615), .B1 ( nx15679), .C0 (nx15612)) ; aoi21 nx14288_rename (.Y (nx14288), .A0 (nx15721), .A1 (nx15676), .B0 ( nx15680)) ; inv02 ix16144 (.Y (nx15681), .A (nx15609)) ; nand03 ix16146 (.Y (nx15682), .A0 (nx15677), .A1 (nx15681), .A2 (nx15674)) ; oai321 ix16147 (.Y (nx15683), .A0 (nx15722), .A1 (nx15609), .A2 (nx15604), .B0 ( nx15609), .B1 (nx15602), .C0 (nx15682)) ; ao21 ix16148 (.Y (nx15684), .A0 (nx15723), .A1 (nx15605), .B0 (nx15604)) ; inv01 ix16149 (.Y (nx15685), .A (nx15512)) ; oai21 ix16150 (.Y (nx15686), .A0 (nx15523), .A1 (nx15525), .B0 (nx15685)) ; oai221 ix16151 (.Y (nx15687), .A0 (nx12700), .A1 (nx15686), .B0 (nx15686), .B1 ( nx15509), .C0 (nx15521)) ; inv01 ix16152 (.Y (nx15689), .A (nx15687)) ; inv01 nx14033_rename (.Y (nx14033), .A (nx15689)) ; inv02 ix16153 (.Y (nx15690), .A (nx17182)) ; oai21 ix16154 (.Y (nx15691), .A0 (nx14793), .A1 (nx15922), .B0 (nx15690)) ; nor02 ix16155 (.Y (nx15693), .A0 (nx14793), .A1 (nx15922)) ; nor02 ix16156 (.Y (nx15694), .A0 (nx15693), .A1 (nx17182)) ; aoi22 ix16157 (.Y (nx15695), .A0 (nx14522), .A1 (nx15694), .B0 (nx17182), .B1 ( nx15693)) ; oai321 nx14519_rename (.Y (nx14519), .A0 (nx14033), .A1 (nx15690), .A2 ( nx14522), .B0 (nx15689), .B1 (nx15691), .C0 (nx15695)) ; oai22 ix16158 (.Y (nx15696), .A0 (nx12700), .A1 (nx15512), .B0 (nx15512), .B1 ( nx15509)) ; inv01 ix16159 (.Y (nx15697), .A (nx9945)) ; and02 ix16160 (.Y (nx15698), .A0 (nx6076), .A1 (nx15697)) ; ao32 nx8266_rename (.Y (nx8266), .A0 (nx15697), .A1 (nx6074), .A2 ( reg_36_q_c_5_), .B0 (nx6028), .B1 (nx15698)) ; and02 ix16161 (.Y (nx15699), .A0 (nx6074), .A1 (reg_36_q_c_5_)) ; oai22 nx9941_rename (.Y (nx9941), .A0 (nx15699), .A1 (nx6028), .B0 (nx15699) , .B1 (nx6076)) ; inv02 ix16162 (.Y (nx15700), .A (C_MUX2_2_SEL)) ; inv02 ix16164 (.Y (nx15701), .A (reg_30_q_c_8_)) ; oai221 ix16165 (.Y (nx15702), .A0 (C_MUX2_2_SEL), .A1 (reg_12_q_c_8_), .B0 ( nx15728), .B1 (reg_16_q_c_8_), .C0 (nx15701)) ; inv02 ix16166 (.Y (nx15703), .A (reg_30_q_c_7_)) ; aoi221 ix16167 (.Y (nx15705), .A0 (C_MUX2_2_SEL), .A1 (reg_16_q_c_7_), .B0 ( reg_12_q_c_7_), .B1 (nx15728), .C0 (nx15703)) ; inv02 ix16168 (.Y (nx15707), .A (reg_30_q_c_6_)) ; inv01 ix16169 (.Y (nx15708), .A (reg_16_q_c_6_)) ; inv02 ix16170 (.Y (nx15709), .A (reg_12_q_c_6_)) ; aoi22 ix16172 (.Y (nx15710), .A0 (C_MUX2_2_SEL), .A1 (nx15708), .B0 (nx15728 ), .B1 (nx15709)) ; nor02 ix16174 (.Y (nx15711), .A0 (nx15707), .A1 (nx15710)) ; aoi21 ix16175 (.Y (nx15712), .A0 (nx15707), .A1 (nx15710), .B0 (nx9785)) ; inv01 ix16176 (.Y (nx15713), .A (nx10279)) ; oai32 nx10795_rename (.Y (nx10795), .A0 (nx15705), .A1 (nx15711), .A2 ( nx15712), .B0 (nx15713), .B1 (nx15705)) ; inv01 ix16177 (.Y (nx15714), .A (nx10795)) ; inv02 ix16178 (.Y (nx15715), .A (reg_12_q_c_8_)) ; inv02 ix16179 (.Y (nx15717), .A (reg_16_q_c_8_)) ; aoi22 ix16180 (.Y (nx15718), .A0 (nx15728), .A1 (nx15715), .B0 (C_MUX2_2_SEL ), .B1 (nx15717)) ; nor02 ix16181 (.Y (nx15719), .A0 (nx15701), .A1 (nx15718)) ; aoi21 ix16182 (.Y (nx15721), .A0 (nx15702), .A1 (nx15714), .B0 (nx15719)) ; inv01 nx9810_rename (.Y (nx9810), .A (nx15721)) ; inv01 ix16183 (.Y (nx15722), .A (nx15721)) ; inv01 ix16184 (.Y (nx15723), .A (nx15721)) ; oai22 nx10745_rename (.Y (nx10745), .A0 (C_MUX2_2_SEL), .A1 (reg_12_q_c_8_) , .B0 (nx15729), .B1 (reg_16_q_c_8_)) ; inv01 ix16185 (.Y (nx15724), .A (nx15714)) ; aoi22 ix16186 (.Y (nx15725), .A0 (reg_12_q_c_8_), .A1 (nx15729), .B0 ( reg_16_q_c_8_), .B1 (C_MUX2_2_SEL)) ; oai22 nx10803_rename (.Y (nx10803), .A0 (nx15725), .A1 (reg_30_q_c_8_), .B0 ( nx15701), .B1 (nx15718)) ; oai22 nx10231_rename (.Y (nx10231), .A0 (C_MUX2_2_SEL), .A1 (reg_12_q_c_7_) , .B0 (nx15729), .B1 (reg_16_q_c_7_)) ; and02 ix16187 (.Y (nx15726), .A0 (nx15707), .A1 (nx15710)) ; oai22 nx7570_rename (.Y (nx7570), .A0 (nx15726), .A1 (nx9785), .B0 (nx15707) , .B1 (nx15710)) ; oai22 nx9737_rename (.Y (nx9737), .A0 (nx15729), .A1 (reg_16_q_c_6_), .B0 ( C_MUX2_2_SEL), .B1 (reg_12_q_c_6_)) ; aoi22 ix16188 (.Y (nx15727), .A0 (reg_12_q_c_6_), .A1 (nx15729), .B0 ( C_MUX2_2_SEL), .B1 (reg_16_q_c_6_)) ; oai22 nx9791_rename (.Y (nx9791), .A0 (nx15727), .A1 (reg_30_q_c_6_), .B0 ( nx15707), .B1 (nx15710)) ; buf04 ix16190 (.Y (nx15728), .A (nx15700)) ; buf04 ix16192 (.Y (nx15729), .A (nx15700)) ; inv01 ix16193 (.Y (nx15731), .A (nx6433)) ; inv01 ix16194 (.Y (nx15732), .A (C_MUX2_14_SEL)) ; inv01 ix16195 (.Y (nx15733), .A (reg_10_q_c_2_)) ; oai221 ix16196 (.Y (nx15734), .A0 (nx15731), .A1 (nx15757), .B0 (nx15733), .B1 ( C_MUX2_14_SEL), .C0 (reg_28_q_c_2_)) ; ao22 ix16197 (.Y (nx15735), .A0 (C_MUX2_21_SEL), .A1 (nx15908), .B0 (nx7115) , .B1 (nx15901)) ; inv01 ix16198 (.Y (nx15736), .A (nx7267)) ; inv01 ix16199 (.Y (nx15737), .A (reg_10_q_c_1_)) ; inv01 ix16200 (.Y (nx15739), .A (reg_28_q_c_0_)) ; aoi33 ix16201 (.Y (nx15741), .A0 (nx15873), .A1 (C_MUX2_14_SEL), .A2 ( nx15739), .B0 (reg_10_q_c_0_), .B1 (nx15757), .B2 (nx15739)) ; inv01 ix16202 (.Y (nx15742), .A (nx15909)) ; oai22 ix16204 (.Y (nx15743), .A0 (nx15742), .A1 (nx7267), .B0 (nx15736), .B1 ( nx15909)) ; inv01 ix16206 (.Y (nx15744), .A (nx7115)) ; oai22 ix16207 (.Y (nx15745), .A0 (nx15744), .A1 (nx7267), .B0 (nx15736), .B1 ( nx7115)) ; oai22 ix16208 (.Y (nx15746), .A0 (nx15736), .A1 (nx15737), .B0 ( reg_10_q_c_1_), .B1 (nx7267)) ; aoi332 ix16209 (.Y (nx15747), .A0 (nx15743), .A1 (C_MUX2_14_SEL), .A2 ( C_MUX2_21_SEL), .B0 (nx15745), .B1 (C_MUX2_14_SEL), .B2 (nx15901), .C0 ( nx15746), .C1 (nx15757)) ; aoi332 ix16210 (.Y (nx15748), .A0 (nx15735), .A1 (C_MUX2_14_SEL), .A2 ( nx15736), .B0 (nx15737), .B1 (nx15757), .B2 (nx15736), .C0 (nx15741) , .C1 (nx15747)) ; aoi22 ix16211 (.Y (nx15749), .A0 (nx15734), .A1 (nx15748), .B0 (nx7657), .B1 ( nx15734)) ; inv02 nx8141_rename (.Y (nx8141), .A (nx15749)) ; inv01 ix16212 (.Y (nx15751), .A (nx15749)) ; oai22 nx7659_rename (.Y (nx7659), .A0 (C_MUX2_14_SEL), .A1 (reg_10_q_c_2_), .B0 (nx15759), .B1 (nx6433)) ; inv02 nx1904_rename (.Y (nx1904), .A (nx15748)) ; inv02 nx6775_rename (.Y (nx6775), .A (nx15741)) ; inv02 ix16213 (.Y (nx15752), .A (nx15741)) ; inv02 nx7109_rename (.Y (nx7109), .A (nx15747)) ; inv01 ix16214 (.Y (nx15753), .A (reg_10_q_c_0_)) ; aoi332 ix16215 (.Y (nx15754), .A0 (C_MUX2_14_SEL), .A1 (nx15899), .A2 ( C_MUX2_21_SEL), .B0 (C_MUX2_14_SEL), .B1 (nx6691), .B2 (nx15901), .C0 ( nx15759), .C1 (nx15753)) ; inv02 nx6687_rename (.Y (nx6687), .A (nx15754)) ; inv02 ix16216 (.Y (nx15755), .A (nx15754)) ; inv01 ix16217 (.Y (nx15756), .A (nx15754)) ; oai332 nx7111_rename (.Y (nx7111), .A0 (nx15759), .A1 (nx15744), .A2 ( C_MUX2_21_SEL), .B0 (nx15759), .B1 (nx15901), .B2 (nx15742), .C0 ( C_MUX2_14_SEL), .C1 (reg_10_q_c_1_)) ; buf04 ix16218 (.Y (nx15757), .A (nx15732)) ; buf04 ix16219 (.Y (nx15759), .A (nx15732)) ; inv02 ix16220 (.Y (nx15761), .A (nx9434)) ; inv02 ix16222 (.Y (nx15762), .A (reg_36_q_c_8_)) ; oai22 nx10508_rename (.Y (nx10508), .A0 (nx15761), .A1 (nx15762), .B0 ( nx10959), .B1 (nx10963)) ; inv02 ix16223 (.Y (nx15763), .A (reg_44_q_c_11_)) ; inv02 ix16224 (.Y (nx15764), .A (PRI_IN_6[11])) ; nand02 ix16225 (.Y (nx15765), .A0 (reg_44_q_c_10_), .A1 (PRI_IN_6[10])) ; oai22 ix16226 (.Y (nx15766), .A0 (nx15763), .A1 (nx15764), .B0 (nx12173), .B1 ( nx15765)) ; inv02 ix16227 (.Y (nx15767), .A (PRI_IN_6[12])) ; inv02 ix16228 (.Y (nx15768), .A (nx12901)) ; oai22 ix16230 (.Y (nx15769), .A0 (nx15767), .A1 (nx15768), .B0 (PRI_IN_6[12] ), .B1 (nx12901)) ; aoi22 ix16231 (.Y (nx15771), .A0 (PRI_IN_6[12]), .A1 (nx12901), .B0 (nx15767 ), .B1 (nx15768)) ; nor02 ix16232 (.Y (nx15772), .A0 (nx15771), .A1 (nx12173)) ; and02 ix16233 (.Y (nx15773), .A0 (nx13199), .A1 (nx15588)) ; aoi22 ix16234 (.Y (nx15774), .A0 (nx15919), .A1 (PRI_IN_6[13]), .B0 ( reg_44_q_c_12_), .B1 (PRI_IN_6[12])) ; oai22 ix16235 (.Y (nx15775), .A0 (NOT_nx14148), .A1 (nx15773), .B0 (nx15774) , .B1 (nx15773)) ; and02 ix16236 (.Y (nx15776), .A0 (reg_44_q_c_11_), .A1 (PRI_IN_6[11])) ; and02 ix16237 (.Y (nx15777), .A0 (reg_44_q_c_10_), .A1 (PRI_IN_6[10])) ; inv02 ix16238 (.Y (nx15779), .A (nx12173)) ; oai32 nx12659_rename (.Y (nx12659), .A0 (nx11908), .A1 (nx15776), .A2 ( nx15777), .B0 (nx15779), .B1 (nx15776)) ; oai22 nx12662_rename (.Y (nx12662), .A0 (nx15768), .A1 (PRI_IN_6[12]), .B0 ( nx15767), .B1 (nx12901)) ; or02 nx11910_rename (.Y (nx11910), .A0 (nx15777), .A1 (nx11908)) ; oai22 ix16240 (.Y (nx15781), .A0 (nx15589), .A1 (PRI_IN_6[14]), .B0 (nx15590 ), .B1 (nx15922)) ; inv02 ix16241 (.Y (nx15782), .A (PRI_IN_6[10])) ; inv02 ix16242 (.Y (nx15783), .A (nx11891)) ; aoi22 ix16243 (.Y (nx15784), .A0 (PRI_IN_6[10]), .A1 (nx11891), .B0 (nx15782 ), .B1 (nx15783)) ; nor02 nx11908_rename (.Y (nx11908), .A0 (nx15784), .A1 (nx11633)) ; and02 ix16244 (.Y (nx15785), .A0 (nx15766), .A1 (nx15769)) ; or02 ix16245 (.Y (nx15786), .A0 (nx15581), .A1 (nx15583)) ; aoi21 ix16246 (.Y (nx15787), .A0 (nx15766), .A1 (nx15769), .B0 (nx15786)) ; inv02 ix16247 (.Y (nx15788), .A (nx15787)) ; inv02 ix16248 (.Y (nx15789), .A (nx15590)) ; inv02 ix16249 (.Y (nx15790), .A (nx15922)) ; inv02 ix16250 (.Y (nx15791), .A (nx15589)) ; inv02 ix16251 (.Y (nx15792), .A (PRI_IN_6[14])) ; aoi221 ix16252 (.Y (nx15793), .A0 (nx15789), .A1 (nx15790), .B0 (nx15791), .B1 ( nx15792), .C0 (NOT_nx13689)) ; ao21 nx15770_rename (.Y (nx15770), .A0 (nx15781), .A1 (NOT_nx13689), .B0 ( nx15793)) ; inv01 ix16253 (.Y (nx15794), .A (NOT_nx13689)) ; oai22 ix16254 (.Y (nx15795), .A0 (nx11908), .A1 (nx15785), .B0 (nx15785), .B1 ( nx15772)) ; inv01 ix16255 (.Y (nx15797), .A (nx15795)) ; inv01 NOT_nx14148_rename (.Y (NOT_nx14148), .A (nx15797)) ; oai22 nx11637_rename (.Y (nx11637), .A0 (nx15783), .A1 (PRI_IN_6[10]), .B0 ( nx15782), .B1 (nx11891)) ; and02 ix16256 (.Y (nx15799), .A0 (C_MUX2_12_SEL), .A1 (reg_15_q_c_1_)) ; inv01 ix16257 (.Y (nx15800), .A (nx7261)) ; aoi21 ix16258 (.Y (nx15801), .A0 (C_MUX2_12_SEL), .A1 (reg_15_q_c_1_), .B0 ( nx1226)) ; oai32 nx6973_rename (.Y (nx6973), .A0 (nx15799), .A1 (nx15800), .A2 (nx1226) , .B0 (nx15801), .B1 (nx7261)) ; ao21 nx1240_XX0_XREP13_rename (.Y (nx1240_XX0_XREP13), .A0 (C_MUX2_12_SEL), .A1 (reg_15_q_c_1_), .B0 (nx1226)) ; nand02 ix16260 (.Y (nx15802), .A0 (nx14791), .A1 (reg_31_q_c_14_)) ; inv02 ix16261 (.Y (nx15803), .A (nx13335)) ; inv01 ix16262 (.Y (nx15804), .A (nx12311)) ; and04 ix16263 (.Y (nx15805), .A0 (nx13248), .A1 (nx15803), .A2 (nx11008), .A3 ( nx15804)) ; and02 ix16264 (.Y (nx15807), .A0 (nx14783), .A1 (reg_31_q_c_10_)) ; inv02 ix16265 (.Y (nx15809), .A (nx12126)) ; inv02 ix16266 (.Y (nx15810), .A (nx12384)) ; aoi22 ix16267 (.Y (nx15811), .A0 (nx15804), .A1 (nx15807), .B0 (nx15809), .B1 ( nx15810)) ; inv02 ix16268 (.Y (nx15812), .A (nx13248)) ; inv02 ix16270 (.Y (nx15813), .A (nx15916)) ; inv02 ix16271 (.Y (nx15814), .A (reg_31_q_c_12_)) ; oai332 ix16272 (.Y (nx15815), .A0 (nx15811), .A1 (nx15812), .A2 (nx13335), .B0 ( nx13335), .B1 (nx15813), .B2 (nx15814), .C0 (nx14366), .C1 (nx13405) ) ; aoi21 ix16273 (.Y (nx15816), .A0 (nx10898), .A1 (nx15805), .B0 (nx15815)) ; aoi21 ix16274 (.Y (nx15817), .A0 (nx14791), .A1 (reg_31_q_c_14_), .B0 ( nx15488)) ; ao21 nx14365_rename (.Y (nx14365), .A0 (nx15802), .A1 (nx15816), .B0 ( nx15817)) ; inv01 nx15378_rename (.Y (nx15378), .A (nx15816)) ; nand02 ix16275 (.Y (nx15818), .A0 (nx15916), .A1 (reg_31_q_c_12_)) ; and02 ix16276 (.Y (nx15819), .A0 (nx11008), .A1 (nx15804)) ; aoi222 ix16277 (.Y (nx15820), .A0 (nx15804), .A1 (nx15807), .B0 (nx15809), .B1 ( nx15810), .C0 (nx10898), .C1 (nx15819)) ; aoi21 ix16278 (.Y (nx15821), .A0 (nx15916), .A1 (reg_31_q_c_12_), .B0 ( nx13248)) ; ao21 nx13325_rename (.Y (nx13325), .A0 (nx15818), .A1 (nx15820), .B0 ( nx15821)) ; inv01 nx13138_rename (.Y (nx13138), .A (nx15820)) ; oai22 nx12303_rename (.Y (nx12303), .A0 (nx15807), .A1 (nx10898), .B0 ( nx15807), .B1 (nx11008)) ; nand03 ix16280 (.Y (nx15822), .A0 (reg_43_q_c_0_), .A1 (PRI_OUT_8[0]), .A2 ( PRI_OUT_8[1])) ; and02 ix16281 (.Y (nx15823), .A0 (PRI_OUT_8[0]), .A1 (reg_43_q_c_0_)) ; oai21 ix16282 (.Y (nx15824), .A0 (nx15823), .A1 (PRI_OUT_8[1]), .B0 ( reg_43_q_c_1_)) ; inv01 ix16283 (.Y (nx15825), .A (PRI_OUT_8[2])) ; inv01 ix16284 (.Y (nx15827), .A (reg_43_q_c_2_)) ; aoi22 ix16285 (.Y (nx15828), .A0 (reg_43_q_c_2_), .A1 (nx15825), .B0 ( PRI_OUT_8[2]), .B1 (nx15827)) ; aoi21 nx2898_rename (.Y (nx2898), .A0 (nx15822), .A1 (nx15824), .B0 (nx15828 )) ; and02 ix16286 (.Y (nx15829), .A0 (reg_43_q_c_1_), .A1 (nx15905)) ; oai22 nx7481_rename (.Y (nx7481), .A0 (nx15905), .A1 (reg_43_q_c_1_), .B0 ( nx15823), .B1 (nx15829)) ; oai22 nx7485_rename (.Y (nx7485), .A0 (nx15825), .A1 (nx15827), .B0 ( PRI_OUT_8[2]), .B1 (reg_43_q_c_2_)) ; inv02 ix16288 (.Y (nx15830), .A (reg_43_q_c_1_)) ; inv02 ix16289 (.Y (nx15831), .A (nx15905)) ; oai22 nx6987_rename (.Y (nx6987), .A0 (nx15830), .A1 (nx15831), .B0 (nx15905 ), .B1 (reg_43_q_c_1_)) ; inv02 ix16290 (.Y (nx15832), .A (PRI_IN_6[6])) ; inv02 ix16291 (.Y (nx15833), .A (nx9867)) ; oai22 ix16292 (.Y (nx15834), .A0 (nx15832), .A1 (nx15833), .B0 (PRI_IN_6[6]) , .B1 (nx9867)) ; aoi22 ix16293 (.Y (nx15835), .A0 (PRI_IN_6[6]), .A1 (nx9867), .B0 (nx15832) , .B1 (nx15833)) ; nor02 ix16294 (.Y (nx15836), .A0 (nx15835), .A1 (nx9093)) ; aoi322 ix16295 (.Y (nx15837), .A0 (nx15834), .A1 (reg_44_q_c_5_), .A2 ( PRI_IN_6[5]), .B0 (reg_44_q_c_6_), .B1 (PRI_IN_6[6]), .C0 (nx5190), .C1 ( nx15836)) ; inv02 ix16296 (.Y (nx15838), .A (PRI_IN_6[8])) ; inv02 ix16298 (.Y (nx15839), .A (nx10882)) ; aoi22 ix16299 (.Y (nx15840), .A0 (PRI_IN_6[8]), .A1 (nx10882), .B0 (nx15838) , .B1 (nx15839)) ; inv02 ix16300 (.Y (nx15841), .A (reg_44_q_c_7_)) ; inv02 ix16301 (.Y (nx15842), .A (PRI_IN_6[7])) ; oai33 nx9668_rename (.Y (nx9668), .A0 (nx15837), .A1 (nx15840), .A2 (nx10126 ), .B0 (nx15840), .B1 (nx15841), .B2 (nx15842)) ; nand02 ix16302 (.Y (nx15843), .A0 (reg_44_q_c_7_), .A1 (PRI_IN_6[7])) ; inv02 ix16303 (.Y (nx15844), .A (nx10126)) ; aoi21 ix16304 (.Y (nx15845), .A0 (reg_44_q_c_7_), .A1 (PRI_IN_6[7]), .B0 ( nx15844)) ; ao21 nx10612_rename (.Y (nx10612), .A0 (nx15843), .A1 (nx15837), .B0 ( nx15845)) ; oai22 nx10617_rename (.Y (nx10617), .A0 (nx15839), .A1 (PRI_IN_6[8]), .B0 ( nx15838), .B1 (nx10882)) ; inv02 nx7430_rename (.Y (nx7430), .A (nx15837)) ; and02 ix16306 (.Y (nx15847), .A0 (reg_44_q_c_5_), .A1 (PRI_IN_6[5])) ; inv02 ix16307 (.Y (nx15848), .A (nx9093)) ; oai22 nx9603_rename (.Y (nx9603), .A0 (nx15847), .A1 (nx5190), .B0 (nx15848) , .B1 (nx15847)) ; oai22 nx9607_rename (.Y (nx9607), .A0 (nx15833), .A1 (PRI_IN_6[6]), .B0 ( nx15832), .B1 (nx9867)) ; inv01 ix16308 (.Y (nx15849), .A (nx15904)) ; inv01 ix16309 (.Y (nx15850), .A (nx15906)) ; oai22 ix16310 (.Y (nx15851), .A0 (nx15849), .A1 (nx15907), .B0 (nx15850), .B1 ( nx15904)) ; inv01 ix16311 (.Y (nx15852), .A (C_MUX2_21_SEL)) ; inv01 ix16312 (.Y (nx15853), .A (PRI_OUT_7[1])) ; oai22 ix16313 (.Y (nx15854), .A0 (nx15853), .A1 (nx15907), .B0 (nx15850), .B1 ( PRI_OUT_7[1])) ; nor02 ix16314 (.Y (nx15855), .A0 (C_MUX2_21_SEL), .A1 (C_MUX2_17_SEL)) ; inv01 ix16315 (.Y (nx15857), .A (nx15909)) ; oai33 ix16316 (.Y (nx15858), .A0 (nx15850), .A1 (nx15874), .A2 (nx15857), .B0 ( nx15907), .B1 (nx15874), .B2 (nx15909)) ; aoi321 ix16317 (.Y (nx15859), .A0 (nx15851), .A1 (C_MUX2_17_SEL), .A2 ( nx15874), .B0 (nx15854), .B1 (nx15855), .C0 (nx15858)) ; inv01 ix16318 (.Y (nx15860), .A (PRI_OUT_7[0])) ; inv01 ix16319 (.Y (nx15861), .A (nx15896)) ; inv01 ix16320 (.Y (nx15862), .A (C_MUX2_17_SEL)) ; oai332 ix16321 (.Y (nx15863), .A0 (nx15860), .A1 (C_MUX2_21_SEL), .A2 ( C_MUX2_17_SEL), .B0 (nx15861), .B1 (nx15876), .B2 (C_MUX2_21_SEL), .C0 ( nx15874), .C1 (nx15899)) ; and02 ix16322 (.Y (nx15864), .A0 (PRI_OUT_7[1]), .A1 (nx15850)) ; oai43 ix16324 (.Y (nx15865), .A0 (nx15876), .A1 (C_MUX2_21_SEL), .A2 ( nx15849), .A3 (nx15907), .B0 (nx15874), .B1 (nx15907), .B2 (nx15909) ) ; aoi321 ix16325 (.Y (nx15866), .A0 (nx15859), .A1 (reg_45_q_c_0_), .A2 ( nx15863), .B0 (nx15855), .B1 (nx15864), .C0 (nx15865)) ; inv02 nx1856_rename (.Y (nx1856), .A (nx15866)) ; inv01 ix16326 (.Y (nx15867), .A (nx15866)) ; nor02 ix16327 (.Y (nx15868), .A0 (C_MUX2_17_SEL), .A1 (PRI_OUT_7[0])) ; inv01 ix16328 (.Y (nx15869), .A (nx15899)) ; oai321 ix16329 (.Y (nx15871), .A0 (C_MUX2_21_SEL), .A1 (nx15876), .A2 ( nx15896), .B0 (nx15902), .B1 (nx15869), .C0 (reg_45_q_c_0_)) ; ao21 nx7051_rename (.Y (nx7051), .A0 (nx15902), .A1 (nx15868), .B0 (nx15871) ) ; inv02 nx7053_rename (.Y (nx7053), .A (nx15859)) ; aoi332 nx6410_rename (.Y (nx6410), .A0 (nx15902), .A1 (nx15896), .A2 ( C_MUX2_17_SEL), .B0 (nx15902), .B1 (PRI_OUT_7[0]), .B2 (nx15877), .C0 ( C_MUX2_21_SEL), .C1 (nx15869)) ; inv02 ix16330 (.Y (nx15872), .A (nx6410)) ; inv01 ix16331 (.Y (nx15873), .A (nx6410)) ; oai332 nx6421_rename (.Y (nx6421), .A0 (C_MUX2_21_SEL), .A1 (nx15853), .A2 ( C_MUX2_17_SEL), .B0 (C_MUX2_21_SEL), .B1 (nx15877), .B2 (nx15849), .C0 ( nx15902), .C1 (nx15909)) ; oai22 nx6691_rename (.Y (nx6691), .A0 (C_MUX2_17_SEL), .A1 (PRI_OUT_7[0]), .B0 ( nx15877), .B1 (nx15896)) ; oai22 nx7115_rename (.Y (nx7115), .A0 (C_MUX2_17_SEL), .A1 (PRI_OUT_7[1]), .B0 ( nx15877), .B1 (nx15904)) ; buf04 ix16332 (.Y (nx15874), .A (nx15852)) ; buf04 ix16334 (.Y (nx15875), .A (nx15852)) ; buf04 ix16335 (.Y (nx15876), .A (nx15862)) ; buf04 ix16336 (.Y (nx15877), .A (nx15862)) ; and02 ix16337 (.Y (nx15878), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_1_)) ; inv01 ix16338 (.Y (nx15879), .A (nx7236)) ; aoi21 ix16339 (.Y (nx15881), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_1_), .B0 ( nx1350)) ; oai32 nx7081_rename (.Y (nx7081), .A0 (nx15878), .A1 (nx15879), .A2 (nx1350) , .B0 (nx15881), .B1 (nx7236)) ; ao21 nx6427_rename (.Y (nx6427), .A0 (C_MUX2_13_SEL), .A1 (reg_8_q_c_1_), .B0 ( nx1350)) ; inv01 ix16340 (.Y (nx15882), .A (nx7111)) ; inv01 ix16341 (.Y (nx15883), .A (nx7193)) ; nor02 ix16342 (.Y (nx15884), .A0 (nx15883), .A1 (nx7111)) ; nand02 nx174_rename (.Y (nx174), .A0 (nx15756), .A1 (reg_16_q_c_0_)) ; oai22 nx7762_rename (.Y (nx7762), .A0 (nx15882), .A1 (nx7193), .B0 (nx15884) , .B1 (nx174)) ; oai22 nx7201_rename (.Y (nx7201), .A0 (nx15882), .A1 (nx7193), .B0 (nx15883) , .B1 (nx7111)) ; and02 ix16343 (.Y (nx15885), .A0 (nx14763), .A1 (reg_37_q_c_14_)) ; or02 ix16344 (.Y (nx15886), .A0 (nx13437), .A1 (nx13434)) ; oai21 nx15570_rename (.Y (nx15570), .A0 (nx13188), .A1 (nx13191), .B0 ( nx15886)) ; oai22 nx14213_rename (.Y (nx14213), .A0 (nx15885), .A1 (nx15570), .B0 ( nx15885), .B1 (nx15580)) ; and02 ix16345 (.Y (nx15887), .A0 (nx15716), .A1 (reg_40_q_c_14_)) ; oai22 nx15192_rename (.Y (nx15192), .A0 (nx13117), .A1 (nx13123), .B0 ( nx13615), .B1 (nx13463)) ; oai22 nx14135_rename (.Y (nx14135), .A0 (nx15887), .A1 (nx15192), .B0 ( nx15887), .B1 (nx15938)) ; and02 ix16346 (.Y (nx15888), .A0 (nx15034), .A1 (reg_36_q_c_13_)) ; oai22 nx14988_rename (.Y (nx14988), .A0 (nx15501), .A1 (nx15502), .B0 ( nx12981), .B1 (nx12985)) ; oai22 nx13997_rename (.Y (nx13997), .A0 (nx15888), .A1 (nx14988), .B0 ( nx15888), .B1 (nx15036)) ; inv02 ix16347 (.Y (nx15889), .A (C_MUX2_10_SEL)) ; inv01 ix16348 (.Y (nx15890), .A (nx16190)) ; oai221 nx16194_rename (.Y (nx16194), .A0 (nx15696), .A1 (nx15514), .B0 ( nx15889), .B1 (nx15890), .C0 (nx15520)) ; and02 ix16350 (.Y (nx15891), .A0 (nx13615), .A1 (reg_34_q_c_13_)) ; oai22 nx14776_rename (.Y (nx14776), .A0 (nx12921), .A1 (nx13453), .B0 ( nx13476), .B1 (nx12933)) ; oai22 nx13941_rename (.Y (nx13941), .A0 (nx15891), .A1 (nx14776), .B0 ( nx15891), .B1 (nx14778)) ; oai422 ix16351 (.Y (nx15892), .A0 (nx11908), .A1 (nx15785), .A2 (nx15581), .A3 ( nx15583), .B0 (nx15772), .B1 (nx15788), .C0 (nx15581), .C1 (nx15584) ) ; inv01 NOT_nx13689_rename (.Y (NOT_nx13689), .A (nx15892)) ; inv01 ix16352 (.Y (nx15893), .A (reg_44_q_c_2_)) ; inv02 ix16353 (.Y (nx15894), .A (PRI_IN_6[2])) ; oai22 nx2950_rename (.Y (nx2950), .A0 (nx15893), .A1 (nx15894), .B0 (nx7547) , .B1 (nx7551)) ; buf16 ix16354 (.Y (nx15895), .A (reg_17_q_c_0_)) ; buf16 ix16355 (.Y (nx15896), .A (reg_17_q_c_0_)) ; buf16 ix16356 (.Y (nx15897), .A (nx14817)) ; buf16 ix16358 (.Y (nx15899), .A (nx14817)) ; buf16 ix16359 (.Y (nx15901), .A (nx15875)) ; buf16 ix16360 (.Y (nx15902), .A (nx15875)) ; buf16 ix16361 (.Y (nx15903), .A (nx14711)) ; buf16 ix16362 (.Y (nx15904), .A (nx14711)) ; buf16 ix16363 (.Y (PRI_OUT_8[1]), .A (PRI_OUT_8_dup0_1_)) ; buf16 ix16364 (.Y (nx15905), .A (PRI_OUT_8_dup0_1_)) ; buf16 ix16365 (.Y (nx15906), .A (nx7229)) ; buf16 ix16366 (.Y (nx15907), .A (nx7229)) ; buf16 ix16368 (.Y (nx15908), .A (nx7205)) ; buf16 ix16369 (.Y (nx15909), .A (nx7205)) ; buf16 ix16370 (.Y (nx15911), .A (reg_12_q_c_1_)) ; buf16 ix16371 (.Y (nx15912), .A (reg_12_q_c_1_)) ; buf16 ix16372 (.Y (nx15913), .A (reg_12_q_c_11_)) ; buf16 ix16373 (.Y (nx15914), .A (reg_12_q_c_11_)) ; buf16 ix16374 (.Y (nx15915), .A (nx14787)) ; buf16 ix16376 (.Y (nx15916), .A (nx14787)) ; buf16 ix16377 (.Y (nx15917), .A (reg_44_q_c_13_)) ; buf16 ix16378 (.Y (nx15919), .A (reg_44_q_c_13_)) ; buf16 ix16379 (.Y (nx15921), .A (nx13923)) ; buf16 ix16380 (.Y (nx15922), .A (nx13923)) ; buf02 ix16381 (.Y (nx16382), .A (nx15572)) ; endmodule