-- -- Definition of CIRCUIT -- -- 12/14/05 22:56:15 -- -- LeonardoSpectrum Level 3, 2004a.63 -- library IEEE; use IEEE.STD_LOGIC_1164.all; entity CIRCUIT is port ( PRI_IN_0 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_1 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_2 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_3 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_4 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_5 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_6 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_7 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_8 : IN std_logic_vector (15 DOWNTO 0) ; PRI_IN_9 : IN std_logic_vector (15 DOWNTO 0) ; PRI_OUT_0 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_1 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_2 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_3 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_4 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_5 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_6 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_7 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_8 : OUT std_logic_vector (15 DOWNTO 0) ; PRI_OUT_9 : OUT std_logic_vector (15 DOWNTO 0) ; C_MUX2_1_SEL : IN std_logic ; C_MUX2_2_SEL : IN std_logic ; C_MUX2_3_SEL : IN std_logic ; C_MUX2_4_SEL : IN std_logic ; C_MUX2_5_SEL : IN std_logic ; C_MUX2_6_SEL : IN std_logic ; C_MUX2_7_SEL : IN std_logic ; C_MUX2_8_SEL : IN std_logic ; C_MUX2_9_SEL : IN std_logic ; C_MUX2_10_SEL : IN std_logic ; C_MUX2_11_SEL : IN std_logic ; C_MUX2_12_SEL : IN std_logic ; C_MUX2_13_SEL : IN std_logic ; C_MUX2_14_SEL : IN std_logic ; C_MUX2_15_SEL : IN std_logic ; C_MUX2_16_SEL : IN std_logic ; C_MUX2_17_SEL : IN std_logic ; C_MUX2_18_SEL : IN std_logic ; C_MUX2_19_SEL : IN std_logic ; C_MUX2_20_SEL : IN std_logic ; C_MUX2_21_SEL : IN std_logic ; C_MUX2_22_SEL : IN std_logic ; C_MUX2_23_SEL : IN std_logic ; C_MUX2_24_SEL : IN std_logic ; C_MUX2_25_SEL : IN std_logic ; CLK : IN std_logic) ; end CIRCUIT ; architecture CIRCUIT_arch of CIRCUIT is signal PRI_OUT_0_15_EXMPLR, PRI_OUT_0_14_EXMPLR, PRI_OUT_0_13_EXMPLR, PRI_OUT_0_12_EXMPLR, PRI_OUT_0_11_EXMPLR, PRI_OUT_0_10_EXMPLR, PRI_OUT_0_9_EXMPLR, PRI_OUT_0_8_EXMPLR, PRI_OUT_0_7_EXMPLR, PRI_OUT_0_6_EXMPLR, PRI_OUT_0_5_EXMPLR, PRI_OUT_0_4_EXMPLR, PRI_OUT_0_3_EXMPLR, PRI_OUT_0_2_EXMPLR, PRI_OUT_0_1_EXMPLR, PRI_OUT_0_0_EXMPLR, PRI_OUT_1_15_EXMPLR, PRI_OUT_1_14_EXMPLR, PRI_OUT_1_13_EXMPLR, PRI_OUT_1_12_EXMPLR, PRI_OUT_1_11_EXMPLR, PRI_OUT_1_10_EXMPLR, PRI_OUT_1_9_EXMPLR, PRI_OUT_1_8_EXMPLR, PRI_OUT_1_7_EXMPLR, PRI_OUT_1_6_EXMPLR, PRI_OUT_1_5_EXMPLR, PRI_OUT_1_4_EXMPLR, PRI_OUT_1_3_EXMPLR, PRI_OUT_1_2_EXMPLR, PRI_OUT_1_1_EXMPLR, PRI_OUT_1_0_EXMPLR, PRI_OUT_3_15_EXMPLR, PRI_OUT_3_14_EXMPLR, PRI_OUT_3_13_EXMPLR, PRI_OUT_3_12_EXMPLR, PRI_OUT_3_11_EXMPLR, PRI_OUT_3_10_EXMPLR, PRI_OUT_3_9_EXMPLR, PRI_OUT_3_8_EXMPLR, PRI_OUT_3_7_EXMPLR, PRI_OUT_3_6_EXMPLR, PRI_OUT_3_5_EXMPLR, PRI_OUT_3_4_EXMPLR, PRI_OUT_3_3_EXMPLR, PRI_OUT_3_2_EXMPLR, PRI_OUT_3_1_EXMPLR, PRI_OUT_3_0_EXMPLR, PRI_OUT_4_15_EXMPLR, PRI_OUT_4_14_EXMPLR, PRI_OUT_4_13_EXMPLR, PRI_OUT_4_12_EXMPLR, PRI_OUT_4_11_EXMPLR, PRI_OUT_4_10_EXMPLR, PRI_OUT_4_9_EXMPLR, PRI_OUT_4_8_EXMPLR, PRI_OUT_4_7_EXMPLR, PRI_OUT_4_6_EXMPLR, PRI_OUT_4_5_EXMPLR, PRI_OUT_4_4_EXMPLR, PRI_OUT_4_3_EXMPLR, PRI_OUT_4_2_EXMPLR, PRI_OUT_4_1_EXMPLR, PRI_OUT_4_0_EXMPLR, PRI_OUT_5_15_EXMPLR, PRI_OUT_5_14_EXMPLR, PRI_OUT_5_13_EXMPLR, PRI_OUT_5_12_EXMPLR, PRI_OUT_5_11_EXMPLR, PRI_OUT_5_10_EXMPLR, PRI_OUT_5_9_EXMPLR, PRI_OUT_5_8_EXMPLR, PRI_OUT_5_7_EXMPLR, PRI_OUT_5_6_EXMPLR, PRI_OUT_5_5_EXMPLR, PRI_OUT_5_4_EXMPLR, PRI_OUT_5_3_EXMPLR, PRI_OUT_5_2_EXMPLR, PRI_OUT_5_1_EXMPLR, PRI_OUT_5_0_EXMPLR, PRI_OUT_6_15_EXMPLR, PRI_OUT_6_14_EXMPLR, PRI_OUT_6_13_EXMPLR, PRI_OUT_6_12_EXMPLR, PRI_OUT_6_11_EXMPLR, PRI_OUT_6_10_EXMPLR, PRI_OUT_6_9_EXMPLR, PRI_OUT_6_8_EXMPLR, PRI_OUT_6_7_EXMPLR, PRI_OUT_6_6_EXMPLR, PRI_OUT_6_5_EXMPLR, PRI_OUT_6_4_EXMPLR, PRI_OUT_6_3_EXMPLR, PRI_OUT_6_2_EXMPLR, PRI_OUT_6_1_EXMPLR, PRI_OUT_6_0_EXMPLR, PRI_OUT_7_15_EXMPLR, PRI_OUT_7_14_EXMPLR, PRI_OUT_7_13_EXMPLR, PRI_OUT_7_12_EXMPLR, PRI_OUT_7_11_EXMPLR, PRI_OUT_7_10_EXMPLR, PRI_OUT_7_9_EXMPLR, PRI_OUT_7_8_EXMPLR, PRI_OUT_7_7_EXMPLR, PRI_OUT_7_6_EXMPLR, PRI_OUT_7_5_EXMPLR, PRI_OUT_7_4_EXMPLR, PRI_OUT_7_3_EXMPLR, PRI_OUT_7_2_EXMPLR, PRI_OUT_7_1_EXMPLR, PRI_OUT_7_0_EXMPLR, PRI_OUT_8_15_EXMPLR, PRI_OUT_8_14_EXMPLR, PRI_OUT_8_13_EXMPLR, PRI_OUT_8_12_EXMPLR, PRI_OUT_8_11_EXMPLR, PRI_OUT_8_10_EXMPLR, PRI_OUT_8_9_EXMPLR, PRI_OUT_8_8_EXMPLR, PRI_OUT_8_7_EXMPLR, PRI_OUT_8_6_EXMPLR, PRI_OUT_8_5_EXMPLR, PRI_OUT_8_4_EXMPLR, PRI_OUT_8_3_EXMPLR, PRI_OUT_8_2_EXMPLR, PRI_OUT_8_dup0_1, PRI_OUT_8_0_EXMPLR, reg_17_q_c_0, reg_29_q_c_0, reg_20_q_c_0, reg_28_q_c_0, reg_5_q_c_0, reg_34_q_c_0, reg_18_q_c_0, reg_42_q_c_0, reg_40_q_c_0, reg_41_q_c_0, reg_13_q_c_0, reg_25_q_c_0, reg_37_q_c_0, reg_44_q_c_0, reg_10_q_c_0, reg_11_q_c_0, reg_45_q_c_0, reg_27_q_c_0, reg_31_q_c_0, reg_38_q_c_0, reg_22_q_c_0, reg_19_q_c_0, nx14, reg_14_q_c_0, reg_30_q_c_0, nx22, nx30, nx48, nx66, nx6409, nx120, nx134, reg_16_q_c_0, nx148, nx156, nx170, reg_36_q_c_0, nx182, reg_35_q_c_0, nx6412, nx196, nx208, nx220, nx226, nx264, nx282, nx296, nx308, nx316, nx326, nx334, reg_47_q_c_0, nx348, nx356, nx378, nx392, nx406, nx414, nx420, nx430, nx438, nx452, nx466, nx482, nx488, nx498, nx506, nx532, nx546, nx558, nx564, nx578, reg_43_q_c_0, nx588, nx596, nx610, nx620, nx628, nx638, nx646, nx660, nx668, nx674, nx684, nx692, nx706, nx716, nx724, nx734, nx742, nx752, nx760, nx786, nx796, nx804, nx820, nx826, reg_39_q_c_0, nx836, reg_48_q_c_0, reg_46_q_c_0, nx864, nx872, nx886, nx908, nx922, nx936, nx940, nx948, nx958, nx966, reg_17_q_c_1, reg_29_q_c_1, reg_20_q_c_1, reg_28_q_c_1, reg_5_q_c_1, reg_34_q_c_1, reg_18_q_c_1, reg_42_q_c_1, reg_40_q_c_1, reg_32_q_c_1, reg_41_q_c_1, reg_13_q_c_1, reg_25_q_c_1, reg_37_q_c_1, reg_44_q_c_1, reg_10_q_c_1, reg_11_q_c_1, reg_45_q_c_1, reg_27_q_c_1, reg_31_q_c_1, reg_38_q_c_1, reg_22_q_c_1, reg_12_q_c_1, reg_19_q_c_1, reg_14_q_c_1, reg_30_q_c_1, nx994, nx996, nx1006, nx1014, nx1016, nx1058, nx1062, nx1070, nx1072, reg_16_q_c_1, nx1084, nx1086, nx1100, reg_36_q_c_1, nx1104, reg_35_q_c_1, nx6425, nx1118, nx1126, nx1138, nx1140, nx1170, nx1178, nx1180, nx1204, nx1206, nx1214, nx1216, reg_47_q_c_1, nx1228, nx1230, nx1244, nx1252, nx1254, nx1264, nx1272, nx1274, nx1282, nx1284, nx1292, nx1294, nx1304, nx1318, nx1320, nx1322, nx1330, nx1332, nx1352, nx1354, nx1362, nx1364, nx1376, nx1378, nx1386, nx1388, reg_43_q_c_1, nx1396, nx1398, nx1408, nx1416, nx1418, nx1426, nx1428, nx1438, nx1446, nx1448, nx1456, nx1458, nx1466, nx1468, nx1476, nx1478, nx1486, nx1488, nx1496, nx1498, nx1516, nx1524, nx1526, nx1540, nx1542, nx1544, reg_39_q_c_1, nx1552, reg_48_q_c_1, nx1560, reg_46_q_c_1, nx1572, nx1574, nx1582, nx1584, nx1602, nx1610, nx1612, nx1626, nx1628, nx1634, nx1642, nx1644, reg_33_q_c_2, reg_17_q_c_2, reg_29_q_c_2, nx1688, reg_20_q_c_2, reg_28_q_c_2, reg_5_q_c_2, reg_18_q_c_2, reg_7_q_c_2, nx1752, reg_40_q_c_2, reg_32_q_c_2, reg_13_q_c_2, reg_25_q_c_2, nx1822, reg_37_q_c_2, reg_44_q_c_2, reg_10_q_c_2, reg_11_q_c_2, reg_45_q_c_2, reg_27_q_c_2, reg_31_q_c_2, reg_38_q_c_2, nx1894, reg_22_q_c_2, nx1916, reg_19_q_c_2, nx1938, reg_14_q_c_2, nx1960, reg_30_q_c_2, nx1982, nx1984, nx1994, nx2002, nx2004, nx2040, nx2048, nx2050, reg_16_q_c_2, nx6433, nx2082, nx2084, nx2098, reg_36_q_c_2, nx2112, reg_35_q_c_2, nx2130, nx2134, nx2140, nx2142, nx2152, nx2154, nx2156, nx2186, nx2194, nx2196, nx2210, nx2220, nx2222, nx2230, nx2232, nx2248, nx6437, nx2264, nx2266, nx2280, nx2290, nx2298, nx2300, nx2310, nx2318, nx2320, nx2330, nx2338, nx2340, nx2356, nx2358, nx2366, nx2368, nx2406, nx2416, nx2430, nx2440, reg_43_q_c_2, nx2458, nx2460, nx2470, nx2478, nx2480, nx2488, nx2490, nx2498, nx2500, nx2510, nx2518, nx2520, nx2530, nx2538, nx2540, nx2548, nx2550, nx2558, nx2560, nx2578, nx2586, nx2588, nx2604, nx2606, reg_39_q_c_2, nx2622, nx2628, nx2644, reg_48_q_c_2, nx2672, nx2692, nx2694, nx2704, nx2714, nx2722, nx2730, nx2732, nx2748, nx2750, nx2754, nx2762, nx2764, nx2780, nx2788, reg_17_q_c_3, nx2798, reg_29_q_c_3, reg_20_q_c_3, nx2818, reg_28_q_c_3, nx2828, reg_5_q_c_3, nx2838, reg_34_q_c_3, nx2846, reg_18_q_c_3, nx2856, nx2864, reg_42_q_c_3, reg_40_q_c_3, nx2882, nx2892, reg_32_q_c_3, nx2900, nx2908, reg_41_q_c_3, nx2916, reg_13_q_c_3, nx2926, nx2934, reg_25_q_c_3, reg_37_q_c_3, reg_44_q_c_3, nx2960, reg_10_q_c_3, nx2968, reg_11_q_c_3, reg_45_q_c_3, nx2984, reg_27_q_c_3, nx2994, reg_31_q_c_3, nx3004, reg_38_q_c_3, reg_22_q_c_3, nx3048, reg_19_q_c_3, nx3070, reg_14_q_c_3, reg_30_q_c_3, nx3100, nx3102, nx3104, nx3114, nx3122, nx3124, nx3134, nx3140, nx3166, nx3170, nx3178, reg_16_q_c_3, nx3200, nx6443, nx3202, nx3204, nx3218, reg_36_q_c_3, nx3228, nx6444, nx3232, reg_35_q_c_3, nx6445, nx3254, nx3262, nx3274, nx3276, nx3306, nx3314, nx3316, nx3340, nx3342, nx3350, nx3352, reg_47_q_c_3, nx3382, nx3384, nx3386, nx3400, nx3408, nx3410, nx3420, nx3428, nx3430, nx3438, nx3440, nx3448, nx3450, nx3460, nx3474, nx3476, nx3478, nx3486, nx3488, nx3514, nx3522, nx3524, nx3526, nx3534, nx3536, nx3548, nx3550, nx3558, nx3560, reg_43_q_c_3, nx3576, nx3578, nx3580, nx3588, nx3590, nx3598, nx3600, nx3608, nx3610, nx3620, nx3628, nx3630, nx3638, nx3640, nx3648, nx3650, nx3658, nx3660, nx3668, nx3670, nx3678, nx3680, nx3698, nx3706, nx3708, nx3722, nx3724, nx3726, reg_39_q_c_3, nx3740, nx3742, nx3756, reg_48_q_c_3, nx3772, nx3774, nx3780, nx3786, nx3788, nx3792, nx3800, reg_46_q_c_3, nx3810, nx3812, nx3814, nx3822, nx3824, nx3834, nx3836, nx3838, nx3842, nx3850, nx3852, nx3866, nx3868, nx3874, nx3882, nx3884, reg_33_q_c_4, reg_17_q_c_4, reg_29_q_c_4, nx3928, reg_20_q_c_4, reg_28_q_c_4, reg_5_q_c_4, reg_18_q_c_4, reg_7_q_c_4, nx3992, reg_40_q_c_4, reg_32_q_c_4, reg_13_q_c_4, reg_25_q_c_4, nx4062, reg_37_q_c_4, reg_44_q_c_4, reg_10_q_c_4, reg_11_q_c_4, nx4096, reg_45_q_c_4, reg_27_q_c_4, reg_31_q_c_4, reg_38_q_c_4, nx4134, reg_22_q_c_4, nx4144, nx4156, reg_12_q_c_4, reg_19_q_c_4, nx4178, nx4190, reg_14_q_c_4, nx4200, reg_30_q_c_4, nx4222, nx4224, nx4234, nx4242, nx4244, nx4254, nx4260, nx4262, nx4280, nx4288, nx4290, nx4300, reg_16_q_c_4, nx6453, nx4322, nx4324, nx4338, reg_36_q_c_4, nx4352, reg_35_q_c_4, nx4370, nx4374, nx4380, nx4382, nx4392, nx4394, nx4396, nx4424, nx4426, nx4434, nx4436, nx4450, nx4460, nx4462, nx4470, nx4472, nx4488, nx6457, nx4504, nx4506, nx4520, nx4530, nx4538, nx4540, nx4550, nx4558, nx4560, nx4570, nx4578, nx4580, nx4596, nx4598, nx4606, nx4608, nx4626, reg_8_q_c_4, nx4646, nx4656, nx4670, nx4680, reg_43_q_c_4, nx4698, nx4700, nx4710, nx4718, nx4720, nx4728, nx4730, nx4738, nx4740, nx4750, nx4758, nx4760, nx4770, nx4778, nx4780, nx4788, nx4790, nx4798, nx4800, nx4818, nx4826, nx4828, nx4844, nx4846, reg_39_q_c_4, nx4862, nx4868, nx4884, reg_48_q_c_4, nx4912, nx4932, nx4934, nx4944, nx4954, nx4962, nx4970, nx4972, nx4988, nx4990, nx4994, nx5002, nx5004, nx5020, nx5028, reg_17_q_c_5, nx5038, reg_29_q_c_5, reg_20_q_c_5, nx5058, reg_28_q_c_5, nx5068, reg_5_q_c_5, nx5078, reg_34_q_c_5, nx5086, reg_18_q_c_5, nx5096, nx5104, reg_42_q_c_5, reg_40_q_c_5, nx5122, nx5132, reg_32_q_c_5, nx5138, nx5140, nx5148, reg_41_q_c_5, nx5156, reg_13_q_c_5, nx5166, nx5174, reg_25_q_c_5, reg_37_q_c_5, nx5188, nx5190, reg_44_q_c_5, nx5200, reg_10_q_c_5, nx5208, reg_11_q_c_5, reg_45_q_c_5, nx5224, reg_27_q_c_5, nx5234, reg_31_q_c_5, nx5244, reg_38_q_c_5, reg_22_q_c_5, nx5288, reg_19_q_c_5, nx5310, reg_14_q_c_5, nx5328, nx5330, reg_30_q_c_5, nx5340, nx5342, nx5344, nx5352, nx5354, nx5362, nx5364, nx5374, nx5380, nx5406, nx5410, nx5418, reg_16_q_c_5, nx5440, nx6465, nx5442, nx5444, nx5458, reg_36_q_c_5, nx5468, nx6466, nx5472, reg_35_q_c_5, nx6467, nx5494, nx5502, nx5514, nx5516, nx5546, nx5554, nx5556, nx5580, nx5582, nx5590, nx5592, reg_47_q_c_5, nx5622, nx5624, nx5626, nx5640, nx5648, nx5650, nx5660, nx5668, nx5670, nx5678, nx5680, nx5690, nx5700, nx5714, nx5716, nx5718, nx5726, nx5728, nx5754, nx5762, nx5764, nx5766, nx5774, nx5776, nx5788, nx5790, nx5798, nx5800, reg_43_q_c_5, nx5816, nx5818, nx5820, nx5828, nx5830, nx5838, nx5840, nx5848, nx5850, nx5860, nx5868, nx5870, nx5878, nx5880, nx5888, nx5890, nx5898, nx5900, nx5908, nx5910, nx5918, nx5920, nx5938, nx5946, nx5948, nx5962, nx5964, nx5966, reg_39_q_c_5, nx5980, nx5982, nx5996, reg_48_q_c_5, nx6012, nx6014, nx6020, nx6028, nx6032, nx6040, reg_46_q_c_5, nx6050, nx6052, nx6054, nx6062, nx6064, nx6074, nx6076, nx6078, nx6082, nx6090, nx6092, nx6106, nx6108, nx6114, nx6122, nx6124, reg_33_q_c_6, reg_17_q_c_6, reg_29_q_c_6, nx6168, reg_20_q_c_6, reg_28_q_c_6, reg_5_q_c_6, reg_18_q_c_6, reg_7_q_c_6, nx6232, reg_40_q_c_6, reg_32_q_c_6, reg_13_q_c_6, reg_25_q_c_6, nx6302, reg_37_q_c_6, reg_44_q_c_6, reg_10_q_c_6, reg_11_q_c_6, nx6336, reg_45_q_c_6, reg_27_q_c_6, reg_31_q_c_6, reg_38_q_c_6, nx6374, reg_22_q_c_6, nx6384, nx6396, reg_12_q_c_6, reg_19_q_c_6, nx6418, nx6430, reg_14_q_c_6, nx6440, reg_30_q_c_6, nx6462, nx6464, nx6474, nx6482, nx6484, nx6494, nx6500, nx6502, nx6520, nx6528, nx6530, nx6540, reg_16_q_c_6, nx6473, nx6562, nx6564, nx6578, reg_36_q_c_6, nx6592, reg_35_q_c_6, nx6610, nx6614, nx6620, nx6622, nx6632, nx6634, nx6636, nx6664, nx6666, nx6674, nx6676, nx6690, nx6700, nx6702, nx6710, nx6712, nx6728, nx6477, nx6744, nx6746, nx6760, nx6770, nx6778, nx6780, nx6790, nx6798, nx6800, nx6810, nx6818, nx6820, nx6836, nx6838, nx6846, nx6848, nx6866, reg_8_q_c_6, nx6886, nx6896, nx6910, nx6920, reg_43_q_c_6, nx6938, nx6940, nx6950, nx6958, nx6960, nx6968, nx6970, nx6978, nx6980, nx6990, nx6998, nx7000, nx7010, nx7018, nx7020, nx7028, nx7030, nx7038, nx7040, nx7058, nx7066, nx7068, nx7084, nx7086, reg_39_q_c_6, nx7102, nx7108, nx7124, reg_48_q_c_6, nx7152, nx7172, nx7174, nx7184, nx7194, nx7202, nx7210, nx7212, nx7228, nx7230, nx7234, nx7242, nx7244, nx7260, nx7268, reg_17_q_c_7, nx7278, reg_29_q_c_7, reg_20_q_c_7, nx7298, reg_28_q_c_7, nx7308, reg_5_q_c_7, nx7318, reg_34_q_c_7, nx7326, reg_18_q_c_7, nx7336, nx7344, reg_42_q_c_7, reg_40_q_c_7, nx7362, nx7372, reg_32_q_c_7, nx7378, nx7380, nx7388, reg_41_q_c_7, nx7396, reg_13_q_c_7, nx7406, nx7414, reg_25_q_c_7, reg_37_q_c_7, reg_44_q_c_7, nx7440, reg_10_q_c_7, nx7448, reg_11_q_c_7, reg_45_q_c_7, nx7464, reg_27_q_c_7, nx7474, reg_31_q_c_7, nx7484, reg_38_q_c_7, reg_22_q_c_7, nx7528, reg_19_q_c_7, nx7550, reg_14_q_c_7, reg_30_q_c_7, nx7580, nx7582, nx7584, nx7594, nx7602, nx7604, nx7614, nx7620, nx7622, nx7640, nx7646, nx7650, nx7658, reg_16_q_c_7, nx7680, nx6481, nx7682, nx7684, nx7698, reg_36_q_c_7, nx7708, nx6483, nx7712, reg_35_q_c_7, nx6485, nx7734, nx7742, nx7754, nx7756, nx7786, nx7794, nx7796, nx7820, nx7822, nx7830, nx7832, reg_47_q_c_7, nx7852, reg_15_q_c_7, nx7862, nx6486, nx7864, nx7866, nx7876, nx7880, nx7888, nx7890, nx7900, nx7908, nx7910, nx7918, nx7920, nx7930, nx7940, nx7954, nx7956, nx7958, nx7966, nx7968, nx7986, reg_8_q_c_7, nx7994, nx8002, nx8004, nx8006, nx8014, nx8016, nx8028, nx8030, nx8038, nx8040, reg_43_q_c_7, nx8056, nx8058, nx8060, nx8068, nx8070, nx8078, nx8080, nx8088, nx8090, nx8100, nx8108, nx8110, nx8118, nx8120, nx8128, nx8130, nx8138, nx8140, nx8148, nx8150, nx8158, nx8160, nx8178, nx8186, nx8188, nx8202, nx8204, nx8206, reg_39_q_c_7, nx8220, nx8222, nx8236, reg_48_q_c_7, nx8252, nx8254, nx8260, nx8268, nx8272, nx8280, reg_46_q_c_7, nx8290, nx8292, nx8294, nx8302, nx8304, nx8314, nx8316, nx8318, nx8322, nx8330, nx8332, nx8346, nx8348, nx8354, nx8362, nx8364, reg_33_q_c_8, reg_17_q_c_8, reg_29_q_c_8, nx8408, reg_20_q_c_8, reg_28_q_c_8, reg_5_q_c_8, reg_18_q_c_8, reg_7_q_c_8, nx8472, reg_40_q_c_8, reg_32_q_c_8, reg_13_q_c_8, reg_25_q_c_8, nx8542, reg_37_q_c_8, reg_44_q_c_8, reg_10_q_c_8, reg_11_q_c_8, nx8576, reg_45_q_c_8, reg_27_q_c_8, reg_31_q_c_8, reg_38_q_c_8, nx8614, reg_22_q_c_8, nx8624, nx8636, reg_12_q_c_8, reg_19_q_c_8, nx8658, nx8670, reg_14_q_c_8, nx8680, reg_30_q_c_8, nx8702, nx8704, nx8714, nx8722, nx8724, nx8734, nx8740, nx8742, nx8760, nx8768, nx8770, nx8780, reg_16_q_c_8, nx6490, nx8802, nx8804, nx8818, reg_36_q_c_8, nx8832, reg_35_q_c_8, nx8850, nx8854, nx8860, nx8862, nx8872, nx8874, nx8876, nx8904, nx8906, nx8914, nx8916, nx8930, nx8940, nx8942, nx8950, nx8952, nx8968, nx8972, reg_15_q_c_8, nx6495, nx8984, nx8986, nx8996, nx9000, nx9010, nx9018, nx9020, nx9030, nx9038, nx9040, nx9050, nx9058, nx9060, nx9076, nx9078, nx9086, nx9088, nx9106, reg_8_q_c_8, nx9126, nx9136, nx9150, nx9160, reg_43_q_c_8, nx9178, nx9180, nx9190, nx9198, nx9200, nx9208, nx9210, nx9218, nx9220, nx9230, nx9238, nx9240, nx9250, nx9258, nx9260, nx9268, nx9270, nx9278, nx9280, nx9298, nx9306, nx9308, nx9324, nx9326, reg_39_q_c_8, nx9342, nx9348, nx9364, reg_48_q_c_8, nx9392, nx9412, nx9414, nx9424, nx9434, nx9442, nx9450, nx9452, nx9468, nx9470, nx9474, nx9482, nx9484, nx9500, nx9508, reg_17_q_c_9, nx9518, reg_29_q_c_9, reg_20_q_c_9, nx9538, reg_28_q_c_9, nx9548, reg_5_q_c_9, nx9558, reg_34_q_c_9, nx9566, reg_18_q_c_9, nx9576, nx9584, reg_42_q_c_9, reg_40_q_c_9, nx9602, nx9612, reg_32_q_c_9, nx9618, nx9620, nx9628, reg_41_q_c_9, nx9636, reg_13_q_c_9, nx9646, nx9654, reg_25_q_c_9, reg_37_q_c_9, nx9670, reg_44_q_c_9, nx9680, reg_10_q_c_9, nx9688, reg_11_q_c_9, reg_45_q_c_9, nx9704, reg_27_q_c_9, nx9714, reg_31_q_c_9, nx9724, reg_38_q_c_9, reg_22_q_c_9, reg_12_q_c_9, nx9768, reg_19_q_c_9, nx9790, reg_14_q_c_9, reg_30_q_c_9, nx9820, nx9822, nx9824, nx9834, nx9842, nx9844, nx9854, nx9860, nx9862, nx9880, nx9886, nx9890, nx9898, nx9900, reg_16_q_c_9, nx9920, nx6503, nx9922, nx9924, nx9938, reg_36_q_c_9, nx9948, nx6505, nx9952, reg_35_q_c_9, nx6507, nx9974, nx9982, nx9994, nx9996, nx10026, nx10034, nx10036, nx10060, nx10062, nx10070, nx10072, reg_47_q_c_9, nx10092, reg_15_q_c_9, nx10102, nx6509, nx10104, nx10106, nx10116, nx10120, nx10128, nx10130, nx10140, nx10148, nx10150, nx10158, nx10160, nx10168, nx10170, nx10180, nx10194, nx10196, nx10198, nx10206, nx10208, nx10226, reg_8_q_c_9, nx10234, nx10242, nx10244, nx10246, nx10254, nx10256, nx10268, nx10270, nx10278, nx10280, reg_43_q_c_9, nx10296, nx10298, nx10300, nx10308, nx10310, nx10318, nx10320, nx10328, nx10330, nx10340, nx10348, nx10350, nx10358, nx10360, nx10368, nx10370, nx10378, nx10380, nx10388, nx10390, nx10398, nx10400, nx10418, nx10426, nx10428, nx10442, nx10444, nx10446, reg_39_q_c_9, nx10460, nx10462, nx10476, reg_48_q_c_9, nx10492, nx10494, nx10500, nx10512, nx10520, reg_46_q_c_9, nx10530, nx10532, nx10534, nx10542, nx10544, nx10554, nx10556, nx10558, nx10562, nx10570, nx10572, nx10586, nx10588, nx10594, nx10602, nx10604, reg_33_q_c_10, reg_17_q_c_10, reg_29_q_c_10, nx10648, reg_20_q_c_10, reg_28_q_c_10, reg_5_q_c_10, reg_18_q_c_10, reg_7_q_c_10, nx10712, reg_40_q_c_10, reg_32_q_c_10, reg_13_q_c_10, reg_25_q_c_10, nx10782, reg_37_q_c_10, reg_44_q_c_10, reg_10_q_c_10, reg_11_q_c_10, nx10816, reg_45_q_c_10, reg_27_q_c_10, reg_31_q_c_10, reg_38_q_c_10, nx10854, reg_22_q_c_10, nx10864, nx10876, reg_12_q_c_10, reg_19_q_c_10, nx10898, nx10910, reg_14_q_c_10, nx10920, reg_30_q_c_10, nx10942, nx10944, nx10954, nx10962, nx10964, nx10974, nx10980, nx10982, nx11000, nx11008, nx11010, nx11020, reg_16_q_c_10, nx6517, nx11042, nx11044, nx11058, reg_36_q_c_10, nx11072, reg_35_q_c_10, nx11090, nx11094, nx11100, nx11102, nx11112, nx11114, nx11116, nx11144, nx11146, nx11154, nx11156, nx11170, nx11180, nx11182, nx11190, nx11192, nx11208, nx11212, reg_15_q_c_10, nx6523, nx11224, nx11226, nx11236, nx11240, nx11250, nx11258, nx11260, nx11270, nx11278, nx11280, nx11290, nx11298, nx11300, nx11316, nx11318, nx11326, nx11328, nx11346, reg_8_q_c_10, nx11366, nx11376, nx11390, nx11400, reg_43_q_c_10, nx11418, nx11420, nx11430, nx11438, nx11440, nx11448, nx11450, nx11458, nx11460, nx11470, nx11478, nx11480, nx11490, nx11498, nx11500, nx11508, nx11510, nx11518, nx11520, nx11538, nx11546, nx11548, nx11564, nx11566, reg_39_q_c_10, nx11582, nx11588, nx11604, reg_48_q_c_10, nx11632, nx11652, nx11654, nx11664, nx11674, nx11682, nx11690, nx11692, nx11708, nx11710, nx11714, nx11722, nx11724, nx11740, nx11748, reg_17_q_c_11, nx11758, reg_29_q_c_11, reg_20_q_c_11, nx11778, reg_28_q_c_11, nx11788, reg_5_q_c_11, nx11798, reg_34_q_c_11, nx11806, reg_18_q_c_11, nx11816, nx11824, reg_42_q_c_11, reg_40_q_c_11, nx11842, nx11852, reg_32_q_c_11, nx11858, nx11860, nx11868, reg_41_q_c_11, nx11876, reg_13_q_c_11, nx11886, nx11894, reg_25_q_c_11, reg_37_q_c_11, reg_44_q_c_11, nx11920, reg_10_q_c_11, nx11928, reg_11_q_c_11, reg_45_q_c_11, nx11944, reg_27_q_c_11, nx11954, reg_31_q_c_11, nx11964, reg_38_q_c_11, reg_22_q_c_11, reg_12_q_c_11, nx12008, reg_19_q_c_11, nx12030, reg_14_q_c_11, reg_30_q_c_11, nx12060, nx12062, nx12064, nx12074, nx12082, nx12084, nx12094, nx12100, nx12102, nx12120, nx12126, nx12130, nx12138, nx12140, reg_16_q_c_11, nx12160, nx6531, nx12162, nx12164, nx12178, reg_36_q_c_11, nx12188, nx6532, nx12192, reg_35_q_c_11, nx6533, nx12214, nx12222, nx12234, nx12236, nx12266, nx12274, nx12276, nx12300, nx12302, nx12310, nx12312, reg_47_q_c_11, nx12332, reg_15_q_c_11, nx12342, nx6534, nx12344, nx12346, nx12356, nx12360, nx12368, nx12370, nx12380, nx12388, nx12390, nx12398, nx12400, nx12410, nx12420, nx12434, nx12436, nx12438, nx12446, nx12448, nx12466, reg_8_q_c_11, nx12474, nx12482, nx12484, nx12486, nx12494, nx12496, nx12508, nx12510, nx12518, nx12520, reg_43_q_c_11, nx12536, nx12538, nx12540, nx12548, nx12550, nx12558, nx12560, nx12568, nx12570, nx12580, nx12588, nx12590, nx12598, nx12600, nx12608, nx12610, nx12618, nx12620, nx12628, nx12630, nx12638, nx12640, nx12658, nx12666, nx12668, nx12682, nx12684, nx12686, reg_39_q_c_11, nx12700, nx12702, nx12716, reg_48_q_c_11, nx12732, nx12734, nx12740, nx12752, nx12760, reg_46_q_c_11, nx12770, nx12772, nx12774, nx12782, nx12784, nx12794, nx12796, nx12798, nx12802, nx12810, nx12812, nx12826, nx12828, nx12834, nx12842, nx12844, reg_33_q_c_12, reg_17_q_c_12, reg_29_q_c_12, nx12888, reg_20_q_c_12, reg_28_q_c_12, reg_5_q_c_12, reg_18_q_c_12, reg_7_q_c_12, nx12952, reg_40_q_c_12, reg_32_q_c_12, reg_13_q_c_12, reg_25_q_c_12, nx13022, reg_37_q_c_12, reg_44_q_c_12, reg_10_q_c_12, reg_11_q_c_12, nx13056, reg_45_q_c_12, reg_27_q_c_12, reg_31_q_c_12, reg_38_q_c_12, nx13094, reg_22_q_c_12, nx13104, nx13116, reg_12_q_c_12, reg_19_q_c_12, nx13150, reg_14_q_c_12, nx13160, reg_30_q_c_12, nx13182, nx13184, nx13194, nx13202, nx13204, nx13214, nx13220, nx13222, nx13240, nx13248, nx13250, nx13260, reg_16_q_c_12, nx6539, nx13282, nx13284, nx13298, reg_36_q_c_12, nx13312, reg_35_q_c_12, nx13330, nx13334, nx13340, nx13342, nx13352, nx13354, nx13356, nx13384, nx13386, nx13394, nx13396, nx13410, nx13420, nx13422, nx13430, nx13432, nx13448, nx13452, reg_15_q_c_12, nx6543, nx13464, nx13466, nx13476, nx13480, nx13490, nx13498, nx13500, nx13510, nx13518, nx13520, nx13530, nx13538, nx13540, nx13556, nx13558, nx13566, nx13568, nx13586, reg_8_q_c_12, nx13606, nx13616, nx13630, nx13640, reg_43_q_c_12, nx13658, nx13660, nx13670, nx13678, nx13680, nx13688, nx13690, nx13698, nx13700, nx13710, nx13718, nx13720, nx13730, nx13738, nx13740, nx13748, nx13750, nx13758, nx13760, nx13778, nx13786, nx13788, nx13804, nx13806, reg_39_q_c_12, nx13822, nx13828, nx13844, reg_48_q_c_12, nx13872, nx13892, nx13894, nx13904, nx13914, nx13922, nx13930, nx13932, nx13948, nx13950, nx13954, nx13962, nx13964, nx13980, nx13988, reg_17_q_c_13, nx13998, reg_29_q_c_13, reg_20_q_c_13, nx14018, reg_28_q_c_13, nx14028, reg_5_q_c_13, nx14038, reg_34_q_c_13, nx14046, reg_18_q_c_13, nx14056, nx14064, reg_42_q_c_13, reg_40_q_c_13, nx14082, nx14092, reg_32_q_c_13, nx14098, nx14108, reg_41_q_c_13, nx14116, reg_13_q_c_13, nx14126, nx14134, reg_25_q_c_13, reg_37_q_c_13, reg_44_q_c_13, nx14160, reg_10_q_c_13, nx14168, reg_11_q_c_13, reg_45_q_c_13, nx14184, reg_27_q_c_13, nx14194, reg_31_q_c_13, nx14204, reg_38_q_c_13, reg_22_q_c_13, reg_12_q_c_13, nx14248, reg_19_q_c_13, nx14270, reg_14_q_c_13, reg_30_q_c_13, nx14300, nx14302, nx14304, nx14314, nx14322, nx14324, nx14334, nx14340, nx14342, nx14360, nx14366, nx14370, nx14378, nx14380, reg_16_q_c_13, nx14400, nx6547, nx14402, nx14404, nx14418, reg_36_q_c_13, nx14428, nx6549, nx14432, reg_35_q_c_13, nx6551, nx14454, nx14462, nx14474, nx14476, nx14506, nx14514, nx14516, nx14540, nx14542, nx14550, nx14552, reg_47_q_c_13, nx14572, reg_15_q_c_13, nx14582, nx6552, nx14584, nx14586, nx14596, nx14600, nx14608, nx14610, nx14620, nx14628, nx14630, nx14638, nx14640, nx14650, nx14660, nx14674, nx14676, nx14678, nx14686, nx14688, nx14706, reg_8_q_c_13, nx14714, nx14722, nx14724, nx14726, nx14734, nx14736, nx14748, nx14750, nx14758, nx14760, reg_43_q_c_13, nx14778, nx14780, nx14790, nx14798, nx14800, nx14808, nx14810, nx14820, nx14828, nx14830, nx14838, nx14840, nx14848, nx14850, nx14858, nx14860, nx14868, nx14870, nx14878, nx14880, nx14898, nx14906, nx14908, nx14922, nx14924, nx14926, reg_39_q_c_13, nx14942, nx14956, reg_48_q_c_13, nx14972, nx14974, nx14980, nx14992, nx15000, reg_46_q_c_13, nx15010, nx15012, nx15014, nx15022, nx15024, nx15034, nx15036, nx15038, nx15042, nx15050, nx15052, nx15066, nx15068, nx15074, nx15082, nx15084, reg_33_q_c_14, reg_17_q_c_14, reg_29_q_c_14, nx15128, reg_20_q_c_14, reg_28_q_c_14, reg_5_q_c_14, reg_18_q_c_14, reg_7_q_c_14, reg_40_q_c_14, reg_32_q_c_14, reg_13_q_c_14, reg_25_q_c_14, nx15262, reg_37_q_c_14, reg_44_q_c_14, reg_10_q_c_14, reg_11_q_c_14, nx15296, reg_45_q_c_14, reg_27_q_c_14, reg_31_q_c_14, reg_38_q_c_14, nx15334, reg_22_q_c_14, nx15344, nx15356, reg_12_q_c_14, reg_19_q_c_14, nx15390, reg_14_q_c_14, nx15400, reg_30_q_c_14, nx15422, nx15424, nx15434, nx15442, nx15444, nx15454, nx15460, nx15462, nx15480, nx15488, nx15490, nx15500, reg_16_q_c_14, nx6557, nx15522, nx15524, nx15538, reg_36_q_c_14, nx15552, reg_35_q_c_14, nx15574, nx15580, nx15582, nx15592, nx15594, nx15596, nx15624, nx15626, nx15634, nx15636, nx15650, nx15660, nx15662, nx15670, nx15672, nx15688, nx15692, reg_15_q_c_14, nx6563, nx15704, nx15706, nx15716, nx15720, nx15730, nx15738, nx15740, nx15750, nx15758, nx15760, nx15778, nx15780, nx15796, nx15798, nx15806, nx15808, nx15826, reg_8_q_c_14, nx15846, nx15856, nx15870, nx15880, reg_43_q_c_14, nx15898, nx15900, nx15910, nx15918, nx15920, nx15928, nx15930, nx15938, nx15940, nx15950, nx15958, nx15960, nx15970, nx15978, nx15980, nx15988, nx15990, nx15998, nx16000, nx16018, nx16026, nx16028, nx16044, nx16046, reg_39_q_c_14, nx16062, nx16084, reg_48_q_c_14, nx16112, nx16132, nx16134, nx16144, nx16162, nx16170, nx16172, nx16188, nx16190, nx16202, nx16204, nx16220, reg_33_q_c_15, nx16228, reg_17_q_c_15, nx16238, reg_29_q_c_15, reg_20_q_c_15, nx16258, reg_28_q_c_15, nx16268, reg_5_q_c_15, nx16278, reg_34_q_c_15, nx16286, reg_18_q_c_15, nx16296, reg_7_q_c_15, nx16304, reg_42_q_c_15, reg_40_q_c_15, nx16322, nx16332, reg_32_q_c_15, nx16348, reg_41_q_c_15, nx16356, reg_13_q_c_15, nx16366, nx16374, reg_25_q_c_15, reg_37_q_c_15, reg_44_q_c_15, nx16400, reg_10_q_c_15, nx16408, reg_11_q_c_15, reg_45_q_c_15, nx16424, reg_27_q_c_15, nx16434, reg_31_q_c_15, nx16444, reg_38_q_c_15, reg_22_q_c_15, reg_12_q_c_15, nx16488, reg_19_q_c_15, nx16510, reg_14_q_c_15, reg_30_q_c_15, nx16540, nx16544, nx6566, nx16562, nx16564, nx16574, nx16580, nx16582, nx16598, nx16600, nx16608, nx16610, nx16620, reg_16_q_c_15, nx16640, nx6568, nx16644, nx16658, reg_36_q_c_15, nx16668, nx16672, reg_35_q_c_15, nx16694, nx16700, nx16702, nx16714, nx16716, nx16744, nx16746, nx16754, nx16756, nx16782, nx16792, reg_47_q_c_15, nx16812, reg_15_q_c_15, nx16822, nx6571, nx16826, nx16836, nx16838, nx16840, nx16850, nx16858, nx16860, nx16870, nx16880, nx16898, nx16900, nx16918, nx16928, nx16946, reg_8_q_c_15, nx16954, nx16962, nx16966, nx16976, nx16990, nx17000, reg_43_q_c_15, nx17016, nx17020, nx17040, nx17050, nx17058, nx17060, nx17070, nx17080, nx17090, nx17100, nx17110, nx17120, nx17136, nx17138, nx17148, nx17166, reg_39_q_c_15, nx17182, nx17196, reg_48_q_c_15, nx17212, nx17216, nx17240, reg_46_q_c_15, nx17250, nx17254, nx17264, nx17290, nx17292, nx17308, nx17314, nx17324, nx17338, nx17346, nx17348, nx17366, nx17380, nx17382, nx17384, nx17402, nx17416, nx17418, nx17420, nx17438, nx17452, nx17454, nx17456, nx17474, nx17488, nx17490, nx17492, nx17510, nx17524, nx17526, nx17528, nx17546, nx17560, nx17562, nx17564, nx17582, nx17596, nx17600, nx6585, nx6596, nx6603, nx6607, nx6615, nx6619, nx6628, nx6637, nx6640, nx6651, nx6659, nx6667, nx6675, nx6679, nx6683, nx6703, nx6711, nx6716, nx6719, nx6723, nx6743, nx6747, nx6757, nx6767, nx6773, nx6777, nx6781, nx6785, nx6793, nx6799, nx6802, nx6807, nx6826, nx6833, nx6840, nx6844, nx6847, nx6852, nx6859, nx6865, nx6879, nx6890, nx6899, nx6901, nx6907, nx6909, nx6912, nx6929, nx6933, nx6935, nx6953, nx6955, nx6964, nx6966, nx6971, nx6985, nx6993, nx6995, nx6999, nx7004, nx7006, nx7013, nx7015, nx7019, nx7021, nx7025, nx7027, nx7033, nx7035, nx7044, nx7046, nx7059, nx7061, nx7075, nx7079, nx7087, nx7097, nx7123, nx7141, nx7143, nx7149, nx7155, nx7157, nx7193, nx7195, nx7205, nx7209, nx7211, nx7213, nx7216, nx7218, nx7229, nx7236, nx7239, nx7241, nx7247, nx7259, nx7261, nx7267, nx7269, nx7272, nx7275, nx7279, nx7283, nx7287, nx7289, nx7292, nx7299, nx7307, nx7309, nx7311, nx7313, nx7325, nx7327, nx7349, nx7359, nx7371, nx7383, nx7385, nx7391, nx7401, nx7403, nx7411, nx7413, nx7421, nx7425, nx7431, nx7443, nx7447, nx7455, nx7457, nx7463, nx7473, nx7491, nx7495, nx7501, nx7507, nx7511, nx7519, nx7523, nx7529, nx7533, nx7541, nx7547, nx7551, nx7557, nx7567, nx7571, nx7583, nx7588, nx7591, nx7597, nx7603, nx7610, nx7619, nx7627, nx7631, nx7635, nx7639, nx7657, nx7663, nx7668, nx7681, nx7685, nx7697, nx7703, nx7705, nx7733, nx7735, nx7748, nx7753, nx7758, nx7764, nx7770, nx7773, nx7777, nx7779, nx7781, nx7787, nx7792, nx7799, nx7800, nx7801, nx7803, nx7804, nx7807, nx7809, nx7815, nx7817, nx7823, nx7829, nx7831, nx7833, nx7834, nx7838, nx7839, nx7847, nx7853, nx7867, nx7869, nx7872, nx7875, nx7881, nx7886, nx7889, nx7894, nx7899, nx7906, nx7909, nx7924, nx7935, nx7937, nx7941, nx7943, nx7953, nx7962, nx7969, nx7979, nx7985, nx7991, nx8013, nx8020, nx8025, nx8029, nx8036, nx8043, nx8047, nx8051, nx8061, nx8071, nx8075, nx8083, nx8089, nx8107, nx8111, nx8115, nx8119, nx8123, nx8131, nx8135, nx8144, nx8146, nx8149, nx8154, nx8169, nx8175, nx8184, nx8191, nx8193, nx8199, nx8201, nx8211, nx8217, nx8227, nx8241, nx8243, nx8251, nx8257, nx8262, nx8265, nx8267, nx8271, nx8273, nx8289, nx8296, nx8299, nx8301, nx8307, nx8315, nx8323, nx8324, nx8328, nx8329, nx8334, nx8336, nx8339, nx8343, nx8347, nx8353, nx8358, nx8368, nx8375, nx8391, nx8395, nx8405, nx8412, nx8425, nx8435, nx8437, nx8443, nx8451, nx8453, nx8461, nx8463, nx8471, nx8475, nx8481, nx8489, nx8493, nx8501, nx8503, nx8509, nx8517, nx8525, nx8529, nx8535, nx8539, nx8545, nx8549, nx8553, nx8561, nx8565, nx8571, nx8575, nx8583, nx8589, nx8593, nx8599, nx8607, nx8611, nx8619, nx8623, nx8629, nx8633, nx8639, nx8647, nx8655, nx8663, nx8671, nx8675, nx8679, nx8683, nx8703, nx8705, nx8708, nx8717, nx8721, nx8725, nx8735, nx8741, nx8743, nx8765, nx8771, nx8773, nx8781, nx8784, nx8785, nx8788, nx8792, nx8799, nx8801, nx8806, nx8808, nx8810, nx8813, nx8814, nx8821, nx8829, nx8835, nx8836, nx8837, nx8839, nx8840, nx8843, nx8845, nx8851, nx8853, nx8859, nx8866, nx8867, nx8868, nx8869, nx8877, nx8878, nx8884, nx8891, nx8903, nx8905, nx8909, nx8911, nx8917, nx8922, nx8925, nx8931, nx8935, nx8943, nx8945, nx8959, nx8973, nx8975, nx8979, nx8981, nx8993, nx9003, nx9009, nx9019, nx9024, nx9029, nx9046, nx9053, nx9059, nx9063, nx9069, nx9077, nx9082, nx9085, nx9093, nx9105, nx9111, nx9119, nx9127, nx9145, nx9149, nx9154, nx9157, nx9162, nx9169, nx9175, nx9183, nx9186, nx9189, nx9193, nx9197, nx9205, nx9211, nx9215, nx9223, nx9229, nx9231, nx9237, nx9239, nx9247, nx9254, nx9263, nx9274, nx9275, nx9281, nx9285, nx9289, nx9291, nx9293, nx9297, nx9299, nx9310, nx9314, nx9317, nx9319, nx9325, nx9332, nx9339, nx9341, nx9347, nx9349, nx9353, nx9355, nx9359, nx9363, nx9367, nx9373, nx9379, nx9393, nx9403, nx9418, nx9421, nx9430, nx9437, nx9447, nx9455, nx9457, nx9461, nx9469, nx9471, nx9478, nx9480, nx9487, nx9490, nx9495, nx9503, nx9507, nx9515, nx9517, nx9523, nx9531, nx9539, nx9543, nx9549, nx9553, nx9559, nx9563, nx9567, nx9575, nx9579, nx9585, nx9589, nx9597, nx9613, nx9621, nx9625, nx9633, nx9637, nx9643, nx9647, nx9653, nx9661, nx9669, nx9677, nx9685, nx9690, nx9695, nx9699, nx9719, nx9721, nx9725, nx9743, nx9747, nx9761, nx9767, nx9769, nx9785, nx9797, nx9799, nx9807, nx9811, nx9812, nx9817, nx9823, nx9828, nx9830, nx9836, nx9838, nx9840, nx9843, nx9845, nx9849, nx9855, nx9861, nx9863, nx9865, nx9867, nx9869, nx9873, nx9875, nx9881, nx9883, nx9889, nx9896, nx9897, nx9899, nx9901, nx9905, nx9906, nx9913, nx9921, nx9931, nx9933, nx9937, nx9939, nx9945, nx9953, nx9956, nx9960, nx9963, nx9971, nx9973, nx9989, nx10002, nx10004, nx10007, nx10009, nx10023, nx10031, nx10039, nx10049, nx10055, nx10061, nx10078, nx10083, nx10091, nx10095, nx10105, nx10111, nx10115, nx10119, nx10126, nx10137, nx10143, nx10149, nx10155, nx10173, nx10176, nx10181, nx10184, nx10188, nx10199, nx10203, nx10209, nx10212, nx10214, nx10217, nx10223, nx10239, nx10245, nx10253, nx10255, nx10259, nx10261, nx10269, nx10271, nx10279, nx10285, nx10293, nx10305, nx10306, nx10313, nx10317, nx10322, nx10324, nx10325, nx10329, nx10331, nx10342, nx10346, nx10349, nx10351, nx10355, nx10364, nx10371, nx10372, nx10376, nx10377, nx10382, nx10384, nx10387, nx10391, nx10394, nx10399, nx10404, nx10413, nx10422, nx10433, nx10436, nx10449, nx10454, nx10467, nx10477, nx10479, nx10485, nx10493, nx10495, nx10501, nx10503, nx10511, nx10515, nx10521, nx10527, nx10531, nx10538, nx10540, nx10545, nx10550, nx10557, nx10561, nx10566, nx10569, nx10575, nx10578, nx10581, nx10589, nx10593, nx10598, nx10601, nx10608, nx10623, nx10631, nx10635, nx10643, nx10647, nx10652, nx10657, nx10663, nx10671, nx10679, nx10687, nx10695, nx10699, nx10703, nx10707, nx10727, nx10729, nx10733, nx10751, nx10755, nx10769, nx10775, nx10777, nx10809, nx10811, nx10821, nx10825, nx10826, nx10831, nx10836, nx10843, nx10845, nx10849, nx10851, nx10855, nx10857, nx10858, nx10865, nx10871, nx10877, nx10878, nx10879, nx10882, nx10883, nx10887, nx10889, nx10893, nx10895, nx10901, nx10909, nx10911, nx10912, nx10913, nx10919, nx10921, nx10929, nx10937, nx10949, nx10951, nx10955, nx10957, nx10959, nx10963, nx10969, nx10973, nx10979, nx10983, nx10991, nx10993, nx11011, nx11021, nx11023, nx11026, nx11028, nx11043, nx11050, nx11059, nx11075, nx11079, nx11085, nx11107, nx11113, nx11120, nx11123, nx11131, nx11137, nx11143, nx11147, nx11153, nx11164, nx11169, nx11177, nx11185, nx11202, nx11207, nx11213, nx11217, nx11223, nx11232, nx11237, nx11244, nx11247, nx11249, nx11253, nx11257, nx11271, nx11275, nx11283, nx11285, nx11289, nx11291, nx11297, nx11299, nx11307, nx11313, nx11322, nx11333, nx11334, nx11339, nx11344, nx11349, nx11351, nx11353, nx11357, nx11359, nx11373, nx11379, nx11382, nx11385, nx11389, nx11396, nx11402, nx11403, nx11407, nx11408, nx11411, nx11413, nx11417, nx11421, nx11424, nx11429, nx11434, nx11444, nx11451, nx11463, nx11466, nx11476, nx11483, nx11491, nx11497, nx11499, nx11504, nx11509, nx11511, nx11516, nx11519, nx11525, nx11528, nx11533, nx11541, nx11544, nx11551, nx11553, nx11557, nx11565, nx11571, nx11574, nx11581, nx11585, nx11589, nx11593, nx11597, nx11605, nx11609, nx11615, nx11619, nx11627, nx11633, nx11643, nx11651, nx11655, nx11660, nx11663, nx11668, nx11671, nx11677, nx11685, nx11691, nx11697, nx11703, nx11709, nx11713, nx11717, nx11730, nx11732, nx11737, nx11755, nx11759, nx11773, nx11775, nx11779, nx11781, nx11813, nx11815, nx11825, nx11829, nx11831, nx11835, nx11841, nx11847, nx11849, nx11854, nx11857, nx11861, nx11863, nx11865, nx11871, nx11879, nx11885, nx11887, nx11888, nx11891, nx11893, nx11897, nx11899, nx11905, nx11907, nx11913, nx11922, nx11923, nx11925, nx11927, nx11933, nx11935, nx11943, nx11951, nx11965, nx11967, nx11971, nx11973, nx11975, nx11979, nx11987, nx11991, nx11997, nx12001, nx12009, nx12011, nx12029, nx12045, nx12047, nx12051, nx12053, nx12068, nx12075, nx12081, nx12093, nx12099, nx12105, nx12125, nx12133, nx12139, nx12143, nx12149, nx12157, nx12163, nx12167, nx12173, nx12189, nx12195, nx12201, nx12209, nx12229, nx12233, nx12239, nx12242, nx12247, nx12257, nx12263, nx12270, nx12273, nx12275, nx12279, nx12283, nx12297, nx12311, nx12313, nx12316, nx12318, nx12325, nx12327, nx12337, nx12345, nx12353, nx12365, nx12366, nx12373, nx12377, nx12382, nx12384, nx12385, nx12389, nx12391, nx12402, nx12406, nx12409, nx12411, nx12415, nx12424, nx12429, nx12431, nx12439, nx12440, nx12443, nx12445, nx12449, nx12452, nx12455, nx12459, nx12465, nx12479, nx12489, nx12500, nx12503, nx12513, nx12519, nx12527, nx12537, nx12539, nx12544, nx12549, nx12551, nx12556, nx12559, nx12565, nx12569, nx12574, nx12579, nx12583, nx12589, nx12591, nx12595, nx12601, nx12606, nx12609, nx12614, nx12617, nx12623, nx12626, nx12629, nx12635, nx12639, nx12644, nx12647, nx12653, nx12667, nx12673, nx12676, nx12683, nx12687, nx12691, nx12694, nx12701, nx12709, nx12717, nx12725, nx12733, nx12738, nx12741, nx12745, nx12763, nx12765, nx12769, nx12783, nx12787, nx12797, nx12799, nx12803, nx12805, nx12829, nx12831, nx12839, nx12843, nx12845, nx12848, nx12852, nx12859, nx12861, nx12867, nx12869, nx12871, nx12873, nx12875, nx12881, nx12889, nx12895, nx12897, nx12899, nx12901, nx12902, nx12907, nx12909, nx12913, nx12915, nx12921, nx12929, nx12930, nx12931, nx12933, nx12939, nx12941, nx12949, nx12957, nx12971, nx12973, nx12977, nx12979, nx12981, nx12985, nx12993, nx12997, nx13003, nx13007, nx13015, nx13037, nx13053, nx13055, nx13059, nx13061, nx13077, nx13087, nx13097, nx13111, nx13117, nx13123, nx13147, nx13153, nx13161, nx13165, nx13175, nx13183, nx13188, nx13191, nx13199, nx13210, nx13215, nx13223, nx13229, nx13251, nx13254, nx13259, nx13263, nx13267, nx13277, nx13283, nx13289, nx13293, nx13295, nx13299, nx13305, nx13320, nx13335, nx13337, nx13341, nx13343, nx13349, nx13351, nx13361, nx13367, nx13375, nx13389, nx13390, nx13395, nx13399, nx13403, nx13405, nx13407, nx13411, nx13413, nx13428, nx13434, nx13437, nx13439, nx13443, nx13453, nx13461, nx13463, nx13469, nx13470, nx13473, nx13475, nx13479, nx13483, nx13486, nx13491, nx13495, nx13505, nx13513, nx13524, nx13527, nx13536, nx13543, nx13551, nx13561, nx13563, nx13567, nx13573, nx13575, nx13581, nx13583, nx13589, nx13593, nx13599, nx13607, nx13610, nx13615, nx13617, nx13621, nx13627, nx13643, nx13646, nx13651, nx13655, nx13659, nx13665, nx13669, nx13674, nx13677, nx13684, nx13697, nx13704, nx13707, nx13714, nx13717, nx13723, nx13726, nx13731, nx13736, nx13743, nx13749, nx13755, nx13761, nx13764, nx13767, nx13783, nx13785, nx13789, nx13801, nx13805, nx13815, nx13817, nx13821, nx13823, nx13853, nx13855, nx13865, nx13869, nx13870, nx13873, nx13879, nx13884, nx13887, nx13893, nx13895, nx13897, nx13899, nx13900, nx13905, nx13910, nx13915, nx13917, nx13919, nx13923, nx13924, nx13927, nx13929, nx13935, nx13937, nx13951, nx13953, nx13955, nx13956, nx13960, nx13961, nx13968, nx13973, nx13987, nx13989, nx13993, nx13995, nx14009, nx14012, nx14019, nx14023, nx14045, nx14053, nx14055, nx14063, nx14069, nx14071, nx14077, nx14085, nx14093, nx14095, nx14097, nx14103, nx14105, nx14107, nx14113, nx14121, nx14129, nx14149, nx14157, nx14175, nx14185, nx14189, nx14199, nx14207, nx14235, nx14241, nx14249, nx14263, nx14273, nx14281, nx14289, nx14291, nx14293, nx14299, nx14307, nx14310, nx14318, nx14320, nx14325, nx14330, nx14335, nx14339, nx14345, nx14353, nx14361, nx14377, nx14383, nx14389, nx14391, nx14409, nx14411, nx14413, nx14421, nx14431, nx14434, nx14439, nx14447, nx14449, nx14453, nx14465, nx14479, nx14485, nx14495, nx14497, nx14513, nx14522, nx14525, nx14531, nx14535, nx14546, nx14556, nx14563, nx14571, nx14587, nx14589, nx14595, nx14599, nx14605, nx14611, nx14614, nx14621, nx14626, nx14629, nx14635, nx14641, nx14644, nx14651, nx14656, nx14659, nx14665, nx14671, nx14675, nx14682, nx14687, nx14691, nx14697, nx14707, nx14709, nx14711, nx14713, nx14715, nx14719, nx14721, nx14723, nx14725, nx14727, nx14729, nx14731, nx14733, nx14735, nx14737, nx14739, nx14741, nx14743, nx14745, nx14747, nx14749, nx14751, nx14753, nx14755, nx14757, nx14759, nx14761, nx14763, nx14765, nx14769, nx14773, nx14777, nx14781, nx14783, nx14785, nx14787, nx14789, nx14791, nx14793, nx14795, nx14803, nx14805, nx14807, nx14809, nx14811, nx14813, nx14815, nx14817, nx14819, nx14821, nx14823, nx14825, nx14827, nx14829, nx14831, nx366, reg_15_q_c_0, nx344, nx366_XX0_XREP1, nx6413, reg_8_q_c_0, nx524, nx6413_XX0_XREP5, nx6707, nx102, nx84, nx6707_XX0_XREP7, reg_12_q_c_0, nx6733, nx1240, reg_15_q_c_1, nx1226, reg_8_q_c_1, nx1350, nx7151, nx1052, nx7151_XX0_XREP19, nx2276, reg_15_q_c_2, nx2252, nx2276_XX0_XREP23, nx14717, reg_8_q_c_2, nx2386, nx14717_XX0_XREP27, reg_12_q_c_2, nx7749, nx2060, nx14767, nx7699, nx14767_XX0_XREP31, reg_12_q_c_3, nx3180, nx3396, reg_15_q_c_3, nx3372, nx3396_XX0_XREP42, nx6447, reg_8_q_c_3, nx3506, nx6447_XX0_XREP48, nx8187, nx3160, nx3142, nx8187_XX0_XREP61, nx4516, reg_15_q_c_4, nx4492, nx4516_XX0_XREP63, nx14771, nx8737, nx14771_XX0_XREP67, reg_12_q_c_5, nx5420, nx5636, reg_15_q_c_5, nx5612, nx6468, reg_8_q_c_5, nx5746, nx9225, nx5400, nx5382, nx9225_XX0_XREP83, nx6756, reg_15_q_c_6, nx6732, nx14775, nx9763, nx14775_XX0_XREP101, reg_12_q_c_7, nx7660, nx14779, nx10771, nx15458, nx15459, nx15461, nx15463, nx15464, nx15465, nx15466, nx15467, nx15468, nx15469, nx15470, nx15471, nx15472, nx15473, nx15474, nx15475, nx6895, nx15476, nx15477, nx15478, nx15479, nx15481, nx15482, nx17282, nx14001, nx15483, nx15484, nx15485, nx15486, nx15487, nx7315, nx15489, nx7877, nx15491, nx15492, nx900, nx15493, nx15494, nx15495, nx7317, nx896, nx15496, nx15497, nx15498, nx15499, nx15501, nx15502, nx15503, nx15504, nx15505, nx15506, nx15507, nx2022, nx2014, nx15508, nx15509, nx15510, nx15511, nx15512, nx15513, nx15514, nx15515, nx15516, nx15517, nx15518, nx15519, nx15520, nx15521, nx15523, nx15525, nx14940, nx15526, nx13017, nx15527, nx15528, nx15529, nx15530, nx15531, nx1034, nx1026, nx15532, nx15533, nx6026, nx15534, nx8913, nx15535, nx15536, nx15537, nx15539, nx12779, nx15540, nx15541, nx15542, nx13839, nx15543, nx15544, nx15545, nx15546, nx14403, nx13796, nx15547, nx15548, nx15549, nx15550, nx15551, nx15553, nx15554, nx15555, nx15556, nx16554, nx15557, nx13847, nx13315, nx14290, nx15558, nx15559, nx15560, nx15561, nx15562, nx12748, nx15563, nx15564, nx15565, nx15566, nx15567, nx15568, nx15569, nx15571, nx15572, nx7719, nx7135, nx15573, nx6739, nx15575, nx15576, nx15577, nx7171, nx6697, nx15578, nx15579, nx15581, nx15583, nx15584, nx15585, nx15586, nx15587, nx15588, nx15589, nx15590, nx15591, nx15593, nx15595, nx15597, nx14227, nx15598, nx15599, nx16890, nx14150, nx15600, nx15601, nx15602, nx15603, nx15604, nx15605, nx15606, nx15607, nx15608, nx15609, nx15610, nx15611, nx15612, nx15613, nx15614, nx15615, nx15616, nx15617, nx15618, nx15619, nx15620, nx15621, nx15622, nx12817, nx12823, nx12291, nx12050, nx11749, nx15623, nx15625, nx11807, nx11265, nx15627, nx15628, nx15629, nx15630, nx15631, nx15632, nx15633, nx15635, nx15637, nx15638, nx15639, nx15640, nx15641, nx15642, nx15643, nx15644, nx15645, nx15646, nx15647, nx15648, nx15649, nx8757, nx15651, nx8164, nx3090, nx7675, nx15652, nx7727, nx15653, nx15654, nx15655, nx15656, nx15657, nx13634, nx15658, nx15659, nx15661, nx15663, nx15664, nx15665, nx15666, nx15667, nx15668, nx15669, nx14167, nx15671, nx15673, nx17030, nx13637, nx14100, nx15674, nx15675, nx15676, nx15677, nx15678, nx15679, nx15680, nx14288, nx15681, nx15682, nx15683, nx15684, nx15685, nx15686, nx15687, nx15689, nx14033, nx15690, nx15691, nx15693, nx15694, nx15695, nx14519, nx15696, nx15697, nx15698, nx8266, nx15699, nx9941, nx15700, nx15701, nx15702, nx15703, nx15705, nx15707, nx15708, nx15709, nx15710, nx15711, nx15712, nx15713, nx10795, nx15714, nx15715, nx15717, nx15718, nx15719, nx15721, nx9810, nx15722, nx15723, nx10745, nx15724, nx15725, nx10803, nx10231, nx15726, nx7570, nx9737, nx15727, nx9791, nx15728, nx15729, nx15731, nx15732, nx15733, nx15734, nx15735, nx15736, nx15737, nx15739, nx15741, nx15742, nx15743, nx15744, nx15745, nx15746, nx15747, nx15748, nx15749, nx8141, nx15751, nx7659, nx1904, nx6775, nx15752, nx7109, nx15753, nx15754, nx6687, nx15755, nx15756, nx7111, nx15757, nx15759, nx15761, nx15762, nx10508, nx15763, nx15764, nx15765, nx15766, nx15767, nx15768, nx15769, nx15771, nx15772, nx15773, nx15774, nx15775, nx15776, nx15777, nx15779, nx12659, nx12662, nx11910, nx15781, nx15782, nx15783, nx15784, nx11908, nx15785, nx15786, nx15787, nx15788, nx15789, nx15790, nx15791, nx15792, nx15793, nx15770, nx15794, nx15795, nx15797, NOT_nx14148, nx11637, nx15799, nx15800, nx15801, nx6973, nx1240_XX0_XREP13, nx15802, nx15803, nx15804, nx15805, nx15807, nx15809, nx15810, nx15811, nx15812, nx15813, nx15814, nx15815, nx15816, nx15817, nx14365, nx15378, nx15818, nx15819, nx15820, nx15821, nx13325, nx13138, nx12303, nx15822, nx15823, nx15824, nx15825, nx15827, nx15828, nx2898, nx15829, nx7481, nx7485, nx15830, nx15831, nx6987, nx15832, nx15833, nx15834, nx15835, nx15836, nx15837, nx15838, nx15839, nx15840, nx15841, nx15842, nx9668, nx15843, nx15844, nx15845, nx10612, nx10617, nx7430, nx15847, nx15848, nx9603, nx9607, nx15849, nx15850, nx15851, nx15852, nx15853, nx15854, nx15855, nx15857, nx15858, nx15859, nx15860, nx15861, nx15862, nx15863, nx15864, nx15865, nx15866, nx1856, nx15867, nx15868, nx15869, nx15871, nx7051, nx7053, nx6410, nx15872, nx15873, nx6421, nx6691, nx7115, nx15874, nx15875, nx15876, nx15877, nx15878, nx15879, nx15881, nx7081, nx6427, nx15882, nx15883, nx15884, nx174, nx7762, nx7201, nx15885, nx15886, nx15570, nx14213, nx15887, nx15192, nx14135, nx15888, nx14988, nx13997, nx15889, nx15890, nx16194, nx15891, nx14776, nx13941, nx15892, NOT_nx13689, nx15893, nx15894, nx2950, nx15895, nx15896, nx15897, nx15899, nx15901, nx15902, nx15903, nx15904, PRI_OUT_8_1_EXMPLR, nx15905, nx15906, nx15907, nx15908, nx15909, nx15911, nx15912, nx15913, nx15914, nx15915, nx15916, nx15917, nx15919, nx15921, nx15922, nx16382: std_logic ; begin PRI_OUT_0(15) <= PRI_OUT_0_15_EXMPLR ; PRI_OUT_0(14) <= PRI_OUT_0_14_EXMPLR ; PRI_OUT_0(13) <= PRI_OUT_0_13_EXMPLR ; PRI_OUT_0(12) <= PRI_OUT_0_12_EXMPLR ; PRI_OUT_0(11) <= PRI_OUT_0_11_EXMPLR ; PRI_OUT_0(10) <= PRI_OUT_0_10_EXMPLR ; PRI_OUT_0(9) <= PRI_OUT_0_9_EXMPLR ; PRI_OUT_0(8) <= PRI_OUT_0_8_EXMPLR ; PRI_OUT_0(7) <= PRI_OUT_0_7_EXMPLR ; PRI_OUT_0(6) <= PRI_OUT_0_6_EXMPLR ; PRI_OUT_0(5) <= PRI_OUT_0_5_EXMPLR ; PRI_OUT_0(4) <= PRI_OUT_0_4_EXMPLR ; PRI_OUT_0(3) <= PRI_OUT_0_3_EXMPLR ; PRI_OUT_0(2) <= PRI_OUT_0_2_EXMPLR ; PRI_OUT_0(1) <= PRI_OUT_0_1_EXMPLR ; PRI_OUT_0(0) <= PRI_OUT_0_0_EXMPLR ; PRI_OUT_1(15) <= PRI_OUT_1_15_EXMPLR ; PRI_OUT_1(14) <= PRI_OUT_1_14_EXMPLR ; PRI_OUT_1(13) <= PRI_OUT_1_13_EXMPLR ; PRI_OUT_1(12) <= PRI_OUT_1_12_EXMPLR ; PRI_OUT_1(11) <= PRI_OUT_1_11_EXMPLR ; PRI_OUT_1(10) <= PRI_OUT_1_10_EXMPLR ; PRI_OUT_1(9) <= PRI_OUT_1_9_EXMPLR ; PRI_OUT_1(8) <= PRI_OUT_1_8_EXMPLR ; PRI_OUT_1(7) <= PRI_OUT_1_7_EXMPLR ; PRI_OUT_1(6) <= PRI_OUT_1_6_EXMPLR ; PRI_OUT_1(5) <= PRI_OUT_1_5_EXMPLR ; PRI_OUT_1(4) <= PRI_OUT_1_4_EXMPLR ; PRI_OUT_1(3) <= PRI_OUT_1_3_EXMPLR ; PRI_OUT_1(2) <= PRI_OUT_1_2_EXMPLR ; PRI_OUT_1(1) <= PRI_OUT_1_1_EXMPLR ; PRI_OUT_1(0) <= PRI_OUT_1_0_EXMPLR ; PRI_OUT_3(15) <= PRI_OUT_3_15_EXMPLR ; PRI_OUT_3(14) <= PRI_OUT_3_14_EXMPLR ; PRI_OUT_3(13) <= PRI_OUT_3_13_EXMPLR ; PRI_OUT_3(12) <= PRI_OUT_3_12_EXMPLR ; PRI_OUT_3(11) <= PRI_OUT_3_11_EXMPLR ; PRI_OUT_3(10) <= PRI_OUT_3_10_EXMPLR ; PRI_OUT_3(9) <= PRI_OUT_3_9_EXMPLR ; PRI_OUT_3(8) <= PRI_OUT_3_8_EXMPLR ; PRI_OUT_3(7) <= PRI_OUT_3_7_EXMPLR ; PRI_OUT_3(6) <= PRI_OUT_3_6_EXMPLR ; PRI_OUT_3(5) <= PRI_OUT_3_5_EXMPLR ; PRI_OUT_3(4) <= PRI_OUT_3_4_EXMPLR ; PRI_OUT_3(3) <= PRI_OUT_3_3_EXMPLR ; PRI_OUT_3(2) <= PRI_OUT_3_2_EXMPLR ; PRI_OUT_3(1) <= PRI_OUT_3_1_EXMPLR ; PRI_OUT_3(0) <= PRI_OUT_3_0_EXMPLR ; PRI_OUT_4(15) <= PRI_OUT_4_15_EXMPLR ; PRI_OUT_4(14) <= PRI_OUT_4_14_EXMPLR ; PRI_OUT_4(13) <= PRI_OUT_4_13_EXMPLR ; PRI_OUT_4(12) <= PRI_OUT_4_12_EXMPLR ; PRI_OUT_4(11) <= PRI_OUT_4_11_EXMPLR ; PRI_OUT_4(10) <= PRI_OUT_4_10_EXMPLR ; PRI_OUT_4(9) <= PRI_OUT_4_9_EXMPLR ; PRI_OUT_4(8) <= PRI_OUT_4_8_EXMPLR ; PRI_OUT_4(7) <= PRI_OUT_4_7_EXMPLR ; PRI_OUT_4(6) <= PRI_OUT_4_6_EXMPLR ; PRI_OUT_4(5) <= PRI_OUT_4_5_EXMPLR ; PRI_OUT_4(4) <= PRI_OUT_4_4_EXMPLR ; PRI_OUT_4(3) <= PRI_OUT_4_3_EXMPLR ; PRI_OUT_4(2) <= PRI_OUT_4_2_EXMPLR ; PRI_OUT_4(1) <= PRI_OUT_4_1_EXMPLR ; PRI_OUT_4(0) <= PRI_OUT_4_0_EXMPLR ; PRI_OUT_5(15) <= PRI_OUT_5_15_EXMPLR ; PRI_OUT_5(14) <= PRI_OUT_5_14_EXMPLR ; PRI_OUT_5(13) <= PRI_OUT_5_13_EXMPLR ; PRI_OUT_5(12) <= PRI_OUT_5_12_EXMPLR ; PRI_OUT_5(11) <= PRI_OUT_5_11_EXMPLR ; PRI_OUT_5(10) <= PRI_OUT_5_10_EXMPLR ; PRI_OUT_5(9) <= PRI_OUT_5_9_EXMPLR ; PRI_OUT_5(8) <= PRI_OUT_5_8_EXMPLR ; PRI_OUT_5(7) <= PRI_OUT_5_7_EXMPLR ; PRI_OUT_5(6) <= PRI_OUT_5_6_EXMPLR ; PRI_OUT_5(5) <= PRI_OUT_5_5_EXMPLR ; PRI_OUT_5(4) <= PRI_OUT_5_4_EXMPLR ; PRI_OUT_5(3) <= PRI_OUT_5_3_EXMPLR ; PRI_OUT_5(2) <= PRI_OUT_5_2_EXMPLR ; PRI_OUT_5(1) <= PRI_OUT_5_1_EXMPLR ; PRI_OUT_5(0) <= PRI_OUT_5_0_EXMPLR ; PRI_OUT_6(15) <= PRI_OUT_6_15_EXMPLR ; PRI_OUT_6(14) <= PRI_OUT_6_14_EXMPLR ; PRI_OUT_6(13) <= PRI_OUT_6_13_EXMPLR ; PRI_OUT_6(12) <= PRI_OUT_6_12_EXMPLR ; PRI_OUT_6(11) <= PRI_OUT_6_11_EXMPLR ; PRI_OUT_6(10) <= PRI_OUT_6_10_EXMPLR ; PRI_OUT_6(9) <= PRI_OUT_6_9_EXMPLR ; PRI_OUT_6(8) <= PRI_OUT_6_8_EXMPLR ; PRI_OUT_6(7) <= PRI_OUT_6_7_EXMPLR ; PRI_OUT_6(6) <= PRI_OUT_6_6_EXMPLR ; PRI_OUT_6(5) <= PRI_OUT_6_5_EXMPLR ; PRI_OUT_6(4) <= PRI_OUT_6_4_EXMPLR ; PRI_OUT_6(3) <= PRI_OUT_6_3_EXMPLR ; PRI_OUT_6(2) <= PRI_OUT_6_2_EXMPLR ; PRI_OUT_6(1) <= PRI_OUT_6_1_EXMPLR ; PRI_OUT_6(0) <= PRI_OUT_6_0_EXMPLR ; PRI_OUT_7(15) <= PRI_OUT_7_15_EXMPLR ; PRI_OUT_7(14) <= PRI_OUT_7_14_EXMPLR ; PRI_OUT_7(13) <= PRI_OUT_7_13_EXMPLR ; PRI_OUT_7(12) <= PRI_OUT_7_12_EXMPLR ; PRI_OUT_7(11) <= PRI_OUT_7_11_EXMPLR ; PRI_OUT_7(10) <= PRI_OUT_7_10_EXMPLR ; PRI_OUT_7(9) <= PRI_OUT_7_9_EXMPLR ; PRI_OUT_7(8) <= PRI_OUT_7_8_EXMPLR ; PRI_OUT_7(7) <= PRI_OUT_7_7_EXMPLR ; PRI_OUT_7(6) <= PRI_OUT_7_6_EXMPLR ; PRI_OUT_7(5) <= PRI_OUT_7_5_EXMPLR ; PRI_OUT_7(4) <= PRI_OUT_7_4_EXMPLR ; PRI_OUT_7(3) <= PRI_OUT_7_3_EXMPLR ; PRI_OUT_7(2) <= PRI_OUT_7_2_EXMPLR ; PRI_OUT_7(1) <= PRI_OUT_7_1_EXMPLR ; PRI_OUT_7(0) <= PRI_OUT_7_0_EXMPLR ; PRI_OUT_8(15) <= PRI_OUT_8_15_EXMPLR ; PRI_OUT_8(14) <= PRI_OUT_8_14_EXMPLR ; PRI_OUT_8(13) <= PRI_OUT_8_13_EXMPLR ; PRI_OUT_8(12) <= PRI_OUT_8_12_EXMPLR ; PRI_OUT_8(11) <= PRI_OUT_8_11_EXMPLR ; PRI_OUT_8(10) <= PRI_OUT_8_10_EXMPLR ; PRI_OUT_8(9) <= PRI_OUT_8_9_EXMPLR ; PRI_OUT_8(8) <= PRI_OUT_8_8_EXMPLR ; PRI_OUT_8(7) <= PRI_OUT_8_7_EXMPLR ; PRI_OUT_8(6) <= PRI_OUT_8_6_EXMPLR ; PRI_OUT_8(5) <= PRI_OUT_8_5_EXMPLR ; PRI_OUT_8(4) <= PRI_OUT_8_4_EXMPLR ; PRI_OUT_8(3) <= PRI_OUT_8_3_EXMPLR ; PRI_OUT_8(2) <= PRI_OUT_8_2_EXMPLR ; PRI_OUT_8(1) <= PRI_OUT_8_1_EXMPLR ; PRI_OUT_8(0) <= PRI_OUT_8_0_EXMPLR ; REG_26_reg_q_0 : dff port map ( Q=>PRI_OUT_9(0), QB=>OPEN, D=>nx966, CLK =>CLK); ix967 : ao21 port map ( Y=>nx966, A0=>nx6585, A1=>reg_39_q_c_0, B0=> nx6912); REG_33_reg_q_0 : dff port map ( Q=>OPEN, QB=>nx6585, D=>nx826, CLK=>CLK); ix805 : ao21 port map ( Y=>nx804, A0=>reg_29_q_c_0, A1=>nx6767, B0=> nx6844); REG_29_reg_q_0 : dff port map ( Q=>reg_29_q_c_0, QB=>OPEN, D=>nx786, CLK =>CLK); ix787 : xor2 port map ( Y=>nx786, A0=>nx6596, A1=>nx6716); ix6597 : mux21 port map ( Y=>nx6596, A0=>reg_20_q_c_0, A1=>nx15895, S0=> C_MUX2_16_SEL); REG_20_reg_q_0 : dff port map ( Q=>reg_20_q_c_0, QB=>OPEN, D=>nx760, CLK =>CLK); ix761 : ao21 port map ( Y=>nx760, A0=>reg_28_q_c_0, A1=>nx6767, B0=> nx6840); REG_28_reg_q_0 : dff port map ( Q=>reg_28_q_c_0, QB=>OPEN, D=>nx742, CLK =>CLK); ix743 : oai21 port map ( Y=>nx742, A0=>PRI_IN_2(0), A1=>nx15897, B0=> nx734); ix725 : oai21 port map ( Y=>nx724, A0=>PRI_IN_3(0), A1=>nx6607, B0=>nx716 ); REG_18_reg_q_0 : dff port map ( Q=>reg_18_q_c_0, QB=>OPEN, D=>nx692, CLK =>CLK); ix693 : ao21 port map ( Y=>nx692, A0=>PRI_IN_9(0), A1=>nx6615, B0=>nx6833 ); REG_7_reg_q_0 : dff port map ( Q=>OPEN, QB=>nx6615, D=>nx674, CLK=>CLK); ix675 : aoi21 port map ( Y=>nx674, A0=>nx6619, A1=>nx6719, B0=>nx668); REG_42_reg_q_0 : dff port map ( Q=>reg_42_q_c_0, QB=>nx6619, D=>nx660, CLK=>CLK); REG_40_reg_q_0 : dff port map ( Q=>reg_40_q_c_0, QB=>OPEN, D=>nx646, CLK =>CLK); ix647 : ao21 port map ( Y=>nx646, A0=>PRI_OUT_3_0_EXMPLR, A1=>nx15897, B0 =>nx6826); REG_4_reg_q_0 : dff port map ( Q=>PRI_OUT_3_0_EXMPLR, QB=>OPEN, D=>nx628, CLK=>CLK); ix629 : oai21 port map ( Y=>nx628, A0=>PRI_OUT_3_0_EXMPLR, A1=>nx6628, B0 =>nx620); REG_32_reg_q_0 : dff port map ( Q=>OPEN, QB=>nx6628, D=>nx610, CLK=>CLK); ix611 : xor2 port map ( Y=>nx610, A0=>PRI_OUT_8_0_EXMPLR, A1=> reg_43_q_c_0); REG_24_reg_q_0 : dff port map ( Q=>PRI_OUT_8_0_EXMPLR, QB=>OPEN, D=>nx578, CLK=>CLK); REG_34_reg_q_0 : dff port map ( Q=>reg_34_q_c_0, QB=>nx6607, D=>nx706, CLK=>CLK); ix507 : ao21 port map ( Y=>nx506, A0=>PRI_OUT_0_0_EXMPLR, A1=>nx6773, B0 =>nx6807); REG_1_reg_q_0 : dff port map ( Q=>PRI_OUT_0_0_EXMPLR, QB=>OPEN, D=>nx488, CLK=>CLK); REG_41_reg_q_0 : dff port map ( Q=>reg_41_q_c_0, QB=>nx6637, D=>nx564, CLK=>CLK); ix6652 : mux21 port map ( Y=>nx6651, A0=>reg_25_q_c_0, A1=> PRI_OUT_8_0_EXMPLR, S0=>C_MUX2_8_SEL); REG_25_reg_q_0 : dff port map ( Q=>reg_25_q_c_0, QB=>OPEN, D=>nx466, CLK =>CLK); REG_37_reg_q_0 : dff port map ( Q=>reg_37_q_c_0, QB=>OPEN, D=>nx452, CLK =>CLK); REG_44_reg_q_0 : dff port map ( Q=>reg_44_q_c_0, QB=>nx6659, D=>nx438, CLK=>CLK); ix439 : ao21 port map ( Y=>nx438, A0=>reg_10_q_c_0, A1=>nx6785, B0=> nx6802); REG_10_reg_q_0 : dff port map ( Q=>reg_10_q_c_0, QB=>OPEN, D=>nx420, CLK =>CLK); ix421 : aoi21 port map ( Y=>nx420, A0=>nx6667, A1=>nx15897, B0=>nx414); REG_45_reg_q_0 : dff port map ( Q=>reg_45_q_c_0, QB=>nx6799, D=>nx392, CLK=>CLK); REG_27_reg_q_0 : dff port map ( Q=>reg_27_q_c_0, QB=>nx6785, D=>nx334, CLK=>CLK); ix335 : oai21 port map ( Y=>nx334, A0=>nx14707, A1=>nx6675, B0=>nx326); REG_13_reg_q_0 : dff port map ( Q=>reg_13_q_c_0, QB=>OPEN, D=>nx506, CLK =>CLK); ix317 : xor2 port map ( Y=>nx316, A0=>nx6679, A1=>nx6781); ix6680 : mux21 port map ( Y=>nx6679, A0=>reg_38_q_c_0, A1=>nx14709, S0=> C_MUX2_3_SEL); REG_38_reg_q_0 : dff port map ( Q=>reg_38_q_c_0, QB=>OPEN, D=>nx282, CLK =>CLK); ix283 : ao21 port map ( Y=>nx282, A0=>nx6683, A1=>nx102, B0=>nx6777); REG_22_reg_q_0 : dff port map ( Q=>reg_22_q_c_0, QB=>nx6683, D=>nx264, CLK=>CLK); ix265 : ao21 port map ( Y=>nx264, A0=>reg_28_q_c_0, A1=>nx6687, B0=> nx6775); REG_6_reg_q_0 : dff port map ( Q=>PRI_OUT_7_0_EXMPLR, QB=>OPEN, D=>nx226, CLK=>CLK); ix227 : xnor2 port map ( Y=>nx226, A0=>nx170, A1=>nx6757); ix171 : mux21 port map ( Y=>nx170, A0=>nx6691, A1=>nx6697, S0=> C_MUX2_15_SEL); REG_19_reg_q_0 : dff port map ( Q=>reg_19_q_c_0, QB=>nx6703, D=>nx120, CLK=>CLK); ix121 : ao21 port map ( Y=>nx120, A0=>reg_31_q_c_0, A1=>nx6707, B0=> nx6747); REG_31_reg_q_0 : dff port map ( Q=>reg_31_q_c_0, QB=>nx6675, D=>nx316, CLK=>CLK); ix6712 : mux21 port map ( Y=>nx6711, A0=>nx15895, A1=>reg_5_q_c_0, S0=> C_MUX2_18_SEL); REG_5_reg_q_0 : dff port map ( Q=>reg_5_q_c_0, QB=>nx6603, D=>nx724, CLK =>CLK); ix6717 : mux21 port map ( Y=>nx6716, A0=>nx14, A1=>reg_14_q_c_0, S0=> C_MUX2_22_SEL); ix15 : mux21 port map ( Y=>nx14, A0=>nx6703, A1=>nx6719, S0=> C_MUX2_20_SEL); REG_17_reg_q_0 : dff port map ( Q=>reg_17_q_c_0, QB=>nx6719, D=>nx804, CLK=>CLK); REG_14_reg_q_0 : dff port map ( Q=>reg_14_q_c_0, QB=>OPEN, D=>nx66, CLK=> CLK); ix67 : ao21 port map ( Y=>nx66, A0=>nx6723, A1=>PRI_OUT_6_0_EXMPLR, B0=> nx6743); ix6724 : inv02 port map ( Y=>nx6723, A=>PRI_IN_7(0)); REG_23_reg_q_0 : dff port map ( Q=>PRI_OUT_6_0_EXMPLR, QB=>OPEN, D=>nx48, CLK=>CLK); ix49 : ao21 port map ( Y=>nx48, A0=>reg_30_q_c_0, A1=>nx6697, B0=>nx6739 ); REG_30_reg_q_0 : dff port map ( Q=>reg_30_q_c_0, QB=>OPEN, D=>nx30, CLK=> CLK); ix31 : oai21 port map ( Y=>nx30, A0=>PRI_IN_0(0), A1=>nx15458, B0=>nx22); ix23 : nand02 port map ( Y=>nx22, A0=>nx6733, A1=>PRI_IN_0(0)); ix6744 : nor02 port map ( Y=>nx6743, A0=>PRI_OUT_6_0_EXMPLR, A1=>nx6723); ix6748 : nor02 port map ( Y=>nx6747, A0=>nx6707_XX0_XREP7, A1=> reg_31_q_c_0); REG_16_reg_q_0 : dff port map ( Q=>reg_16_q_c_0, QB=>OPEN, D=>nx156, CLK =>CLK); ix157 : oai21 port map ( Y=>nx156, A0=>reg_20_q_c_0, A1=>nx6410, B0=> nx148); ix149 : nand02 port map ( Y=>nx148, A0=>nx6410, A1=>reg_20_q_c_0); ix6758 : mux21 port map ( Y=>nx6757, A0=>reg_36_q_c_0, A1=>reg_35_q_c_0, S0=>C_MUX2_11_SEL); REG_36_reg_q_0 : dff port map ( Q=>reg_36_q_c_0, QB=>OPEN, D=>nx182, CLK =>CLK); ix183 : oai21 port map ( Y=>nx182, A0=>reg_16_q_c_0, A1=>nx15755, B0=> nx174); REG_35_reg_q_0 : dff port map ( Q=>reg_35_q_c_0, QB=>nx6773, D=>nx208, CLK=>CLK); ix201 : ao21 port map ( Y=>nx6412, A0=>C_MUX2_24_SEL, A1=>reg_27_q_c_0, B0=>nx196); ix197 : nor02 port map ( Y=>nx196, A0=>C_MUX2_24_SEL, A1=>nx6767); ix6778 : nor02 port map ( Y=>nx6777, A0=>nx102, A1=>nx6683); ix6782 : mux21 port map ( Y=>nx6781, A0=>reg_19_q_c_0, A1=>reg_11_q_c_0, S0=>C_MUX2_7_SEL); REG_11_reg_q_0 : dff port map ( Q=>reg_11_q_c_0, QB=>nx6667, D=>nx406, CLK=>CLK); ix327 : nand02 port map ( Y=>nx326, A0=>nx6675, A1=>nx14707); REG_47_reg_q_0 : dff port map ( Q=>reg_47_q_c_0, QB=>OPEN, D=>nx378, CLK =>CLK); ix379 : xnor2 port map ( Y=>nx378, A0=>nx6707, A1=>nx366); ix357 : ao21 port map ( Y=>nx356, A0=>PRI_IN_1(0), A1=>nx6640, B0=>nx6793 ); ix6794 : nor02 port map ( Y=>nx6793, A0=>nx6640, A1=>PRI_IN_1(0)); ix6803 : nor02 port map ( Y=>nx6802, A0=>nx6785, A1=>reg_10_q_c_0); ix6808 : nor02 port map ( Y=>nx6807, A0=>nx6773, A1=>PRI_OUT_0_0_EXMPLR); REG_2_reg_q_0 : dff port map ( Q=>PRI_OUT_1_0_EXMPLR, QB=>OPEN, D=>nx532, CLK=>CLK); REG_43_reg_q_0 : dff port map ( Q=>reg_43_q_c_0, QB=>OPEN, D=>nx596, CLK =>CLK); ix597 : oai21 port map ( Y=>nx596, A0=>nx6607, A1=>nx366, B0=>nx588); ix589 : nand02 port map ( Y=>nx588, A0=>nx366_XX0_XREP1, A1=>nx6607); ix621 : nand02 port map ( Y=>nx620, A0=>nx6628, A1=>PRI_OUT_3_0_EXMPLR); ix6827 : nor02 port map ( Y=>nx6826, A0=>nx15899, A1=>PRI_OUT_3_0_EXMPLR ); ix6834 : nor02 port map ( Y=>nx6833, A0=>nx6615, A1=>PRI_IN_9(0)); ix717 : nand02 port map ( Y=>nx716, A0=>nx6607, A1=>PRI_IN_3(0)); ix735 : nand02 port map ( Y=>nx734, A0=>nx6603, A1=>PRI_IN_2(0)); ix6841 : nor02 port map ( Y=>nx6840, A0=>nx6767, A1=>reg_28_q_c_0); ix6845 : nor02 port map ( Y=>nx6844, A0=>nx6767, A1=>reg_29_q_c_0); ix6848 : mux21 port map ( Y=>nx6847, A0=>reg_18_q_c_0, A1=>nx170, S0=> C_MUX2_19_SEL); REG_39_reg_q_0 : dff port map ( Q=>reg_39_q_c_0, QB=>OPEN, D=>nx948, CLK =>CLK); ix949 : oai32 port map ( Y=>nx948, A0=>nx6852, A1=>C_MUX2_10_SEL, A2=> nx836, B0=>nx6865, B1=>nx6907); ix6860 : inv02 port map ( Y=>nx6859, A=>C_MUX2_6_SEL); ix837 : nor02 port map ( Y=>nx836, A0=>nx6659, A1=>nx6707_XX0_XREP7); ix6866 : nor02 port map ( Y=>nx6865, A0=>nx936, A1=>PRI_IN_4(0)); REG_21_reg_q_0 : dff port map ( Q=>PRI_OUT_5_0_EXMPLR, QB=>OPEN, D=>nx922, CLK=>CLK); ix923 : xnor2 port map ( Y=>nx922, A0=>reg_48_q_c_0, A1=>nx6679); REG_48_reg_q_0 : dff port map ( Q=>reg_48_q_c_0, QB=>OPEN, D=>nx908, CLK =>CLK); ix909 : oai32 port map ( Y=>nx908, A0=>nx6879, A1=>nx6895, A2=>nx900, B0 =>nx6899, B1=>nx6901); ix6880 : nor02 port map ( Y=>nx6879, A0=>nx896, A1=>reg_36_q_c_0); REG_9_reg_q_0 : dff port map ( Q=>PRI_OUT_4_0_EXMPLR, QB=>OPEN, D=>nx886, CLK=>CLK); REG_46_reg_q_0 : dff port map ( Q=>reg_46_q_c_0, QB=>OPEN, D=>nx872, CLK =>CLK); ix873 : ao21 port map ( Y=>nx872, A0=>PRI_IN_5(0), A1=>nx6585, B0=>nx6890 ); ix6891 : nor02 port map ( Y=>nx6890, A0=>nx6585, A1=>PRI_IN_5(0)); ix6900 : nor02 port map ( Y=>nx6899, A0=>reg_40_q_c_0, A1=>nx14707); ix6902 : ao21 port map ( Y=>nx6901, A0=>nx14707, A1=>reg_40_q_c_0, B0=> C_MUX2_23_SEL); ix6908 : nand02 port map ( Y=>nx6907, A0=>C_MUX2_10_SEL, A1=>nx6909); ix6913 : nor02 port map ( Y=>nx6912, A0=>reg_39_q_c_0, A1=>nx6585); REG_26_reg_q_1 : dff port map ( Q=>PRI_OUT_9(1), QB=>OPEN, D=>nx1644, CLK =>CLK); ix1645 : xnor2 port map ( Y=>nx1644, A0=>nx6912, A1=>nx1642); REG_33_reg_q_1 : dff port map ( Q=>OPEN, QB=>nx7275, D=>nx1544, CLK=>CLK ); ix1545 : xor2 port map ( Y=>nx1544, A0=>nx820, A1=>nx1542); ix821 : nor02 port map ( Y=>nx820, A0=>nx6719, A1=>nx6847); ix1543 : xnor2 port map ( Y=>nx1542, A0=>reg_17_q_c_1, A1=>nx7272); REG_17_reg_q_1 : dff port map ( Q=>reg_17_q_c_1, QB=>OPEN, D=>nx1526, CLK =>CLK); ix1527 : xnor2 port map ( Y=>nx1526, A0=>nx6844, A1=>nx1524); ix1525 : xnor2 port map ( Y=>nx1524, A0=>reg_29_q_c_1, A1=>nx6425); REG_29_reg_q_1 : dff port map ( Q=>reg_29_q_c_1, QB=>OPEN, D=>nx1516, CLK =>CLK); ix1517 : xor2 port map ( Y=>nx1516, A0=>nx6929, A1=>nx6933); ix6930 : nor02 port map ( Y=>nx6929, A0=>nx6716, A1=>nx6409); ix6934 : xnor2 port map ( Y=>nx6933, A0=>nx6935, A1=>nx1026); ix6936 : mux21 port map ( Y=>nx6935, A0=>reg_20_q_c_1, A1=>nx15903, S0=> C_MUX2_16_SEL); REG_20_reg_q_1 : dff port map ( Q=>reg_20_q_c_1, QB=>nx7269, D=>nx1498, CLK=>CLK); ix1499 : xnor2 port map ( Y=>nx1498, A0=>nx6840, A1=>nx1496); REG_28_reg_q_1 : dff port map ( Q=>reg_28_q_c_1, QB=>nx7267, D=>nx1488, CLK=>CLK); ix1489 : xor2 port map ( Y=>nx1488, A0=>nx734, A1=>nx1486); ix1479 : xor2 port map ( Y=>nx1478, A0=>nx716, A1=>nx1476); ix1477 : xnor2 port map ( Y=>nx1476, A0=>PRI_IN_3(1), A1=>reg_34_q_c_1); REG_34_reg_q_1 : dff port map ( Q=>reg_34_q_c_1, QB=>OPEN, D=>nx1468, CLK =>CLK); ix1469 : xor2 port map ( Y=>nx1468, A0=>nx6953, A1=>nx6955); ix6954 : nand02 port map ( Y=>nx6953, A0=>reg_18_q_c_0, A1=>reg_19_q_c_0 ); ix6956 : xnor2 port map ( Y=>nx6955, A0=>reg_18_q_c_1, A1=>reg_19_q_c_1); REG_18_reg_q_1 : dff port map ( Q=>reg_18_q_c_1, QB=>OPEN, D=>nx1458, CLK =>CLK); ix1459 : xnor2 port map ( Y=>nx1458, A0=>nx6833, A1=>nx1456); ix1449 : xor2 port map ( Y=>nx1448, A0=>nx6964, A1=>nx6966); ix6967 : xnor2 port map ( Y=>nx6966, A0=>nx15903, A1=>reg_42_q_c_1); REG_42_reg_q_1 : dff port map ( Q=>reg_42_q_c_1, QB=>OPEN, D=>nx1438, CLK =>CLK); ix1439 : xor2 port map ( Y=>nx1438, A0=>nx6971, A1=>nx6973); ix6972 : nand02 port map ( Y=>nx6971, A0=>reg_40_q_c_0, A1=> nx366_XX0_XREP1); REG_40_reg_q_1 : dff port map ( Q=>reg_40_q_c_1, QB=>nx7261, D=>nx1428, CLK=>CLK); ix1429 : xnor2 port map ( Y=>nx1428, A0=>nx6826, A1=>nx1426); REG_4_reg_q_1 : dff port map ( Q=>PRI_OUT_3_1_EXMPLR, QB=>nx7259, D=> nx1418, CLK=>CLK); ix1419 : xor2 port map ( Y=>nx1418, A0=>nx620, A1=>nx1416); REG_32_reg_q_1 : dff port map ( Q=>reg_32_q_c_1, QB=>OPEN, D=>nx1408, CLK =>CLK); ix1409 : xor2 port map ( Y=>nx1408, A0=>nx6985, A1=>nx6987); ix6986 : nand02 port map ( Y=>nx6985, A0=>PRI_OUT_8_0_EXMPLR, A1=> reg_43_q_c_0); REG_24_reg_q_1 : dff port map ( Q=>PRI_OUT_8_dup0_1, QB=>OPEN, D=>nx1388, CLK=>CLK); ix1389 : xor2 port map ( Y=>nx1388, A0=>nx6993, A1=>nx6995); ix6996 : xnor2 port map ( Y=>nx6995, A0=>reg_34_q_c_1, A1=>reg_41_q_c_1); REG_41_reg_q_1 : dff port map ( Q=>reg_41_q_c_1, QB=>OPEN, D=>nx1378, CLK =>CLK); ix1379 : xor2 port map ( Y=>nx1378, A0=>nx6999, A1=>nx7006); ix7000 : nand02 port map ( Y=>nx6999, A0=>PRI_IN_8(0), A1=> nx6413_XX0_XREP5); ix7005 : mux21 port map ( Y=>nx7004, A0=>nx14707, A1=>reg_11_q_c_0, S0=> C_MUX2_5_SEL); ix7007 : xnor2 port map ( Y=>nx7006, A0=>PRI_IN_8(1), A1=>nx15459); ix1365 : xor2 port map ( Y=>nx1364, A0=>nx7013, A1=>nx7015); ix7014 : nand02 port map ( Y=>nx7013, A0=>PRI_OUT_1_0_EXMPLR, A1=>nx14709 ); REG_2_reg_q_1 : dff port map ( Q=>PRI_OUT_1_1_EXMPLR, QB=>OPEN, D=>nx1354, CLK=>CLK); ix1355 : xor2 port map ( Y=>nx1354, A0=>nx7019, A1=>nx7021); REG_35_reg_q_1 : dff port map ( Q=>reg_35_q_c_1, QB=>nx7241, D=>nx1126, CLK=>CLK); ix1127 : xor2 port map ( Y=>nx1126, A0=>nx7025, A1=>nx7027); ix7026 : nand02 port map ( Y=>nx7025, A0=>nx14709, A1=>nx6412); REG_37_reg_q_1 : dff port map ( Q=>reg_37_q_c_1, QB=>nx7236, D=>nx1294, CLK=>CLK); ix1295 : xor2 port map ( Y=>nx1294, A0=>nx7033, A1=>nx7035); ix7034 : nand02 port map ( Y=>nx7033, A0=>PRI_IN_6(0), A1=>reg_44_q_c_0); ix7036 : xnor2 port map ( Y=>nx7035, A0=>PRI_IN_6(1), A1=>reg_44_q_c_1); REG_44_reg_q_1 : dff port map ( Q=>reg_44_q_c_1, QB=>OPEN, D=>nx1284, CLK =>CLK); ix1285 : xnor2 port map ( Y=>nx1284, A0=>nx6802, A1=>nx1282); REG_10_reg_q_1 : dff port map ( Q=>reg_10_q_c_1, QB=>OPEN, D=>nx1274, CLK =>CLK); ix1275 : xor2 port map ( Y=>nx1274, A0=>nx7044, A1=>nx7046); REG_11_reg_q_1 : dff port map ( Q=>reg_11_q_c_1, QB=>OPEN, D=>nx1264, CLK =>CLK); ix1265 : xor2 port map ( Y=>nx1264, A0=>nx7051, A1=>nx7053); REG_45_reg_q_1 : dff port map ( Q=>reg_45_q_c_1, QB=>nx7229, D=>nx1254, CLK=>CLK); ix1255 : xor2 port map ( Y=>nx1254, A0=>nx7059, A1=>nx7061); ix7060 : nand02 port map ( Y=>nx7059, A0=>reg_27_q_c_0, A1=>reg_47_q_c_0 ); REG_27_reg_q_1 : dff port map ( Q=>reg_27_q_c_1, QB=>nx7213, D=>nx1216, CLK=>CLK); ix1217 : xor2 port map ( Y=>nx1216, A0=>nx326, A1=>nx1214); REG_13_reg_q_1 : dff port map ( Q=>reg_13_q_c_1, QB=>nx7087, D=>nx1332, CLK=>CLK); ix1333 : xnor2 port map ( Y=>nx1332, A0=>nx6807, A1=>nx1330); REG_1_reg_q_1 : dff port map ( Q=>PRI_OUT_0_1_EXMPLR, QB=>OPEN, D=>nx1322, CLK=>CLK); ix1323 : xor2 port map ( Y=>nx1322, A0=>nx482, A1=>nx1320); ix483 : nor02 port map ( Y=>nx482, A0=>nx6637, A1=>nx6651); ix1321 : xnor2 port map ( Y=>nx1320, A0=>reg_41_q_c_1, A1=>nx7075); ix7076 : mux21 port map ( Y=>nx7075, A0=>reg_25_q_c_1, A1=> PRI_OUT_8_1_EXMPLR, S0=>C_MUX2_8_SEL); REG_25_reg_q_1 : dff port map ( Q=>reg_25_q_c_1, QB=>OPEN, D=>nx1304, CLK =>CLK); ix1305 : xor2 port map ( Y=>nx1304, A0=>nx7079, A1=>nx7081); ix7080 : nand02 port map ( Y=>nx7079, A0=>reg_37_q_c_0, A1=> nx6413_XX0_XREP5); REG_31_reg_q_1 : dff port map ( Q=>reg_31_q_c_1, QB=>nx7211, D=>nx1206, CLK=>CLK); ix1207 : xor2 port map ( Y=>nx1206, A0=>nx308, A1=>nx1204); ix309 : nand02 port map ( Y=>nx308, A0=>nx6781, A1=>nx296); ix1205 : xnor2 port map ( Y=>nx1204, A0=>nx7097, A1=>nx7209); ix7098 : mux21 port map ( Y=>nx7097, A0=>reg_38_q_c_1, A1=>reg_37_q_c_1, S0=>C_MUX2_3_SEL); REG_38_reg_q_1 : dff port map ( Q=>reg_38_q_c_1, QB=>OPEN, D=>nx1180, CLK =>CLK); ix1181 : xnor2 port map ( Y=>nx1180, A0=>nx6777, A1=>nx1178); ix1179 : xnor2 port map ( Y=>nx1178, A0=>reg_22_q_c_1, A1=>nx1052); REG_22_reg_q_1 : dff port map ( Q=>reg_22_q_c_1, QB=>OPEN, D=>nx1170, CLK =>CLK); ix1171 : xor2 port map ( Y=>nx1170, A0=>nx15752, A1=>nx7109); REG_6_reg_q_1 : dff port map ( Q=>PRI_OUT_7_1_EXMPLR, QB=>OPEN, D=>nx1140, CLK=>CLK); ix1141 : xor2 port map ( Y=>nx1140, A0=>nx220, A1=>nx1138); ix221 : nor02 port map ( Y=>nx220, A0=>nx7123, A1=>nx6757); ix1139 : xnor2 port map ( Y=>nx1138, A0=>nx1100, A1=>nx7195); ix1101 : mux21 port map ( Y=>nx1100, A0=>nx7115, A1=>nx7135, S0=> C_MUX2_15_SEL); REG_12_reg_q_1 : dff port map ( Q=>reg_12_q_c_1, QB=>OPEN, D=>nx1072, CLK =>CLK); ix1073 : xor2 port map ( Y=>nx1072, A0=>nx7141, A1=>nx7143); ix7144 : xnor2 port map ( Y=>nx7143, A0=>nx15911, A1=>reg_19_q_c_1); REG_19_reg_q_1 : dff port map ( Q=>reg_19_q_c_1, QB=>OPEN, D=>nx1062, CLK =>CLK); ix1063 : xor2 port map ( Y=>nx1062, A0=>nx6747, A1=>nx7149); REG_7_reg_q_1 : dff port map ( Q=>OPEN, QB=>nx7155, D=>nx1448, CLK=>CLK); ix7158 : mux21 port map ( Y=>nx7157, A0=>nx15903, A1=>reg_5_q_c_1, S0=> C_MUX2_18_SEL); REG_14_reg_q_1 : dff port map ( Q=>reg_14_q_c_1, QB=>OPEN, D=>nx1016, CLK =>CLK); ix1017 : xnor2 port map ( Y=>nx1016, A0=>nx6743, A1=>nx1014); ix1015 : xnor2 port map ( Y=>nx1014, A0=>PRI_IN_7(1), A1=> PRI_OUT_6_1_EXMPLR); REG_23_reg_q_1 : dff port map ( Q=>PRI_OUT_6_1_EXMPLR, QB=>OPEN, D=> nx1006, CLK=>CLK); ix1007 : xor2 port map ( Y=>nx1006, A0=>nx6739, A1=>nx7171); REG_30_reg_q_1 : dff port map ( Q=>reg_30_q_c_1, QB=>OPEN, D=>nx996, CLK =>CLK); ix997 : xor2 port map ( Y=>nx996, A0=>nx22, A1=>nx994); ix995 : xnor2 port map ( Y=>nx994, A0=>PRI_IN_0(1), A1=>nx15911); REG_16_reg_q_1 : dff port map ( Q=>reg_16_q_c_1, QB=>nx7193, D=>nx1086, CLK=>CLK); ix1087 : xor2 port map ( Y=>nx1086, A0=>nx148, A1=>nx1084); ix7196 : mux21 port map ( Y=>nx7195, A0=>reg_36_q_c_1, A1=>reg_35_q_c_1, S0=>C_MUX2_11_SEL); REG_36_reg_q_1 : dff port map ( Q=>reg_36_q_c_1, QB=>OPEN, D=>nx1104, CLK =>CLK); ix1105 : xnor2 port map ( Y=>nx1104, A0=>nx174, A1=>nx7201); REG_5_reg_q_1 : dff port map ( Q=>reg_5_q_c_1, QB=>nx7205, D=>nx1478, CLK =>CLK); ix7210 : mux21 port map ( Y=>nx7209, A0=>reg_19_q_c_1, A1=>reg_11_q_c_1, S0=>C_MUX2_7_SEL); REG_47_reg_q_1 : dff port map ( Q=>reg_47_q_c_1, QB=>OPEN, D=>nx1244, CLK =>CLK); ix1245 : xor2 port map ( Y=>nx1244, A0=>nx7216, A1=>nx7218); ix7217 : nor02 port map ( Y=>nx7216, A0=>nx366_XX0_XREP1, A1=>nx6707); ix7219 : xnor2 port map ( Y=>nx7218, A0=>nx7151, A1=>nx1240); ix1231 : xnor2 port map ( Y=>nx1230, A0=>nx6793, A1=>nx1228); ix1229 : xnor2 port map ( Y=>nx1228, A0=>PRI_IN_1(1), A1=>nx15459); ix1123 : ao21 port map ( Y=>nx6425, A0=>C_MUX2_24_SEL, A1=>reg_27_q_c_1, B0=>nx1118); ix1119 : nor02 port map ( Y=>nx1118, A0=>C_MUX2_24_SEL, A1=>nx7239); ix7248 : mux21 port map ( Y=>nx7247, A0=>reg_13_q_c_1, A1=>reg_11_q_c_1, S0=>C_MUX2_5_SEL); REG_43_reg_q_1 : dff port map ( Q=>reg_43_q_c_1, QB=>OPEN, D=>nx1398, CLK =>CLK); ix1399 : xor2 port map ( Y=>nx1398, A0=>nx588, A1=>nx1396); ix1397 : xnor2 port map ( Y=>nx1396, A0=>reg_34_q_c_1, A1=>nx1240); ix7274 : mux21 port map ( Y=>nx7272, A0=>reg_18_q_c_1, A1=>nx1100, S0=> C_MUX2_19_SEL); REG_39_reg_q_1 : dff port map ( Q=>reg_39_q_c_1, QB=>OPEN, D=>nx1634, CLK =>CLK); ix1635 : mux21 port map ( Y=>nx1634, A0=>nx7279, A1=>nx7283, S0=> C_MUX2_10_SEL); ix7280 : xnor2 port map ( Y=>nx7279, A0=>nx836, A1=>nx1552); ix1553 : xnor2 port map ( Y=>nx1552, A0=>reg_44_q_c_1, A1=> nx7151_XX0_XREP19); ix7284 : xnor2 port map ( Y=>nx7283, A0=>nx940, A1=>nx1628); ix941 : nor02 port map ( Y=>nx940, A0=>nx7287, A1=>nx7289); ix7288 : inv02 port map ( Y=>nx7287, A=>PRI_IN_4(0)); ix7290 : mux21 port map ( Y=>nx7289, A0=>PRI_OUT_5_0_EXMPLR, A1=> reg_22_q_c_0, S0=>C_MUX2_25_SEL); ix1629 : xnor2 port map ( Y=>nx1628, A0=>PRI_IN_4(1), A1=>nx7292); ix7294 : mux21 port map ( Y=>nx7292, A0=>PRI_OUT_5_1_EXMPLR, A1=> reg_22_q_c_1, S0=>C_MUX2_25_SEL); REG_21_reg_q_1 : dff port map ( Q=>PRI_OUT_5_1_EXMPLR, QB=>OPEN, D=> nx1612, CLK=>CLK); ix1613 : xnor2 port map ( Y=>nx1612, A0=>nx7299, A1=>nx1610); ix7300 : nand02 port map ( Y=>nx7299, A0=>reg_48_q_c_0, A1=>nx296); ix1611 : xnor2 port map ( Y=>nx1610, A0=>reg_48_q_c_1, A1=>nx7097); REG_48_reg_q_1 : dff port map ( Q=>reg_48_q_c_1, QB=>OPEN, D=>nx1602, CLK =>CLK); ix1603 : mux21 port map ( Y=>nx1602, A0=>nx7307, A1=>nx7313, S0=> C_MUX2_23_SEL); ix7308 : xnor2 port map ( Y=>nx7307, A0=>nx7309, A1=>nx7311); ix7310 : nand02 port map ( Y=>nx7309, A0=>reg_13_q_c_0, A1=>reg_40_q_c_0 ); ix7314 : xnor2 port map ( Y=>nx7313, A0=>nx7315, A1=>nx7317); REG_9_reg_q_1 : dff port map ( Q=>PRI_OUT_4_1_EXMPLR, QB=>OPEN, D=>nx1584, CLK=>CLK); ix1585 : xor2 port map ( Y=>nx1584, A0=>nx7325, A1=>nx7327); ix7326 : nand02 port map ( Y=>nx7325, A0=>reg_22_q_c_0, A1=>reg_46_q_c_0 ); ix7328 : xnor2 port map ( Y=>nx7327, A0=>reg_22_q_c_1, A1=>reg_46_q_c_1); REG_46_reg_q_1 : dff port map ( Q=>reg_46_q_c_1, QB=>OPEN, D=>nx1574, CLK =>CLK); ix1575 : xnor2 port map ( Y=>nx1574, A0=>nx6890, A1=>nx1572); REG_26_reg_q_2 : dff port map ( Q=>PRI_OUT_9(2), QB=>OPEN, D=>nx2764, CLK =>CLK); ix2765 : xnor2 port map ( Y=>nx2764, A0=>nx7349, A1=>nx2762); ix7350 : aoi22 port map ( Y=>nx7349, A0=>nx7275, A1=>reg_39_q_c_1, B0=> nx958, B1=>nx1642); ix2763 : xnor2 port map ( Y=>nx2762, A0=>reg_33_q_c_2, A1=>reg_39_q_c_2); REG_33_reg_q_2 : dff port map ( Q=>reg_33_q_c_2, QB=>OPEN, D=>nx2606, CLK =>CLK); ix2607 : xnor2 port map ( Y=>nx2606, A0=>nx7359, A1=>nx2604); ix7360 : aoi22 port map ( Y=>nx7359, A0=>nx820, A1=>nx1542, B0=>nx1540, B1=>reg_17_q_c_1); REG_17_reg_q_2 : dff port map ( Q=>reg_17_q_c_2, QB=>nx7838, D=>nx2588, CLK=>CLK); ix2589 : xnor2 port map ( Y=>nx2588, A0=>nx7371, A1=>nx2586); ix7372 : aoi22 port map ( Y=>nx7371, A0=>nx796, A1=>nx1524, B0=>nx7239, B1=>reg_29_q_c_1); REG_29_reg_q_2 : dff port map ( Q=>reg_29_q_c_2, QB=>OPEN, D=>nx2578, CLK =>CLK); ix2579 : xnor2 port map ( Y=>nx2578, A0=>nx1688, A1=>nx7383); ix1689 : mux21 port map ( Y=>nx1688, A0=>nx6929, A1=>nx1026, S0=>nx6933); ix7384 : xnor2 port map ( Y=>nx7383, A0=>nx7385, A1=>nx2014); ix7386 : mux21 port map ( Y=>nx7385, A0=>reg_20_q_c_2, A1=>reg_17_q_c_2, S0=>C_MUX2_16_SEL); REG_20_reg_q_2 : dff port map ( Q=>reg_20_q_c_2, QB=>OPEN, D=>nx2560, CLK =>CLK); ix2561 : xnor2 port map ( Y=>nx2560, A0=>nx7391, A1=>nx2558); ix7392 : aoi22 port map ( Y=>nx7391, A0=>nx752, A1=>nx1496, B0=>nx7239, B1=>reg_28_q_c_1); REG_28_reg_q_2 : dff port map ( Q=>reg_28_q_c_2, QB=>OPEN, D=>nx2550, CLK =>CLK); ix2551 : xnor2 port map ( Y=>nx2550, A0=>nx7401, A1=>nx2548); ix7402 : aoi22 port map ( Y=>nx7401, A0=>nx7403, A1=>reg_5_q_c_1, B0=> nx734, B1=>nx1486); ix7404 : inv02 port map ( Y=>nx7403, A=>PRI_IN_2(1)); ix2541 : xnor2 port map ( Y=>nx2540, A0=>nx7411, A1=>nx2538); ix7412 : aoi22 port map ( Y=>nx7411, A0=>nx7413, A1=>reg_34_q_c_1, B0=> nx716, B1=>nx1476); ix7414 : inv02 port map ( Y=>nx7413, A=>PRI_IN_3(1)); REG_34_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7834, D=>nx2530, CLK=>CLK ); ix2531 : xor2 port map ( Y=>nx2530, A0=>nx7421, A1=>nx7425); ix7422 : aoi32 port map ( Y=>nx7421, A0=>reg_18_q_c_0, A1=>reg_19_q_c_0, A2=>nx1466, B0=>reg_19_q_c_1, B1=>reg_18_q_c_1); REG_18_reg_q_2 : dff port map ( Q=>reg_18_q_c_2, QB=>nx7833, D=>nx2520, CLK=>CLK); ix2521 : xnor2 port map ( Y=>nx2520, A0=>nx7431, A1=>nx2518); ix7432 : aoi22 port map ( Y=>nx7431, A0=>nx7155, A1=>PRI_IN_9(1), B0=> nx684, B1=>nx1456); ix2511 : xor2 port map ( Y=>nx2510, A0=>nx7443, A1=>nx7447); ix7444 : aoi32 port map ( Y=>nx7443, A0=>nx15895, A1=>reg_42_q_c_0, A2=> nx1446, B0=>reg_42_q_c_1, B1=>reg_17_q_c_1); REG_42_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7831, D=>nx2500, CLK=>CLK ); ix2501 : xnor2 port map ( Y=>nx2500, A0=>nx1752, A1=>nx7457); ix1753 : oai22 port map ( Y=>nx1752, A0=>nx6971, A1=>nx6973, B0=>nx7455, B1=>nx7261); REG_40_reg_q_2 : dff port map ( Q=>reg_40_q_c_2, QB=>nx7829, D=>nx2490, CLK=>CLK); ix2491 : xnor2 port map ( Y=>nx2490, A0=>nx7463, A1=>nx2488); ix7464 : aoi22 port map ( Y=>nx7463, A0=>nx15908, A1=>PRI_OUT_3_1_EXMPLR, B0=>nx638, B1=>nx1426); REG_4_reg_q_2 : dff port map ( Q=>PRI_OUT_3_2_EXMPLR, QB=>OPEN, D=>nx2480, CLK=>CLK); ix2481 : xnor2 port map ( Y=>nx2480, A0=>nx7473, A1=>nx2478); ix7474 : aoi22 port map ( Y=>nx7473, A0=>nx7259, A1=>reg_32_q_c_1, B0=> nx620, B1=>nx1416); ix2479 : xnor2 port map ( Y=>nx2478, A0=>PRI_OUT_3_2_EXMPLR, A1=> reg_32_q_c_2); REG_32_reg_q_2 : dff port map ( Q=>reg_32_q_c_2, QB=>OPEN, D=>nx2470, CLK =>CLK); ix2471 : xor2 port map ( Y=>nx2470, A0=>nx7481, A1=>nx7485); REG_24_reg_q_2 : dff port map ( Q=>PRI_OUT_8_2_EXMPLR, QB=>OPEN, D=> nx2440, CLK=>CLK); ix2441 : xor2 port map ( Y=>nx2440, A0=>nx7491, A1=>nx7495); ix7492 : aoi32 port map ( Y=>nx7491, A0=>reg_34_q_c_0, A1=>reg_41_q_c_0, A2=>nx1386, B0=>reg_41_q_c_1, B1=>reg_34_q_c_1); REG_41_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7817, D=>nx2430, CLK=>CLK ); ix2431 : xor2 port map ( Y=>nx2430, A0=>nx7501, A1=>nx7511); ix7502 : aoi22 port map ( Y=>nx7501, A0=>nx6427, A1=>PRI_IN_8(1), B0=> nx558, B1=>nx1376); ix7512 : xnor2 port map ( Y=>nx7511, A0=>PRI_IN_8(2), A1=>nx14717); ix2427 : ao21 port map ( Y=>nx6437, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_2, B0=>nx2386); ix2417 : xor2 port map ( Y=>nx2416, A0=>nx7519, A1=>nx7523); ix7520 : aoi32 port map ( Y=>nx7519, A0=>PRI_OUT_1_0_EXMPLR, A1=> reg_37_q_c_0, A2=>nx1362, B0=>reg_37_q_c_1, B1=>PRI_OUT_1_1_EXMPLR); REG_2_reg_q_2 : dff port map ( Q=>PRI_OUT_1_2_EXMPLR, QB=>OPEN, D=>nx2406, CLK=>CLK); ix2407 : xor2 port map ( Y=>nx2406, A0=>nx7529, A1=>nx7533); ix7530 : aoi32 port map ( Y=>nx7529, A0=>reg_35_q_c_0, A1=>reg_45_q_c_0, A2=>nx1352, B0=>reg_45_q_c_1, B1=>reg_35_q_c_1); REG_35_reg_q_2 : dff port map ( Q=>reg_35_q_c_2, QB=>nx7809, D=>nx2142, CLK=>CLK); ix2143 : xnor2 port map ( Y=>nx2142, A0=>nx2130, A1=>nx7541); ix2131 : oai22 port map ( Y=>nx2130, A0=>nx7239, A1=>nx7236, B0=>nx7025, B1=>nx7027); REG_37_reg_q_2 : dff port map ( Q=>reg_37_q_c_2, QB=>nx7804, D=>nx2330, CLK=>CLK); ix2331 : xor2 port map ( Y=>nx2330, A0=>nx7547, A1=>nx7551); ix7548 : aoi32 port map ( Y=>nx7547, A0=>PRI_IN_6(0), A1=>reg_44_q_c_0, A2=>nx1292, B0=>reg_44_q_c_1, B1=>PRI_IN_6(1)); REG_44_reg_q_2 : dff port map ( Q=>reg_44_q_c_2, QB=>nx7803, D=>nx2320, CLK=>CLK); ix2321 : xnor2 port map ( Y=>nx2320, A0=>nx7557, A1=>nx2318); ix7558 : aoi22 port map ( Y=>nx7557, A0=>nx7213, A1=>reg_10_q_c_1, B0=> nx430, B1=>nx1282); REG_10_reg_q_2 : dff port map ( Q=>reg_10_q_c_2, QB=>OPEN, D=>nx2310, CLK =>CLK); ix2311 : xor2 port map ( Y=>nx2310, A0=>nx7567, A1=>nx7571); ix7568 : aoi32 port map ( Y=>nx7567, A0=>reg_5_q_c_0, A1=>reg_11_q_c_0, A2=>nx1272, B0=>reg_11_q_c_1, B1=>reg_5_q_c_1); REG_11_reg_q_2 : dff port map ( Q=>reg_11_q_c_2, QB=>nx7801, D=>nx2300, CLK=>CLK); ix2301 : xnor2 port map ( Y=>nx2300, A0=>nx1856, A1=>nx7583); REG_45_reg_q_2 : dff port map ( Q=>reg_45_q_c_2, QB=>nx7800, D=>nx2290, CLK=>CLK); ix2291 : xor2 port map ( Y=>nx2290, A0=>nx7588, A1=>nx7591); ix7589 : aoi32 port map ( Y=>nx7588, A0=>reg_27_q_c_0, A1=>reg_47_q_c_0, A2=>nx1252, B0=>reg_47_q_c_1, B1=>reg_27_q_c_1); REG_27_reg_q_2 : dff port map ( Q=>reg_27_q_c_2, QB=>nx7781, D=>nx2232, CLK=>CLK); ix2233 : xnor2 port map ( Y=>nx2232, A0=>nx7597, A1=>nx2230); ix7598 : aoi22 port map ( Y=>nx7597, A0=>nx7087, A1=>reg_31_q_c_1, B0=> nx326, B1=>nx1214); REG_13_reg_q_2 : dff port map ( Q=>reg_13_q_c_2, QB=>nx7631, D=>nx2368, CLK=>CLK); ix2369 : xnor2 port map ( Y=>nx2368, A0=>nx7603, A1=>nx2366); ix7604 : aoi22 port map ( Y=>nx7603, A0=>nx7241, A1=>PRI_OUT_0_1_EXMPLR, B0=>nx498, B1=>nx1330); REG_1_reg_q_2 : dff port map ( Q=>PRI_OUT_0_2_EXMPLR, QB=>OPEN, D=>nx2358, CLK=>CLK); ix2359 : xnor2 port map ( Y=>nx2358, A0=>nx7610, A1=>nx2356); ix7611 : aoi22 port map ( Y=>nx7610, A0=>nx482, A1=>nx1320, B0=>nx1318, B1=>reg_41_q_c_1); ix7620 : mux21 port map ( Y=>nx7619, A0=>reg_25_q_c_2, A1=> PRI_OUT_8_2_EXMPLR, S0=>C_MUX2_8_SEL); REG_25_reg_q_2 : dff port map ( Q=>reg_25_q_c_2, QB=>OPEN, D=>nx2340, CLK =>CLK); ix2341 : xnor2 port map ( Y=>nx2340, A0=>nx1822, A1=>nx7627); ix1823 : oai22 port map ( Y=>nx1822, A0=>nx7079, A1=>nx7081, B0=>nx7507, B1=>nx7236); REG_31_reg_q_2 : dff port map ( Q=>reg_31_q_c_2, QB=>nx7779, D=>nx2222, CLK=>CLK); ix2223 : xnor2 port map ( Y=>nx2222, A0=>nx7635, A1=>nx2220); ix7636 : mux21 port map ( Y=>nx7635, A0=>nx7097, A1=>nx308, S0=>nx1204); ix2221 : xnor2 port map ( Y=>nx2220, A0=>nx7639, A1=>nx7777); ix7640 : mux21 port map ( Y=>nx7639, A0=>reg_38_q_c_2, A1=>reg_37_q_c_2, S0=>C_MUX2_3_SEL); REG_38_reg_q_2 : dff port map ( Q=>reg_38_q_c_2, QB=>OPEN, D=>nx2196, CLK =>CLK); ix2197 : xor2 port map ( Y=>nx2196, A0=>nx1894, A1=>nx2194); ix1895 : mux21 port map ( Y=>nx1894, A0=>reg_22_q_c_1, A1=>nx6777, S0=> nx1178); REG_22_reg_q_2 : dff port map ( Q=>reg_22_q_c_2, QB=>nx7773, D=>nx2186, CLK=>CLK); ix2187 : xnor2 port map ( Y=>nx2186, A0=>nx1904, A1=>nx7657); ix7658 : xnor2 port map ( Y=>nx7657, A0=>reg_28_q_c_2, A1=>nx7659); ix2179 : mux21 port map ( Y=>nx6433, A0=>nx7663, A1=>nx7770, S0=> C_MUX2_21_SEL); ix7664 : mux21 port map ( Y=>nx7663, A0=>PRI_OUT_7_2_EXMPLR, A1=> reg_17_q_c_2, S0=>C_MUX2_17_SEL); REG_6_reg_q_2 : dff port map ( Q=>PRI_OUT_7_2_EXMPLR, QB=>OPEN, D=>nx2156, CLK=>CLK); ix2157 : xor2 port map ( Y=>nx2156, A0=>nx1916, A1=>nx2154); ix1917 : mux21 port map ( Y=>nx1916, A0=>nx7195, A1=>nx7668, S0=>nx1138); ix2155 : xnor2 port map ( Y=>nx2154, A0=>nx2098, A1=>nx7758); ix2099 : mux21 port map ( Y=>nx2098, A0=>nx7663, A1=>nx7675, S0=> C_MUX2_15_SEL); ix7682 : aoi32 port map ( Y=>nx7681, A0=>reg_12_q_c_0, A1=>reg_19_q_c_0, A2=>nx1070, B0=>reg_19_q_c_1, B1=>nx15911); REG_19_reg_q_2 : dff port map ( Q=>reg_19_q_c_2, QB=>nx7748, D=>nx2050, CLK=>CLK); ix2051 : xnor2 port map ( Y=>nx2050, A0=>nx1938, A1=>nx7697); ix1939 : oai22 port map ( Y=>nx1938, A0=>nx6747, A1=>nx7149, B0=>nx1058, B1=>nx7211); ix2041 : mux21 port map ( Y=>nx2040, A0=>nx7703, A1=>nx7705, S0=> C_MUX2_6_SEL); REG_7_reg_q_2 : dff port map ( Q=>reg_7_q_c_2, QB=>nx7703, D=>nx2510, CLK =>CLK); ix7706 : mux21 port map ( Y=>nx7705, A0=>reg_17_q_c_2, A1=>reg_5_q_c_2, S0=>C_MUX2_18_SEL); REG_14_reg_q_2 : dff port map ( Q=>reg_14_q_c_2, QB=>OPEN, D=>nx2004, CLK =>CLK); ix2005 : xor2 port map ( Y=>nx2004, A0=>nx1960, A1=>nx2002); ix1961 : mux21 port map ( Y=>nx1960, A0=>PRI_IN_7(1), A1=>nx6743, S0=> nx1014); ix2003 : xnor2 port map ( Y=>nx2002, A0=>PRI_IN_7(2), A1=> PRI_OUT_6_2_EXMPLR); REG_23_reg_q_2 : dff port map ( Q=>PRI_OUT_6_2_EXMPLR, QB=>OPEN, D=> nx1994, CLK=>CLK); ix1995 : xor2 port map ( Y=>nx1994, A0=>nx7719, A1=>nx7727); REG_30_reg_q_2 : dff port map ( Q=>reg_30_q_c_2, QB=>OPEN, D=>nx1984, CLK =>CLK); ix1985 : xnor2 port map ( Y=>nx1984, A0=>nx7733, A1=>nx1982); ix7734 : aoi22 port map ( Y=>nx7733, A0=>nx7735, A1=>nx15911, B0=>nx22, B1=>nx994); ix7736 : inv02 port map ( Y=>nx7735, A=>PRI_IN_0(1)); REG_16_reg_q_2 : dff port map ( Q=>reg_16_q_c_2, QB=>OPEN, D=>nx2084, CLK =>CLK); ix2085 : xnor2 port map ( Y=>nx2084, A0=>nx7753, A1=>nx2082); ix7754 : mux21 port map ( Y=>nx7753, A0=>nx7269, A1=>nx148, S0=>nx1084); ix2083 : xnor2 port map ( Y=>nx2082, A0=>reg_20_q_c_2, A1=>nx6433); ix7759 : mux21 port map ( Y=>nx7758, A0=>reg_36_q_c_2, A1=>reg_35_q_c_2, S0=>C_MUX2_11_SEL); REG_36_reg_q_2 : dff port map ( Q=>reg_36_q_c_2, QB=>OPEN, D=>nx2112, CLK =>CLK); ix2113 : xor2 port map ( Y=>nx2112, A0=>nx7762, A1=>nx7764); ix7765 : xnor2 port map ( Y=>nx7764, A0=>reg_16_q_c_2, A1=>nx7659); REG_5_reg_q_2 : dff port map ( Q=>reg_5_q_c_2, QB=>nx7770, D=>nx2540, CLK =>CLK); ix7778 : mux21 port map ( Y=>nx7777, A0=>reg_19_q_c_2, A1=>reg_11_q_c_2, S0=>C_MUX2_7_SEL); REG_47_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7799, D=>nx2280, CLK=>CLK ); ix2281 : xnor2 port map ( Y=>nx2280, A0=>nx2248, A1=>nx7787); ix2249 : mux21 port map ( Y=>nx2248, A0=>nx7216, A1=>nx1058, S0=>nx7218); ix7788 : xnor2 port map ( Y=>nx7787, A0=>nx14767, A1=>nx2276); ix2267 : xnor2 port map ( Y=>nx2266, A0=>nx7792, A1=>nx2264); ix7793 : aoi22 port map ( Y=>nx7792, A0=>nx7507, A1=>PRI_IN_1(1), B0=> nx348, B1=>nx1228); ix2265 : xnor2 port map ( Y=>nx2264, A0=>PRI_IN_1(2), A1=>nx14717); ix2135 : nor02 port map ( Y=>nx2134, A0=>C_MUX2_24_SEL, A1=>nx14819); ix7816 : mux21 port map ( Y=>nx7815, A0=>reg_13_q_c_2, A1=>reg_11_q_c_2, S0=>C_MUX2_5_SEL); REG_43_reg_q_2 : dff port map ( Q=>reg_43_q_c_2, QB=>OPEN, D=>nx2460, CLK =>CLK); ix2461 : xnor2 port map ( Y=>nx2460, A0=>nx7823, A1=>nx2458); ix7824 : aoi22 port map ( Y=>nx7823, A0=>nx7455, A1=>reg_34_q_c_1, B0=> nx588, B1=>nx1396); ix7840 : mux21 port map ( Y=>nx7839, A0=>reg_18_q_c_2, A1=>nx2098, S0=> C_MUX2_19_SEL); REG_39_reg_q_2 : dff port map ( Q=>reg_39_q_c_2, QB=>OPEN, D=>nx2754, CLK =>CLK); ix2755 : ao21 port map ( Y=>nx2754, A0=>C_MUX2_10_SEL, A1=>nx2750, B0=> nx2628); ix2751 : xnor2 port map ( Y=>nx2750, A0=>nx7847, A1=>nx2748); ix7848 : aoi22 port map ( Y=>nx7847, A0=>nx940, A1=>nx1628, B0=>nx1626, B1=>PRI_IN_4(1)); ix2749 : xnor2 port map ( Y=>nx2748, A0=>PRI_IN_4(2), A1=>nx7853); ix7854 : mux21 port map ( Y=>nx7853, A0=>PRI_OUT_5_2_EXMPLR, A1=> reg_22_q_c_2, S0=>C_MUX2_25_SEL); REG_21_reg_q_2 : dff port map ( Q=>PRI_OUT_5_2_EXMPLR, QB=>OPEN, D=> nx2732, CLK=>CLK); ix2733 : xor2 port map ( Y=>nx2732, A0=>nx2644, A1=>nx2730); ix2645 : mux21 port map ( Y=>nx2644, A0=>nx7097, A1=>nx7299, S0=>nx1610); ix2731 : xnor2 port map ( Y=>nx2730, A0=>reg_48_q_c_2, A1=>nx7639); REG_48_reg_q_2 : dff port map ( Q=>reg_48_q_c_2, QB=>OPEN, D=>nx2722, CLK =>CLK); ix2723 : mux21 port map ( Y=>nx2722, A0=>nx7867, A1=>nx7875, S0=> C_MUX2_23_SEL); ix7868 : xnor2 port map ( Y=>nx7867, A0=>nx7869, A1=>nx7872); ix7870 : aoi32 port map ( Y=>nx7869, A0=>reg_13_q_c_0, A1=>reg_40_q_c_0, A2=>nx1560, B0=>reg_40_q_c_1, B1=>reg_13_q_c_1); ix7876 : xnor2 port map ( Y=>nx7875, A0=>nx7877, A1=>nx7881); ix7882 : xnor2 port map ( Y=>nx7881, A0=>reg_36_q_c_2, A1=>nx2714); ix2715 : ao21 port map ( Y=>nx2714, A0=>C_MUX2_4_SEL, A1=> PRI_OUT_4_2_EXMPLR, B0=>nx2672); REG_9_reg_q_2 : dff port map ( Q=>PRI_OUT_4_2_EXMPLR, QB=>OPEN, D=>nx2704, CLK=>CLK); ix2705 : xor2 port map ( Y=>nx2704, A0=>nx7886, A1=>nx7889); ix7887 : aoi32 port map ( Y=>nx7886, A0=>reg_22_q_c_0, A1=>reg_46_q_c_0, A2=>nx1582, B0=>reg_46_q_c_1, B1=>reg_22_q_c_1); REG_46_reg_q_2 : dff port map ( Q=>OPEN, QB=>nx7899, D=>nx2694, CLK=>CLK ); ix2695 : xnor2 port map ( Y=>nx2694, A0=>nx7894, A1=>nx2692); ix7895 : aoi22 port map ( Y=>nx7894, A0=>nx7275, A1=>PRI_IN_5(1), B0=> nx864, B1=>nx1572); ix2693 : xnor2 port map ( Y=>nx2692, A0=>PRI_IN_5(2), A1=>reg_33_q_c_2); ix2673 : nor02 port map ( Y=>nx2672, A0=>C_MUX2_4_SEL, A1=>nx7675); ix2629 : nor02 port map ( Y=>nx2628, A0=>C_MUX2_10_SEL, A1=>nx7906); ix7907 : xor2 port map ( Y=>nx7906, A0=>nx7909, A1=>nx2622); ix7910 : aoi22 port map ( Y=>nx7909, A0=>nx1058, A1=>reg_44_q_c_1, B0=> nx836, B1=>nx1552); REG_26_reg_q_3 : dff port map ( Q=>PRI_OUT_9(3), QB=>OPEN, D=>nx3884, CLK =>CLK); ix3885 : xor2 port map ( Y=>nx3884, A0=>nx2780, A1=>nx3882); ix2781 : mux21 port map ( Y=>nx2780, A0=>reg_33_q_c_2, A1=>nx7349, S0=> nx2762); REG_33_reg_q_3 : dff port map ( Q=>OPEN, QB=>nx8336, D=>nx3726, CLK=>CLK ); ix3727 : xor2 port map ( Y=>nx3726, A0=>nx2788, A1=>nx3724); ix2789 : oai22 port map ( Y=>nx2788, A0=>nx7359, A1=>nx7924, B0=>nx7839, B1=>nx7838); ix3725 : xnor2 port map ( Y=>nx3724, A0=>reg_17_q_c_3, A1=>nx8334); REG_17_reg_q_3 : dff port map ( Q=>reg_17_q_c_3, QB=>OPEN, D=>nx3708, CLK =>CLK); ix3709 : xor2 port map ( Y=>nx3708, A0=>nx2798, A1=>nx3706); ix2799 : mux21 port map ( Y=>nx2798, A0=>nx14713, A1=>nx7371, S0=>nx2586 ); ix3707 : xnor2 port map ( Y=>nx3706, A0=>reg_29_q_c_3, A1=>nx6445); REG_29_reg_q_3 : dff port map ( Q=>reg_29_q_c_3, QB=>OPEN, D=>nx3698, CLK =>CLK); ix3699 : xor2 port map ( Y=>nx3698, A0=>nx7935, A1=>nx7941); ix7936 : mux21 port map ( Y=>nx7935, A0=>nx1688, A1=>nx7937, S0=>nx7383); ix7942 : xnor2 port map ( Y=>nx7941, A0=>nx7943, A1=>nx3134); ix7944 : mux21 port map ( Y=>nx7943, A0=>reg_20_q_c_3, A1=>nx14719, S0=> C_MUX2_16_SEL); REG_20_reg_q_3 : dff port map ( Q=>reg_20_q_c_3, QB=>nx8329, D=>nx3680, CLK=>CLK); ix3681 : xor2 port map ( Y=>nx3680, A0=>nx2818, A1=>nx3678); ix2819 : mux21 port map ( Y=>nx2818, A0=>nx14713, A1=>nx7391, S0=>nx2558 ); REG_28_reg_q_3 : dff port map ( Q=>reg_28_q_c_3, QB=>nx8328, D=>nx3670, CLK=>CLK); ix3671 : xor2 port map ( Y=>nx3670, A0=>nx2828, A1=>nx3668); ix2829 : oai22 port map ( Y=>nx2828, A0=>nx7401, A1=>nx7953, B0=> PRI_IN_2(2), B1=>nx7770); ix3661 : xor2 port map ( Y=>nx3660, A0=>nx2838, A1=>nx3658); ix2839 : oai22 port map ( Y=>nx2838, A0=>nx7411, A1=>nx7962, B0=> PRI_IN_3(2), B1=>nx7834); ix3659 : xnor2 port map ( Y=>nx3658, A0=>PRI_IN_3(3), A1=>reg_34_q_c_3); REG_34_reg_q_3 : dff port map ( Q=>reg_34_q_c_3, QB=>OPEN, D=>nx3650, CLK =>CLK); ix3651 : xnor2 port map ( Y=>nx3650, A0=>nx2846, A1=>nx7969); ix2847 : oai22 port map ( Y=>nx2846, A0=>nx7421, A1=>nx7425, B0=>nx7748, B1=>nx7833); ix7970 : xnor2 port map ( Y=>nx7969, A0=>reg_18_q_c_3, A1=>reg_19_q_c_3); REG_18_reg_q_3 : dff port map ( Q=>reg_18_q_c_3, QB=>OPEN, D=>nx3640, CLK =>CLK); ix3641 : xor2 port map ( Y=>nx3640, A0=>nx2856, A1=>nx3638); ix2857 : mux21 port map ( Y=>nx2856, A0=>reg_7_q_c_2, A1=>nx7431, S0=> nx2518); ix3631 : xnor2 port map ( Y=>nx3630, A0=>nx2864, A1=>nx7979); ix2865 : oai22 port map ( Y=>nx2864, A0=>nx7443, A1=>nx7447, B0=>nx7831, B1=>nx7838); ix7980 : xnor2 port map ( Y=>nx7979, A0=>nx14719, A1=>reg_42_q_c_3); REG_42_reg_q_3 : dff port map ( Q=>reg_42_q_c_3, QB=>OPEN, D=>nx3620, CLK =>CLK); ix3621 : xor2 port map ( Y=>nx3620, A0=>nx7985, A1=>nx7991); ix7986 : aoi22 port map ( Y=>nx7985, A0=>nx2276, A1=>reg_40_q_c_2, B0=> nx1752, B1=>nx2498); REG_40_reg_q_3 : dff port map ( Q=>reg_40_q_c_3, QB=>nx8324, D=>nx3610, CLK=>CLK); ix3611 : xor2 port map ( Y=>nx3610, A0=>nx2882, A1=>nx3608); ix2883 : mux21 port map ( Y=>nx2882, A0=>reg_5_q_c_2, A1=>nx7463, S0=> nx2488); REG_4_reg_q_3 : dff port map ( Q=>PRI_OUT_3_3_EXMPLR, QB=>nx8323, D=> nx3600, CLK=>CLK); ix3601 : xor2 port map ( Y=>nx3600, A0=>nx2892, A1=>nx3598); ix2893 : mux21 port map ( Y=>nx2892, A0=>PRI_OUT_3_2_EXMPLR, A1=>nx7473, S0=>nx2478); REG_32_reg_q_3 : dff port map ( Q=>reg_32_q_c_3, QB=>OPEN, D=>nx3590, CLK =>CLK); ix3591 : xnor2 port map ( Y=>nx3590, A0=>nx2900, A1=>nx8013); ix2901 : ao21 port map ( Y=>nx2900, A0=>reg_43_q_c_2, A1=> PRI_OUT_8_2_EXMPLR, B0=>nx2898); ix8014 : xnor2 port map ( Y=>nx8013, A0=>PRI_OUT_8_3_EXMPLR, A1=> reg_43_q_c_3); REG_24_reg_q_3 : dff port map ( Q=>PRI_OUT_8_3_EXMPLR, QB=>OPEN, D=> nx3560, CLK=>CLK); ix3561 : xnor2 port map ( Y=>nx3560, A0=>nx2908, A1=>nx8020); ix2909 : oai22 port map ( Y=>nx2908, A0=>nx7491, A1=>nx7495, B0=>nx7817, B1=>nx7834); ix8021 : xnor2 port map ( Y=>nx8020, A0=>reg_34_q_c_3, A1=>reg_41_q_c_3); REG_41_reg_q_3 : dff port map ( Q=>reg_41_q_c_3, QB=>OPEN, D=>nx3550, CLK =>CLK); ix3551 : xnor2 port map ( Y=>nx3550, A0=>nx2916, A1=>nx8029); ix2917 : mux21 port map ( Y=>nx2916, A0=>nx7501, A1=>nx8025, S0=>nx7511); ix8030 : xnor2 port map ( Y=>nx8029, A0=>PRI_IN_8(3), A1=>nx6447); ix3537 : xnor2 port map ( Y=>nx3536, A0=>nx3514, A1=>nx8036); ix3515 : mux21 port map ( Y=>nx3514, A0=>nx7519, A1=>nx7804, S0=>nx7523); REG_2_reg_q_3 : dff port map ( Q=>PRI_OUT_1_3_EXMPLR, QB=>OPEN, D=>nx3526, CLK=>CLK); ix3527 : xnor2 port map ( Y=>nx3526, A0=>nx3522, A1=>nx8043); ix3523 : oai22 port map ( Y=>nx3522, A0=>nx7529, A1=>nx7533, B0=>nx7800, B1=>nx7809); REG_35_reg_q_3 : dff port map ( Q=>reg_35_q_c_3, QB=>nx8301, D=>nx3262, CLK=>CLK); ix3263 : xor2 port map ( Y=>nx3262, A0=>nx8047, A1=>nx8051); ix8048 : aoi22 port map ( Y=>nx8047, A0=>nx14715, A1=>reg_37_q_c_2, B0=> nx2130, B1=>nx2140); REG_37_reg_q_3 : dff port map ( Q=>reg_37_q_c_3, QB=>nx8296, D=>nx3450, CLK=>CLK); ix3451 : xnor2 port map ( Y=>nx3450, A0=>nx2950, A1=>nx8061); ix8062 : xnor2 port map ( Y=>nx8061, A0=>PRI_IN_6(3), A1=>reg_44_q_c_3); REG_44_reg_q_3 : dff port map ( Q=>reg_44_q_c_3, QB=>OPEN, D=>nx3440, CLK =>CLK); ix3441 : xor2 port map ( Y=>nx3440, A0=>nx2960, A1=>nx3438); ix2961 : mux21 port map ( Y=>nx2960, A0=>reg_27_q_c_2, A1=>nx7557, S0=> nx2318); REG_10_reg_q_3 : dff port map ( Q=>reg_10_q_c_3, QB=>OPEN, D=>nx3430, CLK =>CLK); ix3431 : xnor2 port map ( Y=>nx3430, A0=>nx2968, A1=>nx8071); ix2969 : oai22 port map ( Y=>nx2968, A0=>nx7567, A1=>nx7571, B0=>nx7801, B1=>nx7770); REG_11_reg_q_3 : dff port map ( Q=>reg_11_q_c_3, QB=>OPEN, D=>nx3420, CLK =>CLK); ix3421 : xor2 port map ( Y=>nx3420, A0=>nx8075, A1=>nx8083); ix8076 : aoi22 port map ( Y=>nx8075, A0=>nx6433, A1=>reg_45_q_c_2, B0=> nx15867, B1=>nx2298); REG_45_reg_q_3 : dff port map ( Q=>reg_45_q_c_3, QB=>nx8289, D=>nx3410, CLK=>CLK); ix3411 : xnor2 port map ( Y=>nx3410, A0=>nx2984, A1=>nx8089); ix2985 : oai22 port map ( Y=>nx2984, A0=>nx7588, A1=>nx7591, B0=>nx7799, B1=>nx7781); REG_27_reg_q_3 : dff port map ( Q=>reg_27_q_c_3, QB=>nx8267, D=>nx3352, CLK=>CLK); ix3353 : xor2 port map ( Y=>nx3352, A0=>nx2994, A1=>nx3350); REG_13_reg_q_3 : dff port map ( Q=>reg_13_q_c_3, QB=>nx8123, D=>nx3488, CLK=>CLK); ix3489 : xor2 port map ( Y=>nx3488, A0=>nx2926, A1=>nx3486); ix2927 : mux21 port map ( Y=>nx2926, A0=>reg_35_q_c_2, A1=>nx7603, S0=> nx2366); REG_1_reg_q_3 : dff port map ( Q=>PRI_OUT_0_3_EXMPLR, QB=>OPEN, D=>nx3478, CLK=>CLK); ix3479 : xor2 port map ( Y=>nx3478, A0=>nx2934, A1=>nx3476); ix2935 : oai22 port map ( Y=>nx2934, A0=>nx7610, A1=>nx8107, B0=>nx7619, B1=>nx7817); ix3477 : xnor2 port map ( Y=>nx3476, A0=>reg_41_q_c_3, A1=>nx8111); ix8112 : mux21 port map ( Y=>nx8111, A0=>reg_25_q_c_3, A1=> PRI_OUT_8_3_EXMPLR, S0=>C_MUX2_8_SEL); REG_25_reg_q_3 : dff port map ( Q=>reg_25_q_c_3, QB=>OPEN, D=>nx3460, CLK =>CLK); ix3461 : xor2 port map ( Y=>nx3460, A0=>nx8115, A1=>nx8119); ix8116 : aoi22 port map ( Y=>nx8115, A0=>nx14717_XX0_XREP27, A1=> reg_37_q_c_2, B0=>nx1822, B1=>nx2338); REG_31_reg_q_3 : dff port map ( Q=>reg_31_q_c_3, QB=>nx8265, D=>nx3342, CLK=>CLK); ix3343 : xor2 port map ( Y=>nx3342, A0=>nx3004, A1=>nx3340); ix3005 : mux21 port map ( Y=>nx3004, A0=>nx2210, A1=>nx7635, S0=>nx2220); ix3341 : xnor2 port map ( Y=>nx3340, A0=>nx8131, A1=>nx8262); ix8132 : mux21 port map ( Y=>nx8131, A0=>reg_38_q_c_3, A1=>reg_37_q_c_3, S0=>C_MUX2_3_SEL); REG_38_reg_q_3 : dff port map ( Q=>reg_38_q_c_3, QB=>OPEN, D=>nx3316, CLK =>CLK); ix3317 : xnor2 port map ( Y=>nx3316, A0=>nx8135, A1=>nx3314); ix8136 : mux21 port map ( Y=>nx8135, A0=>nx7773, A1=>nx1894, S0=>nx2194); ix3315 : xnor2 port map ( Y=>nx3314, A0=>reg_22_q_c_3, A1=>nx3160); REG_22_reg_q_3 : dff port map ( Q=>reg_22_q_c_3, QB=>OPEN, D=>nx3306, CLK =>CLK); ix3307 : xor2 port map ( Y=>nx3306, A0=>nx8141, A1=>nx8144); ix8147 : mux21 port map ( Y=>nx8146, A0=>reg_10_q_c_3, A1=>nx6443, S0=> C_MUX2_14_SEL); ix3299 : mux21 port map ( Y=>nx6443, A0=>nx8149, A1=>nx8257, S0=> C_MUX2_21_SEL); ix8150 : mux21 port map ( Y=>nx8149, A0=>PRI_OUT_7_3_EXMPLR, A1=>nx14719, S0=>C_MUX2_17_SEL); REG_6_reg_q_3 : dff port map ( Q=>PRI_OUT_7_3_EXMPLR, QB=>OPEN, D=>nx3276, CLK=>CLK); ix3277 : xnor2 port map ( Y=>nx3276, A0=>nx8154, A1=>nx3274); ix8155 : mux21 port map ( Y=>nx8154, A0=>nx2152, A1=>nx1916, S0=>nx2154); ix3275 : xnor2 port map ( Y=>nx3274, A0=>nx3218, A1=>nx8243); ix3219 : mux21 port map ( Y=>nx3218, A0=>nx8149, A1=>nx8164, S0=> C_MUX2_15_SEL); ix3049 : oai22 port map ( Y=>nx3048, A0=>nx7681, A1=>nx7685, B0=>nx7748, B1=>nx15461); ix8170 : xnor2 port map ( Y=>nx8169, A0=>nx15463, A1=>reg_19_q_c_3); REG_19_reg_q_3 : dff port map ( Q=>reg_19_q_c_3, QB=>OPEN, D=>nx3170, CLK =>CLK); ix3171 : xor2 port map ( Y=>nx3170, A0=>nx8175, A1=>nx8184); ix8176 : aoi22 port map ( Y=>nx8175, A0=>nx14767, A1=>reg_31_q_c_2, B0=> nx1938, B1=>nx2048); REG_7_reg_q_3 : dff port map ( Q=>OPEN, QB=>nx8191, D=>nx3630, CLK=>CLK); ix8194 : mux21 port map ( Y=>nx8193, A0=>nx14719, A1=>reg_5_q_c_3, S0=> C_MUX2_18_SEL); ix3135 : ao21 port map ( Y=>nx3134, A0=>C_MUX2_22_SEL, A1=>reg_14_q_c_3, B0=>nx3070); REG_14_reg_q_3 : dff port map ( Q=>reg_14_q_c_3, QB=>OPEN, D=>nx3124, CLK =>CLK); ix3125 : xnor2 port map ( Y=>nx3124, A0=>nx8199, A1=>nx3122); ix8200 : aoi22 port map ( Y=>nx8199, A0=>nx8201, A1=>PRI_OUT_6_2_EXMPLR, B0=>nx1960, B1=>nx2002); ix8202 : inv02 port map ( Y=>nx8201, A=>PRI_IN_7(2)); ix3123 : xnor2 port map ( Y=>nx3122, A0=>PRI_IN_7(3), A1=> PRI_OUT_6_3_EXMPLR); REG_23_reg_q_3 : dff port map ( Q=>PRI_OUT_6_3_EXMPLR, QB=>OPEN, D=> nx3114, CLK=>CLK); ix3115 : xnor2 port map ( Y=>nx3114, A0=>nx3090, A1=>nx8211); ix8212 : xnor2 port map ( Y=>nx8211, A0=>reg_30_q_c_3, A1=>nx8164); REG_30_reg_q_3 : dff port map ( Q=>reg_30_q_c_3, QB=>OPEN, D=>nx3104, CLK =>CLK); ix3105 : xor2 port map ( Y=>nx3104, A0=>nx3100, A1=>nx3102); ix3101 : oai22 port map ( Y=>nx3100, A0=>nx7733, A1=>nx8217, B0=> PRI_IN_0(2), B1=>nx15461); ix3103 : xnor2 port map ( Y=>nx3102, A0=>PRI_IN_0(3), A1=>nx15463); ix3071 : nor02 port map ( Y=>nx3070, A0=>C_MUX2_22_SEL, A1=>nx8227); ix8228 : mux21 port map ( Y=>nx8227, A0=>reg_19_q_c_3, A1=>nx14719, S0=> C_MUX2_20_SEL); ix3141 : nor02 port map ( Y=>nx3140, A0=>C_MUX2_9_SEL, A1=>nx7943); REG_16_reg_q_3 : dff port map ( Q=>reg_16_q_c_3, QB=>nx8241, D=>nx3204, CLK=>CLK); ix3205 : xor2 port map ( Y=>nx3204, A0=>nx3200, A1=>nx3202); ix3201 : mux21 port map ( Y=>nx3200, A0=>reg_20_q_c_2, A1=>nx7753, S0=> nx2082); ix8244 : mux21 port map ( Y=>nx8243, A0=>reg_36_q_c_3, A1=>reg_35_q_c_3, S0=>C_MUX2_11_SEL); REG_36_reg_q_3 : dff port map ( Q=>reg_36_q_c_3, QB=>OPEN, D=>nx3232, CLK =>CLK); ix3233 : xnor2 port map ( Y=>nx3232, A0=>nx3228, A1=>nx8251); ix3229 : mux21 port map ( Y=>nx3228, A0=>nx7762, A1=>reg_16_q_c_2, S0=> nx7764); REG_5_reg_q_3 : dff port map ( Q=>reg_5_q_c_3, QB=>nx8257, D=>nx3660, CLK =>CLK); ix8264 : mux21 port map ( Y=>nx8262, A0=>reg_19_q_c_3, A1=>reg_11_q_c_3, S0=>C_MUX2_7_SEL); REG_47_reg_q_3 : dff port map ( Q=>reg_47_q_c_3, QB=>OPEN, D=>nx3400, CLK =>CLK); ix3401 : xor2 port map ( Y=>nx3400, A0=>nx8271, A1=>nx8273); ix8272 : mux21 port map ( Y=>nx8271, A0=>nx2248, A1=>nx14767, S0=>nx7787 ); ix8274 : xnor2 port map ( Y=>nx8273, A0=>nx8187, A1=>nx3396); ix3387 : xor2 port map ( Y=>nx3386, A0=>nx3382, A1=>nx3384); ix3383 : mux21 port map ( Y=>nx3382, A0=>nx6437, A1=>nx7792, S0=>nx2264); ix3385 : xnor2 port map ( Y=>nx3384, A0=>PRI_IN_1(3), A1=>nx6447); ix3259 : ao21 port map ( Y=>nx6445, A0=>C_MUX2_24_SEL, A1=>reg_27_q_c_3, B0=>nx3254); ix3255 : nor02 port map ( Y=>nx3254, A0=>C_MUX2_24_SEL, A1=>nx8299); ix8308 : mux21 port map ( Y=>nx8307, A0=>reg_13_q_c_3, A1=>reg_11_q_c_3, S0=>C_MUX2_5_SEL); REG_43_reg_q_3 : dff port map ( Q=>reg_43_q_c_3, QB=>OPEN, D=>nx3580, CLK =>CLK); ix3581 : xor2 port map ( Y=>nx3580, A0=>nx3576, A1=>nx3578); ix3577 : oai22 port map ( Y=>nx3576, A0=>nx7823, A1=>nx8315, B0=>nx2276, B1=>nx7834); ix3579 : xnor2 port map ( Y=>nx3578, A0=>reg_34_q_c_3, A1=>nx3396); ix8335 : mux21 port map ( Y=>nx8334, A0=>reg_18_q_c_3, A1=>nx3218, S0=> C_MUX2_19_SEL); REG_39_reg_q_3 : dff port map ( Q=>reg_39_q_c_3, QB=>OPEN, D=>nx3874, CLK =>CLK); ix3875 : mux21 port map ( Y=>nx3874, A0=>nx8339, A1=>nx8347, S0=> C_MUX2_10_SEL); ix8340 : xnor2 port map ( Y=>nx8339, A0=>nx3740, A1=>nx3742); ix3741 : oai22 port map ( Y=>nx3740, A0=>nx7909, A1=>nx8343, B0=>nx14769, B1=>nx7803); ix3743 : xnor2 port map ( Y=>nx3742, A0=>reg_44_q_c_3, A1=> nx8187_XX0_XREP61); ix8348 : xnor2 port map ( Y=>nx8347, A0=>nx3756, A1=>nx3868); ix3757 : mux21 port map ( Y=>nx3756, A0=>nx7853, A1=>nx7847, S0=>nx2748); ix3869 : xnor2 port map ( Y=>nx3868, A0=>PRI_IN_4(3), A1=>nx8353); ix8354 : mux21 port map ( Y=>nx8353, A0=>PRI_OUT_5_3_EXMPLR, A1=> reg_22_q_c_3, S0=>C_MUX2_25_SEL); REG_21_reg_q_3 : dff port map ( Q=>PRI_OUT_5_3_EXMPLR, QB=>OPEN, D=> nx3852, CLK=>CLK); ix3853 : xnor2 port map ( Y=>nx3852, A0=>nx8358, A1=>nx3850); ix8359 : aoi22 port map ( Y=>nx8358, A0=>nx2210, A1=>reg_48_q_c_2, B0=> nx2644, B1=>nx2730); ix3851 : xnor2 port map ( Y=>nx3850, A0=>reg_48_q_c_3, A1=>nx8131); REG_48_reg_q_3 : dff port map ( Q=>reg_48_q_c_3, QB=>OPEN, D=>nx3842, CLK =>CLK); ix3843 : ao21 port map ( Y=>nx3842, A0=>C_MUX2_23_SEL, A1=>nx3838, B0=> nx3780); ix3839 : xnor2 port map ( Y=>nx3838, A0=>nx3788, A1=>nx8368); ix3789 : ao21 port map ( Y=>nx3788, A0=>nx2714, A1=>reg_36_q_c_2, B0=> nx3786); ix3787 : nor02 port map ( Y=>nx3786, A0=>nx15498, A1=>nx7881); ix8369 : xnor2 port map ( Y=>nx8368, A0=>reg_36_q_c_3, A1=>nx3834); ix3835 : ao21 port map ( Y=>nx3834, A0=>C_MUX2_4_SEL, A1=> PRI_OUT_4_3_EXMPLR, B0=>nx3792); REG_9_reg_q_3 : dff port map ( Q=>PRI_OUT_4_3_EXMPLR, QB=>OPEN, D=>nx3824, CLK=>CLK); ix3825 : xnor2 port map ( Y=>nx3824, A0=>nx3800, A1=>nx8375); ix3801 : oai22 port map ( Y=>nx3800, A0=>nx7886, A1=>nx7889, B0=>nx7899, B1=>nx7773); ix8376 : xnor2 port map ( Y=>nx8375, A0=>reg_22_q_c_3, A1=>reg_46_q_c_3); REG_46_reg_q_3 : dff port map ( Q=>reg_46_q_c_3, QB=>OPEN, D=>nx3814, CLK =>CLK); ix3815 : xor2 port map ( Y=>nx3814, A0=>nx3810, A1=>nx3812); ix3811 : mux21 port map ( Y=>nx3810, A0=>reg_33_q_c_2, A1=>nx7894, S0=> nx2692); ix3793 : nor02 port map ( Y=>nx3792, A0=>C_MUX2_4_SEL, A1=>nx8164); ix3781 : nor02 port map ( Y=>nx3780, A0=>C_MUX2_23_SEL, A1=>nx8391); ix8392 : xor2 port map ( Y=>nx8391, A0=>nx3772, A1=>nx8395); ix3773 : oai22 port map ( Y=>nx3772, A0=>nx7869, A1=>nx7872, B0=>nx7829, B1=>nx7631); REG_26_reg_q_4 : dff port map ( Q=>PRI_OUT_9(4), QB=>OPEN, D=>nx5004, CLK =>CLK); ix5005 : xnor2 port map ( Y=>nx5004, A0=>nx8405, A1=>nx5002); ix8406 : aoi22 port map ( Y=>nx8405, A0=>nx8336, A1=>reg_39_q_c_3, B0=> nx2780, B1=>nx3882); ix5003 : xnor2 port map ( Y=>nx5002, A0=>reg_33_q_c_4, A1=>reg_39_q_c_4); REG_33_reg_q_4 : dff port map ( Q=>reg_33_q_c_4, QB=>OPEN, D=>nx4846, CLK =>CLK); ix4847 : xnor2 port map ( Y=>nx4846, A0=>nx8412, A1=>nx4844); ix8414 : aoi22 port map ( Y=>nx8412, A0=>nx3722, A1=>reg_17_q_c_3, B0=> nx2788, B1=>nx3724); REG_17_reg_q_4 : dff port map ( Q=>reg_17_q_c_4, QB=>nx8877, D=>nx4828, CLK=>CLK); ix4829 : xnor2 port map ( Y=>nx4828, A0=>nx8425, A1=>nx4826); ix8426 : aoi22 port map ( Y=>nx8425, A0=>nx8299, A1=>reg_29_q_c_3, B0=> nx2798, B1=>nx3706); REG_29_reg_q_4 : dff port map ( Q=>reg_29_q_c_4, QB=>OPEN, D=>nx4818, CLK =>CLK); ix4819 : xnor2 port map ( Y=>nx4818, A0=>nx3928, A1=>nx8435); ix3929 : mux21 port map ( Y=>nx3928, A0=>nx7935, A1=>nx3134, S0=>nx7941); ix8436 : xnor2 port map ( Y=>nx8435, A0=>nx8437, A1=>nx4254); ix8438 : mux21 port map ( Y=>nx8437, A0=>reg_20_q_c_4, A1=>reg_17_q_c_4, S0=>C_MUX2_16_SEL); REG_20_reg_q_4 : dff port map ( Q=>reg_20_q_c_4, QB=>OPEN, D=>nx4800, CLK =>CLK); ix4801 : xnor2 port map ( Y=>nx4800, A0=>nx8443, A1=>nx4798); ix8444 : aoi22 port map ( Y=>nx8443, A0=>nx8299, A1=>reg_28_q_c_3, B0=> nx2818, B1=>nx3678); REG_28_reg_q_4 : dff port map ( Q=>reg_28_q_c_4, QB=>OPEN, D=>nx4790, CLK =>CLK); ix4791 : xnor2 port map ( Y=>nx4790, A0=>nx8451, A1=>nx4788); ix8452 : aoi22 port map ( Y=>nx8451, A0=>nx8453, A1=>reg_5_q_c_3, B0=> nx2828, B1=>nx3668); ix8454 : inv02 port map ( Y=>nx8453, A=>PRI_IN_2(3)); ix4781 : xnor2 port map ( Y=>nx4780, A0=>nx8461, A1=>nx4778); ix8462 : aoi22 port map ( Y=>nx8461, A0=>nx8463, A1=>reg_34_q_c_3, B0=> nx2838, B1=>nx3658); ix8464 : inv02 port map ( Y=>nx8463, A=>PRI_IN_3(3)); REG_34_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8869, D=>nx4770, CLK=>CLK ); ix4771 : xor2 port map ( Y=>nx4770, A0=>nx8471, A1=>nx8475); ix8472 : aoi22 port map ( Y=>nx8471, A0=>reg_19_q_c_3, A1=>reg_18_q_c_3, B0=>nx2846, B1=>nx3648); REG_18_reg_q_4 : dff port map ( Q=>reg_18_q_c_4, QB=>nx8868, D=>nx4760, CLK=>CLK); ix4761 : xnor2 port map ( Y=>nx4760, A0=>nx8481, A1=>nx4758); ix8482 : aoi22 port map ( Y=>nx8481, A0=>nx8191, A1=>PRI_IN_9(3), B0=> nx2856, B1=>nx3638); ix4751 : xor2 port map ( Y=>nx4750, A0=>nx8489, A1=>nx8493); ix8490 : aoi22 port map ( Y=>nx8489, A0=>reg_42_q_c_3, A1=>reg_17_q_c_3, B0=>nx2864, B1=>nx3628); REG_42_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8867, D=>nx4740, CLK=>CLK ); ix4741 : xnor2 port map ( Y=>nx4740, A0=>nx3992, A1=>nx8503); ix3993 : oai22 port map ( Y=>nx3992, A0=>nx7985, A1=>nx7991, B0=>nx8501, B1=>nx8324); REG_40_reg_q_4 : dff port map ( Q=>reg_40_q_c_4, QB=>nx8866, D=>nx4730, CLK=>CLK); ix4731 : xnor2 port map ( Y=>nx4730, A0=>nx8509, A1=>nx4728); ix8510 : aoi22 port map ( Y=>nx8509, A0=>nx8257, A1=>PRI_OUT_3_3_EXMPLR, B0=>nx2882, B1=>nx3608); REG_4_reg_q_4 : dff port map ( Q=>PRI_OUT_3_4_EXMPLR, QB=>OPEN, D=>nx4720, CLK=>CLK); ix4721 : xnor2 port map ( Y=>nx4720, A0=>nx8517, A1=>nx4718); ix8518 : aoi22 port map ( Y=>nx8517, A0=>nx8323, A1=>reg_32_q_c_3, B0=> nx2892, B1=>nx3598); ix4719 : xnor2 port map ( Y=>nx4718, A0=>PRI_OUT_3_4_EXMPLR, A1=> reg_32_q_c_4); REG_32_reg_q_4 : dff port map ( Q=>reg_32_q_c_4, QB=>OPEN, D=>nx4710, CLK =>CLK); ix4711 : xor2 port map ( Y=>nx4710, A0=>nx8525, A1=>nx8529); ix8526 : aoi22 port map ( Y=>nx8525, A0=>reg_43_q_c_3, A1=> PRI_OUT_8_3_EXMPLR, B0=>nx2900, B1=>nx3588); ix8530 : xnor2 port map ( Y=>nx8529, A0=>PRI_OUT_8_4_EXMPLR, A1=> reg_43_q_c_4); REG_24_reg_q_4 : dff port map ( Q=>PRI_OUT_8_4_EXMPLR, QB=>OPEN, D=> nx4680, CLK=>CLK); ix4681 : xor2 port map ( Y=>nx4680, A0=>nx8535, A1=>nx8539); ix8536 : aoi22 port map ( Y=>nx8535, A0=>reg_41_q_c_3, A1=>reg_34_q_c_3, B0=>nx2908, B1=>nx3558); REG_41_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8853, D=>nx4670, CLK=>CLK ); ix4671 : xor2 port map ( Y=>nx4670, A0=>nx8545, A1=>nx8553); ix8546 : aoi22 port map ( Y=>nx8545, A0=>nx6447, A1=>PRI_IN_8(3), B0=> nx2916, B1=>nx3548); ix8554 : xnor2 port map ( Y=>nx8553, A0=>PRI_IN_8(4), A1=>nx14725); ix4667 : ao21 port map ( Y=>nx6457, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_4, B0=>nx4626); REG_8_reg_q_4 : dff port map ( Q=>reg_8_q_c_4, QB=>OPEN, D=>nx4656, CLK=> CLK); ix4657 : xor2 port map ( Y=>nx4656, A0=>nx8561, A1=>nx8565); ix8562 : aoi22 port map ( Y=>nx8561, A0=>reg_37_q_c_3, A1=> PRI_OUT_1_3_EXMPLR, B0=>nx3514, B1=>nx3534); REG_2_reg_q_4 : dff port map ( Q=>PRI_OUT_1_4_EXMPLR, QB=>OPEN, D=>nx4646, CLK=>CLK); ix4647 : xor2 port map ( Y=>nx4646, A0=>nx8571, A1=>nx8575); ix8572 : aoi22 port map ( Y=>nx8571, A0=>reg_45_q_c_3, A1=>reg_35_q_c_3, B0=>nx3522, B1=>nx3524); REG_35_reg_q_4 : dff port map ( Q=>reg_35_q_c_4, QB=>nx8845, D=>nx4382, CLK=>CLK); ix4383 : xnor2 port map ( Y=>nx4382, A0=>nx4370, A1=>nx8583); ix4371 : oai22 port map ( Y=>nx4370, A0=>nx8047, A1=>nx8051, B0=>nx8299, B1=>nx8296); REG_37_reg_q_4 : dff port map ( Q=>reg_37_q_c_4, QB=>nx8840, D=>nx4570, CLK=>CLK); ix4571 : xor2 port map ( Y=>nx4570, A0=>nx8589, A1=>nx8593); ix8590 : aoi22 port map ( Y=>nx8589, A0=>reg_44_q_c_3, A1=>PRI_IN_6(3), B0=>nx2950, B1=>nx3448); REG_44_reg_q_4 : dff port map ( Q=>reg_44_q_c_4, QB=>nx8839, D=>nx4560, CLK=>CLK); ix4561 : xnor2 port map ( Y=>nx4560, A0=>nx8599, A1=>nx4558); ix8600 : aoi22 port map ( Y=>nx8599, A0=>nx8267, A1=>reg_10_q_c_3, B0=> nx2960, B1=>nx3438); REG_10_reg_q_4 : dff port map ( Q=>reg_10_q_c_4, QB=>OPEN, D=>nx4550, CLK =>CLK); ix4551 : xor2 port map ( Y=>nx4550, A0=>nx8607, A1=>nx8611); ix8608 : aoi22 port map ( Y=>nx8607, A0=>reg_11_q_c_3, A1=>reg_5_q_c_3, B0=>nx2968, B1=>nx3428); REG_11_reg_q_4 : dff port map ( Q=>reg_11_q_c_4, QB=>nx8837, D=>nx4540, CLK=>CLK); ix4541 : xnor2 port map ( Y=>nx4540, A0=>nx4096, A1=>nx8623); ix4097 : oai22 port map ( Y=>nx4096, A0=>nx8075, A1=>nx8083, B0=>nx8619, B1=>nx8289); REG_45_reg_q_4 : dff port map ( Q=>reg_45_q_c_4, QB=>nx8836, D=>nx4530, CLK=>CLK); ix4531 : xor2 port map ( Y=>nx4530, A0=>nx8629, A1=>nx8633); ix8630 : aoi22 port map ( Y=>nx8629, A0=>reg_47_q_c_3, A1=>reg_27_q_c_3, B0=>nx2984, B1=>nx3408); REG_27_reg_q_4 : dff port map ( Q=>reg_27_q_c_4, QB=>nx8814, D=>nx4472, CLK=>CLK); ix4473 : xnor2 port map ( Y=>nx4472, A0=>nx8639, A1=>nx4470); ix8640 : aoi22 port map ( Y=>nx8639, A0=>nx8123, A1=>reg_31_q_c_3, B0=> nx2994, B1=>nx3350); REG_13_reg_q_4 : dff port map ( Q=>reg_13_q_c_4, QB=>nx8675, D=>nx4608, CLK=>CLK); ix4609 : xnor2 port map ( Y=>nx4608, A0=>nx8647, A1=>nx4606); ix8648 : aoi22 port map ( Y=>nx8647, A0=>nx8301, A1=>PRI_OUT_0_3_EXMPLR, B0=>nx2926, B1=>nx3486); REG_1_reg_q_4 : dff port map ( Q=>PRI_OUT_0_4_EXMPLR, QB=>OPEN, D=>nx4598, CLK=>CLK); ix4599 : xnor2 port map ( Y=>nx4598, A0=>nx8655, A1=>nx4596); ix8656 : aoi22 port map ( Y=>nx8655, A0=>nx3474, A1=>reg_41_q_c_3, B0=> nx2934, B1=>nx3476); ix8664 : mux21 port map ( Y=>nx8663, A0=>reg_25_q_c_4, A1=> PRI_OUT_8_4_EXMPLR, S0=>C_MUX2_8_SEL); REG_25_reg_q_4 : dff port map ( Q=>reg_25_q_c_4, QB=>OPEN, D=>nx4580, CLK =>CLK); ix4581 : xnor2 port map ( Y=>nx4580, A0=>nx4062, A1=>nx8671); ix4063 : oai22 port map ( Y=>nx4062, A0=>nx8115, A1=>nx8119, B0=>nx8549, B1=>nx8296); REG_31_reg_q_4 : dff port map ( Q=>reg_31_q_c_4, QB=>nx8813, D=>nx4462, CLK=>CLK); ix4463 : xnor2 port map ( Y=>nx4462, A0=>nx8679, A1=>nx4460); ix8680 : mux21 port map ( Y=>nx8679, A0=>nx8131, A1=>nx3004, S0=>nx3340); ix4461 : xnor2 port map ( Y=>nx4460, A0=>nx8683, A1=>nx8810); ix8684 : mux21 port map ( Y=>nx8683, A0=>reg_38_q_c_4, A1=>reg_37_q_c_4, S0=>C_MUX2_3_SEL); REG_38_reg_q_4 : dff port map ( Q=>reg_38_q_c_4, QB=>OPEN, D=>nx4436, CLK =>CLK); ix4437 : xor2 port map ( Y=>nx4436, A0=>nx4134, A1=>nx4434); ix4135 : mux21 port map ( Y=>nx4134, A0=>reg_22_q_c_3, A1=>nx8135, S0=> nx3314); REG_22_reg_q_4 : dff port map ( Q=>reg_22_q_c_4, QB=>nx8808, D=>nx4426, CLK=>CLK); ix4427 : xnor2 port map ( Y=>nx4426, A0=>nx4144, A1=>nx8703); ix4145 : oai22 port map ( Y=>nx4144, A0=>nx15751, A1=>nx8144, B0=>nx6444, B1=>nx8328); ix8704 : xnor2 port map ( Y=>nx8703, A0=>reg_28_q_c_4, A1=>nx8705); ix8706 : mux21 port map ( Y=>nx8705, A0=>reg_10_q_c_4, A1=>nx6453, S0=> C_MUX2_14_SEL); ix4419 : mux21 port map ( Y=>nx6453, A0=>nx8708, A1=>nx8806, S0=> C_MUX2_21_SEL); ix8709 : mux21 port map ( Y=>nx8708, A0=>PRI_OUT_7_4_EXMPLR, A1=> reg_17_q_c_4, S0=>C_MUX2_17_SEL); REG_6_reg_q_4 : dff port map ( Q=>PRI_OUT_7_4_EXMPLR, QB=>OPEN, D=>nx4396, CLK=>CLK); ix4397 : xor2 port map ( Y=>nx4396, A0=>nx4156, A1=>nx4394); ix4157 : mux21 port map ( Y=>nx4156, A0=>nx8243, A1=>nx8154, S0=>nx3274); ix4395 : xnor2 port map ( Y=>nx4394, A0=>nx4338, A1=>nx8792); ix4339 : mux21 port map ( Y=>nx4338, A0=>nx8708, A1=>nx8717, S0=> C_MUX2_15_SEL); ix8718 : mux21 port map ( Y=>nx8717, A0=>reg_12_q_c_4, A1=>reg_16_q_c_4, S0=>C_MUX2_2_SEL); REG_12_reg_q_4 : dff port map ( Q=>reg_12_q_c_4, QB=>nx8785, D=>nx4300, CLK=>CLK); ix4301 : xor2 port map ( Y=>nx4300, A0=>nx8721, A1=>nx8725); ix8722 : aoi22 port map ( Y=>nx8721, A0=>reg_19_q_c_3, A1=>nx15463, B0=> nx3048, B1=>nx3178); REG_19_reg_q_4 : dff port map ( Q=>reg_19_q_c_4, QB=>nx8784, D=>nx4290, CLK=>CLK); ix4291 : xnor2 port map ( Y=>nx4290, A0=>nx4178, A1=>nx8735); ix4179 : oai22 port map ( Y=>nx4178, A0=>nx8175, A1=>nx8184, B0=>nx3166, B1=>nx8265); ix4281 : mux21 port map ( Y=>nx4280, A0=>nx8741, A1=>nx8743, S0=> C_MUX2_6_SEL); REG_7_reg_q_4 : dff port map ( Q=>reg_7_q_c_4, QB=>nx8741, D=>nx4750, CLK =>CLK); ix8744 : mux21 port map ( Y=>nx8743, A0=>reg_17_q_c_4, A1=>reg_5_q_c_4, S0=>C_MUX2_18_SEL); ix4263 : ao21 port map ( Y=>nx4262, A0=>C_MUX2_9_SEL, A1=>nx4254, B0=> nx4260); ix4255 : ao21 port map ( Y=>nx4254, A0=>C_MUX2_22_SEL, A1=>reg_14_q_c_4, B0=>nx4190); REG_14_reg_q_4 : dff port map ( Q=>reg_14_q_c_4, QB=>OPEN, D=>nx4244, CLK =>CLK); ix4245 : xor2 port map ( Y=>nx4244, A0=>nx4200, A1=>nx4242); ix4201 : mux21 port map ( Y=>nx4200, A0=>PRI_IN_7(3), A1=>nx8199, S0=> nx3122); ix4243 : xnor2 port map ( Y=>nx4242, A0=>PRI_IN_7(4), A1=> PRI_OUT_6_4_EXMPLR); REG_23_reg_q_4 : dff port map ( Q=>PRI_OUT_6_4_EXMPLR, QB=>OPEN, D=> nx4234, CLK=>CLK); ix4235 : xor2 port map ( Y=>nx4234, A0=>nx8757, A1=>nx8765); ix8766 : xnor2 port map ( Y=>nx8765, A0=>reg_30_q_c_4, A1=>nx8717); REG_30_reg_q_4 : dff port map ( Q=>reg_30_q_c_4, QB=>OPEN, D=>nx4224, CLK =>CLK); ix4225 : xnor2 port map ( Y=>nx4224, A0=>nx8771, A1=>nx4222); ix8772 : aoi22 port map ( Y=>nx8771, A0=>nx8773, A1=>nx15463, B0=>nx3100, B1=>nx3102); ix8774 : inv02 port map ( Y=>nx8773, A=>PRI_IN_0(3)); ix4191 : nor02 port map ( Y=>nx4190, A0=>C_MUX2_22_SEL, A1=>nx8781); ix8782 : mux21 port map ( Y=>nx8781, A0=>reg_19_q_c_4, A1=>reg_17_q_c_4, S0=>C_MUX2_20_SEL); ix4261 : nor02 port map ( Y=>nx4260, A0=>C_MUX2_9_SEL, A1=>nx8437); REG_16_reg_q_4 : dff port map ( Q=>reg_16_q_c_4, QB=>OPEN, D=>nx4324, CLK =>CLK); ix4325 : xnor2 port map ( Y=>nx4324, A0=>nx8788, A1=>nx4322); ix8789 : mux21 port map ( Y=>nx8788, A0=>nx8329, A1=>nx3200, S0=>nx3202); ix4323 : xnor2 port map ( Y=>nx4322, A0=>reg_20_q_c_4, A1=>nx6453); ix8794 : mux21 port map ( Y=>nx8792, A0=>reg_36_q_c_4, A1=>reg_35_q_c_4, S0=>C_MUX2_11_SEL); REG_36_reg_q_4 : dff port map ( Q=>reg_36_q_c_4, QB=>OPEN, D=>nx4352, CLK =>CLK); ix4353 : xor2 port map ( Y=>nx4352, A0=>nx8799, A1=>nx8801); ix8800 : mux21 port map ( Y=>nx8799, A0=>nx3228, A1=>nx8241, S0=>nx8251); ix8802 : xnor2 port map ( Y=>nx8801, A0=>reg_16_q_c_4, A1=>nx8705); REG_5_reg_q_4 : dff port map ( Q=>reg_5_q_c_4, QB=>nx8806, D=>nx4780, CLK =>CLK); ix8811 : mux21 port map ( Y=>nx8810, A0=>reg_19_q_c_4, A1=>reg_11_q_c_4, S0=>C_MUX2_7_SEL); REG_47_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8835, D=>nx4520, CLK=>CLK ); ix4521 : xnor2 port map ( Y=>nx4520, A0=>nx4488, A1=>nx8821); ix4489 : mux21 port map ( Y=>nx4488, A0=>nx8271, A1=>nx3166, S0=>nx8273); ix8822 : xnor2 port map ( Y=>nx8821, A0=>nx14771, A1=>nx4516); ix4507 : xnor2 port map ( Y=>nx4506, A0=>nx8829, A1=>nx4504); ix8830 : aoi22 port map ( Y=>nx8829, A0=>nx8549, A1=>PRI_IN_1(3), B0=> nx3382, B1=>nx3384); ix4505 : xnor2 port map ( Y=>nx4504, A0=>PRI_IN_1(4), A1=>nx14725); ix4375 : nor02 port map ( Y=>nx4374, A0=>C_MUX2_24_SEL, A1=>nx14821); ix4627 : nor02 port map ( Y=>nx4626, A0=>C_MUX2_13_SEL, A1=>nx8851); ix8852 : mux21 port map ( Y=>nx8851, A0=>reg_13_q_c_4, A1=>reg_11_q_c_4, S0=>C_MUX2_5_SEL); REG_43_reg_q_4 : dff port map ( Q=>reg_43_q_c_4, QB=>OPEN, D=>nx4700, CLK =>CLK); ix4701 : xnor2 port map ( Y=>nx4700, A0=>nx8859, A1=>nx4698); ix8860 : aoi22 port map ( Y=>nx8859, A0=>nx8501, A1=>reg_34_q_c_3, B0=> nx3576, B1=>nx3578); ix8879 : mux21 port map ( Y=>nx8878, A0=>reg_18_q_c_4, A1=>nx4338, S0=> C_MUX2_19_SEL); REG_39_reg_q_4 : dff port map ( Q=>reg_39_q_c_4, QB=>OPEN, D=>nx4994, CLK =>CLK); ix4995 : ao21 port map ( Y=>nx4994, A0=>C_MUX2_10_SEL, A1=>nx4990, B0=> nx4868); ix4991 : xnor2 port map ( Y=>nx4990, A0=>nx8884, A1=>nx4988); ix8885 : aoi22 port map ( Y=>nx8884, A0=>nx3866, A1=>PRI_IN_4(3), B0=> nx3756, B1=>nx3868); ix4989 : xnor2 port map ( Y=>nx4988, A0=>PRI_IN_4(4), A1=>nx8891); ix8892 : mux21 port map ( Y=>nx8891, A0=>PRI_OUT_5_4_EXMPLR, A1=> reg_22_q_c_4, S0=>C_MUX2_25_SEL); REG_21_reg_q_4 : dff port map ( Q=>PRI_OUT_5_4_EXMPLR, QB=>OPEN, D=> nx4972, CLK=>CLK); ix4973 : xor2 port map ( Y=>nx4972, A0=>nx4884, A1=>nx4970); ix4885 : mux21 port map ( Y=>nx4884, A0=>nx8131, A1=>nx8358, S0=>nx3850); ix4971 : xnor2 port map ( Y=>nx4970, A0=>reg_48_q_c_4, A1=>nx8683); REG_48_reg_q_4 : dff port map ( Q=>reg_48_q_c_4, QB=>OPEN, D=>nx4962, CLK =>CLK); ix4963 : mux21 port map ( Y=>nx4962, A0=>nx8903, A1=>nx8911, S0=> C_MUX2_23_SEL); ix8904 : xnor2 port map ( Y=>nx8903, A0=>nx8905, A1=>nx8909); ix8906 : aoi22 port map ( Y=>nx8905, A0=>reg_40_q_c_3, A1=>reg_13_q_c_3, B0=>nx3772, B1=>nx3774); ix8912 : xnor2 port map ( Y=>nx8911, A0=>nx8913, A1=>nx8917); ix8918 : xnor2 port map ( Y=>nx8917, A0=>reg_36_q_c_4, A1=>nx4954); ix4955 : ao21 port map ( Y=>nx4954, A0=>C_MUX2_4_SEL, A1=> PRI_OUT_4_4_EXMPLR, B0=>nx4912); REG_9_reg_q_4 : dff port map ( Q=>PRI_OUT_4_4_EXMPLR, QB=>OPEN, D=>nx4944, CLK=>CLK); ix4945 : xor2 port map ( Y=>nx4944, A0=>nx8922, A1=>nx8925); ix8923 : aoi22 port map ( Y=>nx8922, A0=>reg_46_q_c_3, A1=>reg_22_q_c_3, B0=>nx3800, B1=>nx3822); REG_46_reg_q_4 : dff port map ( Q=>OPEN, QB=>nx8935, D=>nx4934, CLK=>CLK ); ix4935 : xnor2 port map ( Y=>nx4934, A0=>nx8931, A1=>nx4932); ix8932 : aoi22 port map ( Y=>nx8931, A0=>nx8336, A1=>PRI_IN_5(3), B0=> nx3810, B1=>nx3812); ix4933 : xnor2 port map ( Y=>nx4932, A0=>PRI_IN_5(4), A1=>reg_33_q_c_4); ix4913 : nor02 port map ( Y=>nx4912, A0=>C_MUX2_4_SEL, A1=>nx8717); ix4869 : nor02 port map ( Y=>nx4868, A0=>C_MUX2_10_SEL, A1=>nx8943); ix8944 : xor2 port map ( Y=>nx8943, A0=>nx8945, A1=>nx4862); ix8946 : aoi22 port map ( Y=>nx8945, A0=>nx3166, A1=>reg_44_q_c_3, B0=> nx3740, B1=>nx3742); REG_26_reg_q_5 : dff port map ( Q=>PRI_OUT_9(5), QB=>OPEN, D=>nx6124, CLK =>CLK); ix6125 : xor2 port map ( Y=>nx6124, A0=>nx5020, A1=>nx6122); ix5021 : mux21 port map ( Y=>nx5020, A0=>reg_33_q_c_4, A1=>nx8405, S0=> nx5002); REG_33_reg_q_5 : dff port map ( Q=>OPEN, QB=>nx9355, D=>nx5966, CLK=>CLK ); ix5967 : xor2 port map ( Y=>nx5966, A0=>nx5028, A1=>nx5964); ix5029 : oai22 port map ( Y=>nx5028, A0=>nx8412, A1=>nx8959, B0=>nx8878, B1=>nx8877); ix5965 : xnor2 port map ( Y=>nx5964, A0=>reg_17_q_c_5, A1=>nx9353); REG_17_reg_q_5 : dff port map ( Q=>reg_17_q_c_5, QB=>OPEN, D=>nx5948, CLK =>CLK); ix5949 : xor2 port map ( Y=>nx5948, A0=>nx5038, A1=>nx5946); ix5039 : mux21 port map ( Y=>nx5038, A0=>nx14721, A1=>nx8425, S0=>nx4826 ); ix5947 : xnor2 port map ( Y=>nx5946, A0=>reg_29_q_c_5, A1=>nx6467); REG_29_reg_q_5 : dff port map ( Q=>reg_29_q_c_5, QB=>OPEN, D=>nx5938, CLK =>CLK); ix5939 : xor2 port map ( Y=>nx5938, A0=>nx8973, A1=>nx8979); ix8974 : mux21 port map ( Y=>nx8973, A0=>nx3928, A1=>nx8975, S0=>nx8435); ix8980 : xnor2 port map ( Y=>nx8979, A0=>nx8981, A1=>nx5374); ix8982 : mux21 port map ( Y=>nx8981, A0=>reg_20_q_c_5, A1=>nx14727, S0=> C_MUX2_16_SEL); REG_20_reg_q_5 : dff port map ( Q=>reg_20_q_c_5, QB=>nx9349, D=>nx5920, CLK=>CLK); ix5921 : xor2 port map ( Y=>nx5920, A0=>nx5058, A1=>nx5918); ix5059 : mux21 port map ( Y=>nx5058, A0=>nx14721, A1=>nx8443, S0=>nx4798 ); REG_28_reg_q_5 : dff port map ( Q=>reg_28_q_c_5, QB=>nx9347, D=>nx5910, CLK=>CLK); ix5911 : xor2 port map ( Y=>nx5910, A0=>nx5068, A1=>nx5908); ix5069 : oai22 port map ( Y=>nx5068, A0=>nx8451, A1=>nx8993, B0=> PRI_IN_2(4), B1=>nx8806); ix5901 : xor2 port map ( Y=>nx5900, A0=>nx5078, A1=>nx5898); ix5079 : oai22 port map ( Y=>nx5078, A0=>nx8461, A1=>nx9003, B0=> PRI_IN_3(4), B1=>nx8869); ix5899 : xnor2 port map ( Y=>nx5898, A0=>PRI_IN_3(5), A1=>reg_34_q_c_5); REG_34_reg_q_5 : dff port map ( Q=>reg_34_q_c_5, QB=>OPEN, D=>nx5890, CLK =>CLK); ix5891 : xnor2 port map ( Y=>nx5890, A0=>nx5086, A1=>nx9009); ix5087 : oai22 port map ( Y=>nx5086, A0=>nx8471, A1=>nx8475, B0=>nx8784, B1=>nx8868); ix9010 : xnor2 port map ( Y=>nx9009, A0=>reg_18_q_c_5, A1=>reg_19_q_c_5); REG_18_reg_q_5 : dff port map ( Q=>reg_18_q_c_5, QB=>OPEN, D=>nx5880, CLK =>CLK); ix5881 : xor2 port map ( Y=>nx5880, A0=>nx5096, A1=>nx5878); ix5097 : mux21 port map ( Y=>nx5096, A0=>reg_7_q_c_4, A1=>nx8481, S0=> nx4758); ix5871 : xnor2 port map ( Y=>nx5870, A0=>nx5104, A1=>nx9019); ix5105 : oai22 port map ( Y=>nx5104, A0=>nx8489, A1=>nx8493, B0=>nx8867, B1=>nx8877); ix9020 : xnor2 port map ( Y=>nx9019, A0=>nx14727, A1=>reg_42_q_c_5); REG_42_reg_q_5 : dff port map ( Q=>reg_42_q_c_5, QB=>OPEN, D=>nx5860, CLK =>CLK); ix5861 : xor2 port map ( Y=>nx5860, A0=>nx9024, A1=>nx9029); ix9025 : aoi22 port map ( Y=>nx9024, A0=>nx4516, A1=>reg_40_q_c_4, B0=> nx3992, B1=>nx4738); REG_40_reg_q_5 : dff port map ( Q=>reg_40_q_c_5, QB=>nx9341, D=>nx5850, CLK=>CLK); ix5851 : xor2 port map ( Y=>nx5850, A0=>nx5122, A1=>nx5848); ix5123 : mux21 port map ( Y=>nx5122, A0=>reg_5_q_c_4, A1=>nx8509, S0=> nx4728); REG_4_reg_q_5 : dff port map ( Q=>PRI_OUT_3_5_EXMPLR, QB=>nx9339, D=> nx5840, CLK=>CLK); ix5841 : xor2 port map ( Y=>nx5840, A0=>nx5132, A1=>nx5838); ix5133 : mux21 port map ( Y=>nx5132, A0=>PRI_OUT_3_4_EXMPLR, A1=>nx8517, S0=>nx4718); REG_32_reg_q_5 : dff port map ( Q=>reg_32_q_c_5, QB=>OPEN, D=>nx5830, CLK =>CLK); ix5831 : xnor2 port map ( Y=>nx5830, A0=>nx5140, A1=>nx9046); ix5141 : ao21 port map ( Y=>nx5140, A0=>reg_43_q_c_4, A1=> PRI_OUT_8_4_EXMPLR, B0=>nx5138); ix5139 : nor02 port map ( Y=>nx5138, A0=>nx8525, A1=>nx8529); ix9047 : xnor2 port map ( Y=>nx9046, A0=>PRI_OUT_8_5_EXMPLR, A1=> reg_43_q_c_5); REG_24_reg_q_5 : dff port map ( Q=>PRI_OUT_8_5_EXMPLR, QB=>OPEN, D=> nx5800, CLK=>CLK); ix5801 : xnor2 port map ( Y=>nx5800, A0=>nx5148, A1=>nx9053); ix5149 : oai22 port map ( Y=>nx5148, A0=>nx8535, A1=>nx8539, B0=>nx8853, B1=>nx8869); ix9054 : xnor2 port map ( Y=>nx9053, A0=>reg_34_q_c_5, A1=>reg_41_q_c_5); REG_41_reg_q_5 : dff port map ( Q=>reg_41_q_c_5, QB=>OPEN, D=>nx5790, CLK =>CLK); ix5791 : xnor2 port map ( Y=>nx5790, A0=>nx5156, A1=>nx9063); ix5157 : mux21 port map ( Y=>nx5156, A0=>nx8545, A1=>nx9059, S0=>nx8553); ix9064 : xnor2 port map ( Y=>nx9063, A0=>PRI_IN_8(5), A1=>nx15466); ix5777 : xnor2 port map ( Y=>nx5776, A0=>nx5754, A1=>nx9069); ix5755 : mux21 port map ( Y=>nx5754, A0=>nx8561, A1=>nx8840, S0=>nx8565); REG_2_reg_q_5 : dff port map ( Q=>PRI_OUT_1_5_EXMPLR, QB=>OPEN, D=>nx5766, CLK=>CLK); ix5767 : xnor2 port map ( Y=>nx5766, A0=>nx5762, A1=>nx9077); ix5763 : oai22 port map ( Y=>nx5762, A0=>nx8571, A1=>nx8575, B0=>nx8836, B1=>nx8845); REG_35_reg_q_5 : dff port map ( Q=>reg_35_q_c_5, QB=>nx9319, D=>nx5502, CLK=>CLK); ix5503 : xor2 port map ( Y=>nx5502, A0=>nx9082, A1=>nx9085); ix9083 : aoi22 port map ( Y=>nx9082, A0=>nx14723, A1=>reg_37_q_c_4, B0=> nx4370, B1=>nx4380); REG_37_reg_q_5 : dff port map ( Q=>reg_37_q_c_5, QB=>nx9314, D=>nx5690, CLK=>CLK); ix5691 : xnor2 port map ( Y=>nx5690, A0=>nx5190, A1=>nx9093); ix5191 : ao21 port map ( Y=>nx5190, A0=>reg_44_q_c_4, A1=>PRI_IN_6(4), B0 =>nx5188); ix5189 : nor02 port map ( Y=>nx5188, A0=>nx8589, A1=>nx8593); ix9094 : xnor2 port map ( Y=>nx9093, A0=>PRI_IN_6(5), A1=>reg_44_q_c_5); REG_44_reg_q_5 : dff port map ( Q=>reg_44_q_c_5, QB=>OPEN, D=>nx5680, CLK =>CLK); ix5681 : xor2 port map ( Y=>nx5680, A0=>nx5200, A1=>nx5678); ix5201 : mux21 port map ( Y=>nx5200, A0=>reg_27_q_c_4, A1=>nx8599, S0=> nx4558); REG_10_reg_q_5 : dff port map ( Q=>reg_10_q_c_5, QB=>OPEN, D=>nx5670, CLK =>CLK); ix5671 : xnor2 port map ( Y=>nx5670, A0=>nx5208, A1=>nx9105); ix5209 : oai22 port map ( Y=>nx5208, A0=>nx8607, A1=>nx8611, B0=>nx8837, B1=>nx8806); REG_11_reg_q_5 : dff port map ( Q=>reg_11_q_c_5, QB=>OPEN, D=>nx5660, CLK =>CLK); ix5661 : xor2 port map ( Y=>nx5660, A0=>nx9111, A1=>nx9119); ix9112 : aoi22 port map ( Y=>nx9111, A0=>nx6453, A1=>reg_45_q_c_4, B0=> nx4096, B1=>nx4538); REG_45_reg_q_5 : dff port map ( Q=>reg_45_q_c_5, QB=>nx9310, D=>nx5650, CLK=>CLK); ix5651 : xnor2 port map ( Y=>nx5650, A0=>nx5224, A1=>nx9127); ix5225 : oai22 port map ( Y=>nx5224, A0=>nx8629, A1=>nx8633, B0=>nx8835, B1=>nx8814); REG_27_reg_q_5 : dff port map ( Q=>reg_27_q_c_5, QB=>nx9293, D=>nx5592, CLK=>CLK); ix5593 : xor2 port map ( Y=>nx5592, A0=>nx5234, A1=>nx5590); REG_13_reg_q_5 : dff port map ( Q=>reg_13_q_c_5, QB=>nx9162, D=>nx5728, CLK=>CLK); ix5729 : xor2 port map ( Y=>nx5728, A0=>nx5166, A1=>nx5726); ix5167 : mux21 port map ( Y=>nx5166, A0=>reg_35_q_c_4, A1=>nx8647, S0=> nx4606); REG_1_reg_q_5 : dff port map ( Q=>PRI_OUT_0_5_EXMPLR, QB=>OPEN, D=>nx5718, CLK=>CLK); ix5719 : xor2 port map ( Y=>nx5718, A0=>nx5174, A1=>nx5716); ix5175 : oai22 port map ( Y=>nx5174, A0=>nx8655, A1=>nx9145, B0=>nx8663, B1=>nx8853); ix5717 : xnor2 port map ( Y=>nx5716, A0=>reg_41_q_c_5, A1=>nx9149); ix9150 : mux21 port map ( Y=>nx9149, A0=>reg_25_q_c_5, A1=> PRI_OUT_8_5_EXMPLR, S0=>C_MUX2_8_SEL); REG_25_reg_q_5 : dff port map ( Q=>reg_25_q_c_5, QB=>OPEN, D=>nx5700, CLK =>CLK); ix5701 : xor2 port map ( Y=>nx5700, A0=>nx9154, A1=>nx9157); ix9155 : aoi22 port map ( Y=>nx9154, A0=>nx14725, A1=>reg_37_q_c_4, B0=> nx4062, B1=>nx4578); REG_31_reg_q_5 : dff port map ( Q=>reg_31_q_c_5, QB=>nx9291, D=>nx5582, CLK=>CLK); ix5583 : xor2 port map ( Y=>nx5582, A0=>nx5244, A1=>nx5580); ix5245 : mux21 port map ( Y=>nx5244, A0=>nx4450, A1=>nx8679, S0=>nx4460); ix5581 : xnor2 port map ( Y=>nx5580, A0=>nx9169, A1=>nx9289); ix9170 : mux21 port map ( Y=>nx9169, A0=>reg_38_q_c_5, A1=>reg_37_q_c_5, S0=>C_MUX2_3_SEL); REG_38_reg_q_5 : dff port map ( Q=>reg_38_q_c_5, QB=>OPEN, D=>nx5556, CLK =>CLK); ix5557 : xnor2 port map ( Y=>nx5556, A0=>nx9175, A1=>nx5554); ix9176 : mux21 port map ( Y=>nx9175, A0=>nx8808, A1=>nx4134, S0=>nx4434); ix5555 : xnor2 port map ( Y=>nx5554, A0=>reg_22_q_c_5, A1=>nx5400); REG_22_reg_q_5 : dff port map ( Q=>reg_22_q_c_5, QB=>OPEN, D=>nx5546, CLK =>CLK); ix5547 : xor2 port map ( Y=>nx5546, A0=>nx9183, A1=>nx9186); ix9184 : aoi22 port map ( Y=>nx9183, A0=>nx8705, A1=>reg_28_q_c_4, B0=> nx4144, B1=>nx4424); ix9190 : mux21 port map ( Y=>nx9189, A0=>reg_10_q_c_5, A1=>nx6465, S0=> C_MUX2_14_SEL); ix5539 : mux21 port map ( Y=>nx6465, A0=>nx9193, A1=>nx9285, S0=> C_MUX2_21_SEL); ix9194 : mux21 port map ( Y=>nx9193, A0=>PRI_OUT_7_5_EXMPLR, A1=>nx14727, S0=>C_MUX2_17_SEL); REG_6_reg_q_5 : dff port map ( Q=>PRI_OUT_7_5_EXMPLR, QB=>OPEN, D=>nx5516, CLK=>CLK); ix5517 : xnor2 port map ( Y=>nx5516, A0=>nx9197, A1=>nx5514); ix9198 : mux21 port map ( Y=>nx9197, A0=>nx4392, A1=>nx4156, S0=>nx4394); ix5515 : xnor2 port map ( Y=>nx5514, A0=>nx5458, A1=>nx9275); ix5459 : mux21 port map ( Y=>nx5458, A0=>nx9193, A1=>nx9205, S0=> C_MUX2_15_SEL); ix9206 : mux21 port map ( Y=>nx9205, A0=>reg_12_q_c_5, A1=>reg_16_q_c_5, S0=>C_MUX2_2_SEL); ix5289 : oai22 port map ( Y=>nx5288, A0=>nx8721, A1=>nx8725, B0=>nx8784, B1=>nx8785); ix9212 : xnor2 port map ( Y=>nx9211, A0=>nx15464, A1=>reg_19_q_c_5); REG_19_reg_q_5 : dff port map ( Q=>reg_19_q_c_5, QB=>OPEN, D=>nx5410, CLK =>CLK); ix5411 : xor2 port map ( Y=>nx5410, A0=>nx9215, A1=>nx9223); ix9216 : aoi22 port map ( Y=>nx9215, A0=>nx14771, A1=>reg_31_q_c_4, B0=> nx4178, B1=>nx4288); REG_7_reg_q_5 : dff port map ( Q=>OPEN, QB=>nx9229, D=>nx5870, CLK=>CLK); ix9232 : mux21 port map ( Y=>nx9231, A0=>nx14727, A1=>reg_5_q_c_5, S0=> C_MUX2_18_SEL); ix5375 : ao21 port map ( Y=>nx5374, A0=>C_MUX2_22_SEL, A1=>reg_14_q_c_5, B0=>nx5310); REG_14_reg_q_5 : dff port map ( Q=>reg_14_q_c_5, QB=>OPEN, D=>nx5364, CLK =>CLK); ix5365 : xnor2 port map ( Y=>nx5364, A0=>nx9237, A1=>nx5362); ix9238 : aoi22 port map ( Y=>nx9237, A0=>nx9239, A1=>PRI_OUT_6_4_EXMPLR, B0=>nx4200, B1=>nx4242); ix9240 : inv02 port map ( Y=>nx9239, A=>PRI_IN_7(4)); ix5363 : xnor2 port map ( Y=>nx5362, A0=>PRI_IN_7(5), A1=> PRI_OUT_6_5_EXMPLR); REG_23_reg_q_5 : dff port map ( Q=>PRI_OUT_6_5_EXMPLR, QB=>OPEN, D=> nx5354, CLK=>CLK); ix5355 : xnor2 port map ( Y=>nx5354, A0=>nx5330, A1=>nx9247); ix5331 : ao21 port map ( Y=>nx5330, A0=>nx8717, A1=>reg_30_q_c_4, B0=> nx5328); ix5329 : nor02 port map ( Y=>nx5328, A0=>nx15651, A1=>nx8765); ix9248 : xnor2 port map ( Y=>nx9247, A0=>reg_30_q_c_5, A1=>nx9205); REG_30_reg_q_5 : dff port map ( Q=>reg_30_q_c_5, QB=>OPEN, D=>nx5344, CLK =>CLK); ix5345 : xor2 port map ( Y=>nx5344, A0=>nx5340, A1=>nx5342); ix5341 : oai22 port map ( Y=>nx5340, A0=>nx8771, A1=>nx9254, B0=> PRI_IN_0(4), B1=>nx8785); ix5343 : xnor2 port map ( Y=>nx5342, A0=>PRI_IN_0(5), A1=>nx15464); ix5311 : nor02 port map ( Y=>nx5310, A0=>C_MUX2_22_SEL, A1=>nx9263); ix9264 : mux21 port map ( Y=>nx9263, A0=>reg_19_q_c_5, A1=>nx14727, S0=> C_MUX2_20_SEL); ix5381 : nor02 port map ( Y=>nx5380, A0=>C_MUX2_9_SEL, A1=>nx8981); REG_16_reg_q_5 : dff port map ( Q=>reg_16_q_c_5, QB=>nx9274, D=>nx5444, CLK=>CLK); ix5445 : xor2 port map ( Y=>nx5444, A0=>nx5440, A1=>nx5442); ix5441 : mux21 port map ( Y=>nx5440, A0=>reg_20_q_c_4, A1=>nx8788, S0=> nx4322); ix9276 : mux21 port map ( Y=>nx9275, A0=>reg_36_q_c_5, A1=>reg_35_q_c_5, S0=>C_MUX2_11_SEL); REG_36_reg_q_5 : dff port map ( Q=>reg_36_q_c_5, QB=>OPEN, D=>nx5472, CLK =>CLK); ix5473 : xnor2 port map ( Y=>nx5472, A0=>nx5468, A1=>nx9281); ix5469 : mux21 port map ( Y=>nx5468, A0=>nx8799, A1=>reg_16_q_c_4, S0=> nx8801); REG_5_reg_q_5 : dff port map ( Q=>reg_5_q_c_5, QB=>nx9285, D=>nx5900, CLK =>CLK); ix9290 : mux21 port map ( Y=>nx9289, A0=>reg_19_q_c_5, A1=>reg_11_q_c_5, S0=>C_MUX2_7_SEL); REG_47_reg_q_5 : dff port map ( Q=>reg_47_q_c_5, QB=>OPEN, D=>nx5640, CLK =>CLK); ix5641 : xor2 port map ( Y=>nx5640, A0=>nx9297, A1=>nx9299); ix9298 : mux21 port map ( Y=>nx9297, A0=>nx4488, A1=>nx14771, S0=>nx8821 ); ix9300 : xnor2 port map ( Y=>nx9299, A0=>nx9225, A1=>nx15465); ix5627 : xor2 port map ( Y=>nx5626, A0=>nx5622, A1=>nx5624); ix5623 : mux21 port map ( Y=>nx5622, A0=>nx6457, A1=>nx8829, S0=>nx4504); ix5625 : xnor2 port map ( Y=>nx5624, A0=>PRI_IN_1(5), A1=>nx15466); ix5499 : ao21 port map ( Y=>nx6467, A0=>C_MUX2_24_SEL, A1=>reg_27_q_c_5, B0=>nx5494); ix5495 : nor02 port map ( Y=>nx5494, A0=>C_MUX2_24_SEL, A1=>nx9317); ix9326 : mux21 port map ( Y=>nx9325, A0=>reg_13_q_c_5, A1=>reg_11_q_c_5, S0=>C_MUX2_5_SEL); REG_43_reg_q_5 : dff port map ( Q=>reg_43_q_c_5, QB=>OPEN, D=>nx5820, CLK =>CLK); ix5821 : xor2 port map ( Y=>nx5820, A0=>nx5816, A1=>nx5818); ix5817 : oai22 port map ( Y=>nx5816, A0=>nx8859, A1=>nx9332, B0=>nx4516, B1=>nx8869); ix5819 : xnor2 port map ( Y=>nx5818, A0=>reg_34_q_c_5, A1=>nx15465); ix9354 : mux21 port map ( Y=>nx9353, A0=>reg_18_q_c_5, A1=>nx5458, S0=> C_MUX2_19_SEL); REG_39_reg_q_5 : dff port map ( Q=>reg_39_q_c_5, QB=>OPEN, D=>nx6114, CLK =>CLK); ix6115 : mux21 port map ( Y=>nx6114, A0=>nx9359, A1=>nx9367, S0=> C_MUX2_10_SEL); ix9360 : xnor2 port map ( Y=>nx9359, A0=>nx5980, A1=>nx5982); ix5981 : oai22 port map ( Y=>nx5980, A0=>nx8945, A1=>nx9363, B0=>nx14773, B1=>nx8839); ix5983 : xnor2 port map ( Y=>nx5982, A0=>reg_44_q_c_5, A1=> nx9225_XX0_XREP83); ix9368 : xnor2 port map ( Y=>nx9367, A0=>nx5996, A1=>nx6108); ix5997 : mux21 port map ( Y=>nx5996, A0=>nx8891, A1=>nx8884, S0=>nx4988); ix6109 : xnor2 port map ( Y=>nx6108, A0=>PRI_IN_4(5), A1=>nx9373); ix9374 : mux21 port map ( Y=>nx9373, A0=>PRI_OUT_5_5_EXMPLR, A1=> reg_22_q_c_5, S0=>C_MUX2_25_SEL); REG_21_reg_q_5 : dff port map ( Q=>PRI_OUT_5_5_EXMPLR, QB=>OPEN, D=> nx6092, CLK=>CLK); ix6093 : xnor2 port map ( Y=>nx6092, A0=>nx9379, A1=>nx6090); ix9380 : aoi22 port map ( Y=>nx9379, A0=>nx4450, A1=>reg_48_q_c_4, B0=> nx4884, B1=>nx4970); ix6091 : xnor2 port map ( Y=>nx6090, A0=>reg_48_q_c_5, A1=>nx9169); REG_48_reg_q_5 : dff port map ( Q=>reg_48_q_c_5, QB=>OPEN, D=>nx6082, CLK =>CLK); ix6083 : ao21 port map ( Y=>nx6082, A0=>C_MUX2_23_SEL, A1=>nx6078, B0=> nx6020); ix6079 : xnor2 port map ( Y=>nx6078, A0=>nx6028, A1=>nx9393); ix6029 : ao21 port map ( Y=>nx6028, A0=>nx4954, A1=>reg_36_q_c_4, B0=> nx6026); ix9394 : xnor2 port map ( Y=>nx9393, A0=>reg_36_q_c_5, A1=>nx6074); ix6075 : ao21 port map ( Y=>nx6074, A0=>C_MUX2_4_SEL, A1=> PRI_OUT_4_5_EXMPLR, B0=>nx6032); REG_9_reg_q_5 : dff port map ( Q=>PRI_OUT_4_5_EXMPLR, QB=>OPEN, D=>nx6064, CLK=>CLK); ix6065 : xnor2 port map ( Y=>nx6064, A0=>nx6040, A1=>nx9403); ix6041 : oai22 port map ( Y=>nx6040, A0=>nx8922, A1=>nx8925, B0=>nx8935, B1=>nx8808); ix9404 : xnor2 port map ( Y=>nx9403, A0=>reg_22_q_c_5, A1=>reg_46_q_c_5); REG_46_reg_q_5 : dff port map ( Q=>reg_46_q_c_5, QB=>OPEN, D=>nx6054, CLK =>CLK); ix6055 : xor2 port map ( Y=>nx6054, A0=>nx6050, A1=>nx6052); ix6051 : mux21 port map ( Y=>nx6050, A0=>reg_33_q_c_4, A1=>nx8931, S0=> nx4932); ix6033 : nor02 port map ( Y=>nx6032, A0=>C_MUX2_4_SEL, A1=>nx9205); ix6021 : nor02 port map ( Y=>nx6020, A0=>C_MUX2_23_SEL, A1=>nx9418); ix9419 : xor2 port map ( Y=>nx9418, A0=>nx6012, A1=>nx9421); ix6013 : oai22 port map ( Y=>nx6012, A0=>nx8905, A1=>nx8909, B0=>nx8866, B1=>nx8675); REG_26_reg_q_6 : dff port map ( Q=>PRI_OUT_9(6), QB=>OPEN, D=>nx7244, CLK =>CLK); ix7245 : xnor2 port map ( Y=>nx7244, A0=>nx9430, A1=>nx7242); ix9431 : aoi22 port map ( Y=>nx9430, A0=>nx9355, A1=>reg_39_q_c_5, B0=> nx5020, B1=>nx6122); ix7243 : xnor2 port map ( Y=>nx7242, A0=>reg_33_q_c_6, A1=>reg_39_q_c_6); REG_33_reg_q_6 : dff port map ( Q=>reg_33_q_c_6, QB=>OPEN, D=>nx7086, CLK =>CLK); ix7087 : xnor2 port map ( Y=>nx7086, A0=>nx9437, A1=>nx7084); ix9438 : aoi22 port map ( Y=>nx9437, A0=>nx5962, A1=>reg_17_q_c_5, B0=> nx5028, B1=>nx5964); REG_17_reg_q_6 : dff port map ( Q=>reg_17_q_c_6, QB=>nx9905, D=>nx7068, CLK=>CLK); ix7069 : xnor2 port map ( Y=>nx7068, A0=>nx9447, A1=>nx7066); ix9448 : aoi22 port map ( Y=>nx9447, A0=>nx9317, A1=>reg_29_q_c_5, B0=> nx5038, B1=>nx5946); REG_29_reg_q_6 : dff port map ( Q=>reg_29_q_c_6, QB=>OPEN, D=>nx7058, CLK =>CLK); ix7059 : xnor2 port map ( Y=>nx7058, A0=>nx6168, A1=>nx9455); ix6169 : mux21 port map ( Y=>nx6168, A0=>nx8973, A1=>nx5374, S0=>nx8979); ix9456 : xnor2 port map ( Y=>nx9455, A0=>nx9457, A1=>nx6494); ix9458 : mux21 port map ( Y=>nx9457, A0=>reg_20_q_c_6, A1=>reg_17_q_c_6, S0=>C_MUX2_16_SEL); REG_20_reg_q_6 : dff port map ( Q=>reg_20_q_c_6, QB=>OPEN, D=>nx7040, CLK =>CLK); ix7041 : xnor2 port map ( Y=>nx7040, A0=>nx9461, A1=>nx7038); ix9462 : aoi22 port map ( Y=>nx9461, A0=>nx9317, A1=>reg_28_q_c_5, B0=> nx5058, B1=>nx5918); REG_28_reg_q_6 : dff port map ( Q=>reg_28_q_c_6, QB=>OPEN, D=>nx7030, CLK =>CLK); ix7031 : xnor2 port map ( Y=>nx7030, A0=>nx9469, A1=>nx7028); ix9470 : aoi22 port map ( Y=>nx9469, A0=>nx9471, A1=>reg_5_q_c_5, B0=> nx5068, B1=>nx5908); ix9472 : inv02 port map ( Y=>nx9471, A=>PRI_IN_2(5)); ix7021 : xnor2 port map ( Y=>nx7020, A0=>nx9478, A1=>nx7018); ix9479 : aoi22 port map ( Y=>nx9478, A0=>nx9480, A1=>reg_34_q_c_5, B0=> nx5078, B1=>nx5898); ix9481 : inv02 port map ( Y=>nx9480, A=>PRI_IN_3(5)); REG_34_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9901, D=>nx7010, CLK=>CLK ); ix7011 : xor2 port map ( Y=>nx7010, A0=>nx9487, A1=>nx9490); ix9488 : aoi22 port map ( Y=>nx9487, A0=>reg_19_q_c_5, A1=>reg_18_q_c_5, B0=>nx5086, B1=>nx5888); REG_18_reg_q_6 : dff port map ( Q=>reg_18_q_c_6, QB=>nx9899, D=>nx7000, CLK=>CLK); ix7001 : xnor2 port map ( Y=>nx7000, A0=>nx9495, A1=>nx6998); ix9496 : aoi22 port map ( Y=>nx9495, A0=>nx9229, A1=>PRI_IN_9(5), B0=> nx5096, B1=>nx5878); ix6991 : xor2 port map ( Y=>nx6990, A0=>nx9503, A1=>nx9507); ix9504 : aoi22 port map ( Y=>nx9503, A0=>reg_42_q_c_5, A1=>reg_17_q_c_5, B0=>nx5104, B1=>nx5868); REG_42_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9897, D=>nx6980, CLK=>CLK ); ix6981 : xnor2 port map ( Y=>nx6980, A0=>nx6232, A1=>nx9517); ix6233 : oai22 port map ( Y=>nx6232, A0=>nx9024, A1=>nx9029, B0=>nx9515, B1=>nx9341); REG_40_reg_q_6 : dff port map ( Q=>reg_40_q_c_6, QB=>nx9896, D=>nx6970, CLK=>CLK); ix6971 : xnor2 port map ( Y=>nx6970, A0=>nx9523, A1=>nx6968); ix9524 : aoi22 port map ( Y=>nx9523, A0=>nx9285, A1=>PRI_OUT_3_5_EXMPLR, B0=>nx5122, B1=>nx5848); REG_4_reg_q_6 : dff port map ( Q=>PRI_OUT_3_6_EXMPLR, QB=>OPEN, D=>nx6960, CLK=>CLK); ix6961 : xnor2 port map ( Y=>nx6960, A0=>nx9531, A1=>nx6958); ix9532 : aoi22 port map ( Y=>nx9531, A0=>nx9339, A1=>reg_32_q_c_5, B0=> nx5132, B1=>nx5838); ix6959 : xnor2 port map ( Y=>nx6958, A0=>PRI_OUT_3_6_EXMPLR, A1=> reg_32_q_c_6); REG_32_reg_q_6 : dff port map ( Q=>reg_32_q_c_6, QB=>OPEN, D=>nx6950, CLK =>CLK); ix6951 : xor2 port map ( Y=>nx6950, A0=>nx9539, A1=>nx9543); ix9540 : aoi22 port map ( Y=>nx9539, A0=>reg_43_q_c_5, A1=> PRI_OUT_8_5_EXMPLR, B0=>nx5140, B1=>nx5828); ix9544 : xnor2 port map ( Y=>nx9543, A0=>PRI_OUT_8_6_EXMPLR, A1=> reg_43_q_c_6); REG_24_reg_q_6 : dff port map ( Q=>PRI_OUT_8_6_EXMPLR, QB=>OPEN, D=> nx6920, CLK=>CLK); ix6921 : xor2 port map ( Y=>nx6920, A0=>nx9549, A1=>nx9553); ix9550 : aoi22 port map ( Y=>nx9549, A0=>reg_41_q_c_5, A1=>reg_34_q_c_5, B0=>nx5148, B1=>nx5798); REG_41_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9883, D=>nx6910, CLK=>CLK ); ix6911 : xor2 port map ( Y=>nx6910, A0=>nx9559, A1=>nx9567); ix9560 : aoi22 port map ( Y=>nx9559, A0=>nx15466, A1=>PRI_IN_8(5), B0=> nx5156, B1=>nx5788); ix9568 : xnor2 port map ( Y=>nx9567, A0=>PRI_IN_8(6), A1=>nx14733); ix6907 : ao21 port map ( Y=>nx6477, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_6, B0=>nx6866); REG_8_reg_q_6 : dff port map ( Q=>reg_8_q_c_6, QB=>OPEN, D=>nx6896, CLK=> CLK); ix6897 : xor2 port map ( Y=>nx6896, A0=>nx9575, A1=>nx9579); ix9576 : aoi22 port map ( Y=>nx9575, A0=>reg_37_q_c_5, A1=> PRI_OUT_1_5_EXMPLR, B0=>nx5754, B1=>nx5774); REG_2_reg_q_6 : dff port map ( Q=>PRI_OUT_1_6_EXMPLR, QB=>OPEN, D=>nx6886, CLK=>CLK); ix6887 : xor2 port map ( Y=>nx6886, A0=>nx9585, A1=>nx9589); ix9586 : aoi22 port map ( Y=>nx9585, A0=>reg_45_q_c_5, A1=>reg_35_q_c_5, B0=>nx5762, B1=>nx5764); REG_35_reg_q_6 : dff port map ( Q=>reg_35_q_c_6, QB=>nx9875, D=>nx6622, CLK=>CLK); ix6623 : xnor2 port map ( Y=>nx6622, A0=>nx6610, A1=>nx9597); ix6611 : oai22 port map ( Y=>nx6610, A0=>nx9082, A1=>nx9085, B0=>nx9317, B1=>nx9314); REG_37_reg_q_6 : dff port map ( Q=>reg_37_q_c_6, QB=>nx9869, D=>nx6810, CLK=>CLK); ix6811 : xor2 port map ( Y=>nx6810, A0=>nx9603, A1=>nx9607); REG_44_reg_q_6 : dff port map ( Q=>reg_44_q_c_6, QB=>nx9867, D=>nx6800, CLK=>CLK); ix6801 : xnor2 port map ( Y=>nx6800, A0=>nx9613, A1=>nx6798); ix9614 : aoi22 port map ( Y=>nx9613, A0=>nx9293, A1=>reg_10_q_c_5, B0=> nx5200, B1=>nx5678); REG_10_reg_q_6 : dff port map ( Q=>reg_10_q_c_6, QB=>OPEN, D=>nx6790, CLK =>CLK); ix6791 : xor2 port map ( Y=>nx6790, A0=>nx9621, A1=>nx9625); ix9622 : aoi22 port map ( Y=>nx9621, A0=>reg_11_q_c_5, A1=>reg_5_q_c_5, B0=>nx5208, B1=>nx5668); REG_11_reg_q_6 : dff port map ( Q=>reg_11_q_c_6, QB=>nx9865, D=>nx6780, CLK=>CLK); ix6781 : xnor2 port map ( Y=>nx6780, A0=>nx6336, A1=>nx9637); ix6337 : oai22 port map ( Y=>nx6336, A0=>nx9111, A1=>nx9119, B0=>nx9633, B1=>nx9310); REG_45_reg_q_6 : dff port map ( Q=>reg_45_q_c_6, QB=>nx9863, D=>nx6770, CLK=>CLK); ix6771 : xor2 port map ( Y=>nx6770, A0=>nx9643, A1=>nx9647); ix9644 : aoi22 port map ( Y=>nx9643, A0=>reg_47_q_c_5, A1=>reg_27_q_c_5, B0=>nx5224, B1=>nx5648); REG_27_reg_q_6 : dff port map ( Q=>reg_27_q_c_6, QB=>nx9845, D=>nx6712, CLK=>CLK); ix6713 : xnor2 port map ( Y=>nx6712, A0=>nx9653, A1=>nx6710); ix9654 : aoi22 port map ( Y=>nx9653, A0=>nx9162, A1=>reg_31_q_c_5, B0=> nx5234, B1=>nx5590); REG_13_reg_q_6 : dff port map ( Q=>reg_13_q_c_6, QB=>nx9690, D=>nx6848, CLK=>CLK); ix6849 : xnor2 port map ( Y=>nx6848, A0=>nx9661, A1=>nx6846); ix9662 : aoi22 port map ( Y=>nx9661, A0=>nx9319, A1=>PRI_OUT_0_5_EXMPLR, B0=>nx5166, B1=>nx5726); REG_1_reg_q_6 : dff port map ( Q=>PRI_OUT_0_6_EXMPLR, QB=>OPEN, D=>nx6838, CLK=>CLK); ix6839 : xnor2 port map ( Y=>nx6838, A0=>nx9669, A1=>nx6836); ix9670 : aoi22 port map ( Y=>nx9669, A0=>nx5714, A1=>reg_41_q_c_5, B0=> nx5174, B1=>nx5716); ix9678 : mux21 port map ( Y=>nx9677, A0=>reg_25_q_c_6, A1=> PRI_OUT_8_6_EXMPLR, S0=>C_MUX2_8_SEL); REG_25_reg_q_6 : dff port map ( Q=>reg_25_q_c_6, QB=>OPEN, D=>nx6820, CLK =>CLK); ix6821 : xnor2 port map ( Y=>nx6820, A0=>nx6302, A1=>nx9685); ix6303 : oai22 port map ( Y=>nx6302, A0=>nx9154, A1=>nx9157, B0=>nx9563, B1=>nx9314); REG_31_reg_q_6 : dff port map ( Q=>reg_31_q_c_6, QB=>nx9843, D=>nx6702, CLK=>CLK); ix6703 : xnor2 port map ( Y=>nx6702, A0=>nx9695, A1=>nx6700); ix9696 : mux21 port map ( Y=>nx9695, A0=>nx9169, A1=>nx5244, S0=>nx5580); ix6701 : xnor2 port map ( Y=>nx6700, A0=>nx9699, A1=>nx9840); ix9700 : mux21 port map ( Y=>nx9699, A0=>reg_38_q_c_6, A1=>reg_37_q_c_6, S0=>C_MUX2_3_SEL); REG_38_reg_q_6 : dff port map ( Q=>reg_38_q_c_6, QB=>OPEN, D=>nx6676, CLK =>CLK); ix6677 : xor2 port map ( Y=>nx6676, A0=>nx6374, A1=>nx6674); ix6375 : mux21 port map ( Y=>nx6374, A0=>reg_22_q_c_5, A1=>nx9175, S0=> nx5554); REG_22_reg_q_6 : dff port map ( Q=>reg_22_q_c_6, QB=>nx9838, D=>nx6666, CLK=>CLK); ix6667 : xnor2 port map ( Y=>nx6666, A0=>nx6384, A1=>nx9719); ix6385 : oai22 port map ( Y=>nx6384, A0=>nx9183, A1=>nx9186, B0=>nx6466, B1=>nx9347); ix9720 : xnor2 port map ( Y=>nx9719, A0=>reg_28_q_c_6, A1=>nx9721); ix9722 : mux21 port map ( Y=>nx9721, A0=>reg_10_q_c_6, A1=>nx6473, S0=> C_MUX2_14_SEL); ix6659 : mux21 port map ( Y=>nx6473, A0=>nx9725, A1=>nx9836, S0=> C_MUX2_21_SEL); ix9726 : mux21 port map ( Y=>nx9725, A0=>PRI_OUT_7_6_EXMPLR, A1=> reg_17_q_c_6, S0=>C_MUX2_17_SEL); REG_6_reg_q_6 : dff port map ( Q=>PRI_OUT_7_6_EXMPLR, QB=>OPEN, D=>nx6636, CLK=>CLK); ix6637 : xor2 port map ( Y=>nx6636, A0=>nx6396, A1=>nx6634); ix6397 : mux21 port map ( Y=>nx6396, A0=>nx9275, A1=>nx9197, S0=>nx5514); ix6635 : xnor2 port map ( Y=>nx6634, A0=>nx6578, A1=>nx9823); ix6579 : mux21 port map ( Y=>nx6578, A0=>nx9725, A1=>nx9737, S0=> C_MUX2_15_SEL); REG_12_reg_q_6 : dff port map ( Q=>reg_12_q_c_6, QB=>nx9812, D=>nx6540, CLK=>CLK); ix6541 : xor2 port map ( Y=>nx6540, A0=>nx9743, A1=>nx9747); ix9744 : aoi22 port map ( Y=>nx9743, A0=>reg_19_q_c_5, A1=>nx15464, B0=> nx5288, B1=>nx5418); REG_19_reg_q_6 : dff port map ( Q=>reg_19_q_c_6, QB=>nx9811, D=>nx6530, CLK=>CLK); ix6531 : xnor2 port map ( Y=>nx6530, A0=>nx6418, A1=>nx9761); ix6419 : oai22 port map ( Y=>nx6418, A0=>nx9215, A1=>nx9223, B0=>nx5406, B1=>nx9291); ix6521 : mux21 port map ( Y=>nx6520, A0=>nx9767, A1=>nx9769, S0=> C_MUX2_6_SEL); REG_7_reg_q_6 : dff port map ( Q=>reg_7_q_c_6, QB=>nx9767, D=>nx6990, CLK =>CLK); ix9770 : mux21 port map ( Y=>nx9769, A0=>reg_17_q_c_6, A1=>reg_5_q_c_6, S0=>C_MUX2_18_SEL); ix6503 : ao21 port map ( Y=>nx6502, A0=>C_MUX2_9_SEL, A1=>nx6494, B0=> nx6500); ix6495 : ao21 port map ( Y=>nx6494, A0=>C_MUX2_22_SEL, A1=>reg_14_q_c_6, B0=>nx6430); REG_14_reg_q_6 : dff port map ( Q=>reg_14_q_c_6, QB=>OPEN, D=>nx6484, CLK =>CLK); ix6485 : xor2 port map ( Y=>nx6484, A0=>nx6440, A1=>nx6482); ix6441 : mux21 port map ( Y=>nx6440, A0=>PRI_IN_7(5), A1=>nx9237, S0=> nx5362); ix6483 : xnor2 port map ( Y=>nx6482, A0=>PRI_IN_7(6), A1=> PRI_OUT_6_6_EXMPLR); REG_23_reg_q_6 : dff port map ( Q=>PRI_OUT_6_6_EXMPLR, QB=>OPEN, D=> nx6474, CLK=>CLK); ix6475 : xor2 port map ( Y=>nx6474, A0=>nx9785, A1=>nx9791); ix9786 : aoi22 port map ( Y=>nx9785, A0=>nx9205, A1=>reg_30_q_c_5, B0=> nx5330, B1=>nx5352); REG_30_reg_q_6 : dff port map ( Q=>reg_30_q_c_6, QB=>OPEN, D=>nx6464, CLK =>CLK); ix6465 : xnor2 port map ( Y=>nx6464, A0=>nx9797, A1=>nx6462); ix9798 : aoi22 port map ( Y=>nx9797, A0=>nx9799, A1=>nx15464, B0=>nx5340, B1=>nx5342); ix9800 : inv02 port map ( Y=>nx9799, A=>PRI_IN_0(5)); ix6431 : nor02 port map ( Y=>nx6430, A0=>C_MUX2_22_SEL, A1=>nx9807); ix9808 : mux21 port map ( Y=>nx9807, A0=>reg_19_q_c_6, A1=>reg_17_q_c_6, S0=>C_MUX2_20_SEL); ix6501 : nor02 port map ( Y=>nx6500, A0=>C_MUX2_9_SEL, A1=>nx9457); REG_16_reg_q_6 : dff port map ( Q=>reg_16_q_c_6, QB=>OPEN, D=>nx6564, CLK =>CLK); ix6565 : xnor2 port map ( Y=>nx6564, A0=>nx9817, A1=>nx6562); ix9818 : mux21 port map ( Y=>nx9817, A0=>nx9349, A1=>nx5440, S0=>nx5442); ix6563 : xnor2 port map ( Y=>nx6562, A0=>reg_20_q_c_6, A1=>nx6473); ix9824 : mux21 port map ( Y=>nx9823, A0=>reg_36_q_c_6, A1=>reg_35_q_c_6, S0=>C_MUX2_11_SEL); REG_36_reg_q_6 : dff port map ( Q=>reg_36_q_c_6, QB=>OPEN, D=>nx6592, CLK =>CLK); ix6593 : xor2 port map ( Y=>nx6592, A0=>nx9828, A1=>nx9830); ix9829 : mux21 port map ( Y=>nx9828, A0=>nx5468, A1=>nx9274, S0=>nx9281); ix9831 : xnor2 port map ( Y=>nx9830, A0=>reg_16_q_c_6, A1=>nx9721); REG_5_reg_q_6 : dff port map ( Q=>reg_5_q_c_6, QB=>nx9836, D=>nx7020, CLK =>CLK); ix9841 : mux21 port map ( Y=>nx9840, A0=>reg_19_q_c_6, A1=>reg_11_q_c_6, S0=>C_MUX2_7_SEL); REG_47_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9861, D=>nx6760, CLK=>CLK ); ix6761 : xnor2 port map ( Y=>nx6760, A0=>nx6728, A1=>nx9849); ix6729 : mux21 port map ( Y=>nx6728, A0=>nx9297, A1=>nx5406, S0=>nx9299); ix9850 : xnor2 port map ( Y=>nx9849, A0=>nx14775, A1=>nx6756); ix6747 : xnor2 port map ( Y=>nx6746, A0=>nx9855, A1=>nx6744); ix9856 : aoi22 port map ( Y=>nx9855, A0=>nx9563, A1=>PRI_IN_1(5), B0=> nx5622, B1=>nx5624); ix6745 : xnor2 port map ( Y=>nx6744, A0=>PRI_IN_1(6), A1=>nx14733); ix6615 : nor02 port map ( Y=>nx6614, A0=>C_MUX2_24_SEL, A1=>nx14823); ix6867 : nor02 port map ( Y=>nx6866, A0=>C_MUX2_13_SEL, A1=>nx9881); ix9882 : mux21 port map ( Y=>nx9881, A0=>reg_13_q_c_6, A1=>reg_11_q_c_6, S0=>C_MUX2_5_SEL); REG_43_reg_q_6 : dff port map ( Q=>reg_43_q_c_6, QB=>OPEN, D=>nx6940, CLK =>CLK); ix6941 : xnor2 port map ( Y=>nx6940, A0=>nx9889, A1=>nx6938); ix9890 : aoi22 port map ( Y=>nx9889, A0=>nx9515, A1=>reg_34_q_c_5, B0=> nx5816, B1=>nx5818); ix9907 : mux21 port map ( Y=>nx9906, A0=>reg_18_q_c_6, A1=>nx6578, S0=> C_MUX2_19_SEL); REG_39_reg_q_6 : dff port map ( Q=>reg_39_q_c_6, QB=>OPEN, D=>nx7234, CLK =>CLK); ix7235 : ao21 port map ( Y=>nx7234, A0=>C_MUX2_10_SEL, A1=>nx7230, B0=> nx7108); ix7231 : xnor2 port map ( Y=>nx7230, A0=>nx9913, A1=>nx7228); ix9914 : aoi22 port map ( Y=>nx9913, A0=>nx6106, A1=>PRI_IN_4(5), B0=> nx5996, B1=>nx6108); ix7229 : xnor2 port map ( Y=>nx7228, A0=>PRI_IN_4(6), A1=>nx9921); ix9922 : mux21 port map ( Y=>nx9921, A0=>PRI_OUT_5_6_EXMPLR, A1=> reg_22_q_c_6, S0=>C_MUX2_25_SEL); REG_21_reg_q_6 : dff port map ( Q=>PRI_OUT_5_6_EXMPLR, QB=>OPEN, D=> nx7212, CLK=>CLK); ix7213 : xor2 port map ( Y=>nx7212, A0=>nx7124, A1=>nx7210); ix7125 : mux21 port map ( Y=>nx7124, A0=>nx9169, A1=>nx9379, S0=>nx6090); ix7211 : xnor2 port map ( Y=>nx7210, A0=>reg_48_q_c_6, A1=>nx9699); REG_48_reg_q_6 : dff port map ( Q=>reg_48_q_c_6, QB=>OPEN, D=>nx7202, CLK =>CLK); ix7203 : mux21 port map ( Y=>nx7202, A0=>nx9931, A1=>nx9939, S0=> C_MUX2_23_SEL); ix9932 : xnor2 port map ( Y=>nx9931, A0=>nx9933, A1=>nx9937); ix9934 : aoi22 port map ( Y=>nx9933, A0=>reg_40_q_c_5, A1=>reg_13_q_c_5, B0=>nx6012, B1=>nx6014); ix9940 : xnor2 port map ( Y=>nx9939, A0=>nx9941, A1=>nx9945); ix9946 : xnor2 port map ( Y=>nx9945, A0=>reg_36_q_c_6, A1=>nx7194); ix7195 : ao21 port map ( Y=>nx7194, A0=>C_MUX2_4_SEL, A1=> PRI_OUT_4_6_EXMPLR, B0=>nx7152); REG_9_reg_q_6 : dff port map ( Q=>PRI_OUT_4_6_EXMPLR, QB=>OPEN, D=>nx7184, CLK=>CLK); ix7185 : xor2 port map ( Y=>nx7184, A0=>nx9953, A1=>nx9956); ix9954 : aoi22 port map ( Y=>nx9953, A0=>reg_46_q_c_5, A1=>reg_22_q_c_5, B0=>nx6040, B1=>nx6062); REG_46_reg_q_6 : dff port map ( Q=>OPEN, QB=>nx9963, D=>nx7174, CLK=>CLK ); ix7175 : xnor2 port map ( Y=>nx7174, A0=>nx9960, A1=>nx7172); ix9961 : aoi22 port map ( Y=>nx9960, A0=>nx9355, A1=>PRI_IN_5(5), B0=> nx6050, B1=>nx6052); ix7173 : xnor2 port map ( Y=>nx7172, A0=>PRI_IN_5(6), A1=>reg_33_q_c_6); ix7153 : nor02 port map ( Y=>nx7152, A0=>C_MUX2_4_SEL, A1=>nx9737); ix7109 : nor02 port map ( Y=>nx7108, A0=>C_MUX2_10_SEL, A1=>nx9971); ix9972 : xor2 port map ( Y=>nx9971, A0=>nx9973, A1=>nx7102); ix9974 : aoi22 port map ( Y=>nx9973, A0=>nx5406, A1=>reg_44_q_c_5, B0=> nx5980, B1=>nx5982); REG_26_reg_q_7 : dff port map ( Q=>PRI_OUT_9(7), QB=>OPEN, D=>nx8364, CLK =>CLK); ix8365 : xor2 port map ( Y=>nx8364, A0=>nx7260, A1=>nx8362); ix7261 : mux21 port map ( Y=>nx7260, A0=>reg_33_q_c_6, A1=>nx9430, S0=> nx7242); REG_33_reg_q_7 : dff port map ( Q=>OPEN, QB=>nx10384, D=>nx8206, CLK=>CLK ); ix8207 : xor2 port map ( Y=>nx8206, A0=>nx7268, A1=>nx8204); ix7269 : oai22 port map ( Y=>nx7268, A0=>nx9437, A1=>nx9989, B0=>nx9906, B1=>nx9905); ix8205 : xnor2 port map ( Y=>nx8204, A0=>reg_17_q_c_7, A1=>nx10382); REG_17_reg_q_7 : dff port map ( Q=>reg_17_q_c_7, QB=>OPEN, D=>nx8188, CLK =>CLK); ix8189 : xor2 port map ( Y=>nx8188, A0=>nx7278, A1=>nx8186); ix7279 : mux21 port map ( Y=>nx7278, A0=>nx14729, A1=>nx9447, S0=>nx7066 ); ix8187 : xnor2 port map ( Y=>nx8186, A0=>reg_29_q_c_7, A1=>nx6485); REG_29_reg_q_7 : dff port map ( Q=>reg_29_q_c_7, QB=>OPEN, D=>nx8178, CLK =>CLK); ix8179 : xor2 port map ( Y=>nx8178, A0=>nx10002, A1=>nx10007); ix10003 : mux21 port map ( Y=>nx10002, A0=>nx6168, A1=>nx10004, S0=> nx9455); ix10008 : xnor2 port map ( Y=>nx10007, A0=>nx10009, A1=>nx7614); ix10010 : mux21 port map ( Y=>nx10009, A0=>reg_20_q_c_7, A1=>nx14735, S0 =>C_MUX2_16_SEL); REG_20_reg_q_7 : dff port map ( Q=>reg_20_q_c_7, QB=>nx10377, D=>nx8160, CLK=>CLK); ix8161 : xor2 port map ( Y=>nx8160, A0=>nx7298, A1=>nx8158); ix7299 : mux21 port map ( Y=>nx7298, A0=>nx14729, A1=>nx9461, S0=>nx7038 ); REG_28_reg_q_7 : dff port map ( Q=>reg_28_q_c_7, QB=>nx10376, D=>nx8150, CLK=>CLK); ix8151 : xor2 port map ( Y=>nx8150, A0=>nx7308, A1=>nx8148); ix7309 : oai22 port map ( Y=>nx7308, A0=>nx9469, A1=>nx10023, B0=> PRI_IN_2(6), B1=>nx9836); ix8141 : xor2 port map ( Y=>nx8140, A0=>nx7318, A1=>nx8138); ix7319 : oai22 port map ( Y=>nx7318, A0=>nx9478, A1=>nx10031, B0=> PRI_IN_3(6), B1=>nx9901); ix8139 : xnor2 port map ( Y=>nx8138, A0=>PRI_IN_3(7), A1=>reg_34_q_c_7); REG_34_reg_q_7 : dff port map ( Q=>reg_34_q_c_7, QB=>OPEN, D=>nx8130, CLK =>CLK); ix8131 : xnor2 port map ( Y=>nx8130, A0=>nx7326, A1=>nx10039); ix7327 : oai22 port map ( Y=>nx7326, A0=>nx9487, A1=>nx9490, B0=>nx9811, B1=>nx9899); ix10040 : xnor2 port map ( Y=>nx10039, A0=>reg_18_q_c_7, A1=>reg_19_q_c_7 ); REG_18_reg_q_7 : dff port map ( Q=>reg_18_q_c_7, QB=>OPEN, D=>nx8120, CLK =>CLK); ix8121 : xor2 port map ( Y=>nx8120, A0=>nx7336, A1=>nx8118); ix7337 : mux21 port map ( Y=>nx7336, A0=>reg_7_q_c_6, A1=>nx9495, S0=> nx6998); ix8111 : xnor2 port map ( Y=>nx8110, A0=>nx7344, A1=>nx10049); ix7345 : oai22 port map ( Y=>nx7344, A0=>nx9503, A1=>nx9507, B0=>nx9897, B1=>nx9905); ix10050 : xnor2 port map ( Y=>nx10049, A0=>nx14735, A1=>reg_42_q_c_7); REG_42_reg_q_7 : dff port map ( Q=>reg_42_q_c_7, QB=>OPEN, D=>nx8100, CLK =>CLK); ix8101 : xor2 port map ( Y=>nx8100, A0=>nx10055, A1=>nx10061); ix10056 : aoi22 port map ( Y=>nx10055, A0=>nx15467, A1=>reg_40_q_c_6, B0 =>nx6232, B1=>nx6978); REG_40_reg_q_7 : dff port map ( Q=>reg_40_q_c_7, QB=>nx10372, D=>nx8090, CLK=>CLK); ix8091 : xor2 port map ( Y=>nx8090, A0=>nx7362, A1=>nx8088); ix7363 : mux21 port map ( Y=>nx7362, A0=>reg_5_q_c_6, A1=>nx9523, S0=> nx6968); REG_4_reg_q_7 : dff port map ( Q=>PRI_OUT_3_7_EXMPLR, QB=>nx10371, D=> nx8080, CLK=>CLK); ix8081 : xor2 port map ( Y=>nx8080, A0=>nx7372, A1=>nx8078); ix7373 : mux21 port map ( Y=>nx7372, A0=>PRI_OUT_3_6_EXMPLR, A1=>nx9531, S0=>nx6958); REG_32_reg_q_7 : dff port map ( Q=>reg_32_q_c_7, QB=>OPEN, D=>nx8070, CLK =>CLK); ix8071 : xnor2 port map ( Y=>nx8070, A0=>nx7380, A1=>nx10078); ix7381 : ao21 port map ( Y=>nx7380, A0=>reg_43_q_c_6, A1=> PRI_OUT_8_6_EXMPLR, B0=>nx7378); ix7379 : nor02 port map ( Y=>nx7378, A0=>nx9539, A1=>nx9543); ix10079 : xnor2 port map ( Y=>nx10078, A0=>PRI_OUT_8_7_EXMPLR, A1=> reg_43_q_c_7); REG_24_reg_q_7 : dff port map ( Q=>PRI_OUT_8_7_EXMPLR, QB=>OPEN, D=> nx8040, CLK=>CLK); ix8041 : xnor2 port map ( Y=>nx8040, A0=>nx7388, A1=>nx10083); ix7389 : oai22 port map ( Y=>nx7388, A0=>nx9549, A1=>nx9553, B0=>nx9883, B1=>nx9901); ix10084 : xnor2 port map ( Y=>nx10083, A0=>reg_34_q_c_7, A1=>reg_41_q_c_7 ); REG_41_reg_q_7 : dff port map ( Q=>reg_41_q_c_7, QB=>OPEN, D=>nx8030, CLK =>CLK); ix8031 : xnor2 port map ( Y=>nx8030, A0=>nx7396, A1=>nx10095); ix7397 : mux21 port map ( Y=>nx7396, A0=>nx9559, A1=>nx10091, S0=>nx9567 ); ix10096 : xnor2 port map ( Y=>nx10095, A0=>PRI_IN_8(7), A1=>nx6486); ix8027 : ao21 port map ( Y=>nx6486, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_7, B0=>nx7986); REG_8_reg_q_7 : dff port map ( Q=>reg_8_q_c_7, QB=>OPEN, D=>nx8016, CLK=> CLK); ix8017 : xnor2 port map ( Y=>nx8016, A0=>nx7994, A1=>nx10105); ix7995 : mux21 port map ( Y=>nx7994, A0=>nx9575, A1=>nx9869, S0=>nx9579); REG_2_reg_q_7 : dff port map ( Q=>PRI_OUT_1_7_EXMPLR, QB=>OPEN, D=>nx8006, CLK=>CLK); ix8007 : xnor2 port map ( Y=>nx8006, A0=>nx8002, A1=>nx10111); ix8003 : oai22 port map ( Y=>nx8002, A0=>nx9585, A1=>nx9589, B0=>nx9863, B1=>nx9875); REG_35_reg_q_7 : dff port map ( Q=>reg_35_q_c_7, QB=>nx10351, D=>nx7742, CLK=>CLK); ix7743 : xor2 port map ( Y=>nx7742, A0=>nx10115, A1=>nx10119); ix10116 : aoi22 port map ( Y=>nx10115, A0=>nx14731, A1=>reg_37_q_c_6, B0 =>nx6610, B1=>nx6620); REG_37_reg_q_7 : dff port map ( Q=>reg_37_q_c_7, QB=>nx10346, D=>nx7930, CLK=>CLK); ix7931 : xnor2 port map ( Y=>nx7930, A0=>nx7430, A1=>nx10126); ix10127 : xnor2 port map ( Y=>nx10126, A0=>PRI_IN_6(7), A1=>reg_44_q_c_7 ); REG_44_reg_q_7 : dff port map ( Q=>reg_44_q_c_7, QB=>OPEN, D=>nx7920, CLK =>CLK); ix7921 : xor2 port map ( Y=>nx7920, A0=>nx7440, A1=>nx7918); ix7441 : mux21 port map ( Y=>nx7440, A0=>reg_27_q_c_6, A1=>nx9613, S0=> nx6798); REG_10_reg_q_7 : dff port map ( Q=>reg_10_q_c_7, QB=>OPEN, D=>nx7910, CLK =>CLK); ix7911 : xnor2 port map ( Y=>nx7910, A0=>nx7448, A1=>nx10137); ix7449 : oai22 port map ( Y=>nx7448, A0=>nx9621, A1=>nx9625, B0=>nx9865, B1=>nx9836); REG_11_reg_q_7 : dff port map ( Q=>reg_11_q_c_7, QB=>OPEN, D=>nx7900, CLK =>CLK); ix7901 : xor2 port map ( Y=>nx7900, A0=>nx10143, A1=>nx10149); ix10144 : aoi22 port map ( Y=>nx10143, A0=>nx6473, A1=>reg_45_q_c_6, B0=> nx6336, B1=>nx6778); REG_45_reg_q_7 : dff port map ( Q=>reg_45_q_c_7, QB=>nx10342, D=>nx7890, CLK=>CLK); ix7891 : xnor2 port map ( Y=>nx7890, A0=>nx7464, A1=>nx10155); ix7465 : oai22 port map ( Y=>nx7464, A0=>nx9643, A1=>nx9647, B0=>nx9861, B1=>nx9845); REG_27_reg_q_7 : dff port map ( Q=>reg_27_q_c_7, QB=>nx10325, D=>nx7832, CLK=>CLK); ix7833 : xor2 port map ( Y=>nx7832, A0=>nx7474, A1=>nx7830); REG_13_reg_q_7 : dff port map ( Q=>reg_13_q_c_7, QB=>nx10188, D=>nx7968, CLK=>CLK); ix7969 : xor2 port map ( Y=>nx7968, A0=>nx7406, A1=>nx7966); ix7407 : mux21 port map ( Y=>nx7406, A0=>reg_35_q_c_6, A1=>nx9661, S0=> nx6846); REG_1_reg_q_7 : dff port map ( Q=>PRI_OUT_0_7_EXMPLR, QB=>OPEN, D=>nx7958, CLK=>CLK); ix7959 : xor2 port map ( Y=>nx7958, A0=>nx7414, A1=>nx7956); ix7415 : oai22 port map ( Y=>nx7414, A0=>nx9669, A1=>nx10173, B0=>nx9677, B1=>nx9883); ix7957 : xnor2 port map ( Y=>nx7956, A0=>reg_41_q_c_7, A1=>nx10176); ix10177 : mux21 port map ( Y=>nx10176, A0=>reg_25_q_c_7, A1=> PRI_OUT_8_7_EXMPLR, S0=>C_MUX2_8_SEL); REG_25_reg_q_7 : dff port map ( Q=>reg_25_q_c_7, QB=>OPEN, D=>nx7940, CLK =>CLK); ix7941 : xor2 port map ( Y=>nx7940, A0=>nx10181, A1=>nx10184); ix10182 : aoi22 port map ( Y=>nx10181, A0=>nx14733, A1=>reg_37_q_c_6, B0 =>nx6302, B1=>nx6818); REG_31_reg_q_7 : dff port map ( Q=>reg_31_q_c_7, QB=>nx10324, D=>nx7822, CLK=>CLK); ix7823 : xor2 port map ( Y=>nx7822, A0=>nx7484, A1=>nx7820); ix7485 : mux21 port map ( Y=>nx7484, A0=>nx6690, A1=>nx9695, S0=>nx6700); ix7821 : xnor2 port map ( Y=>nx7820, A0=>nx10199, A1=>nx10322); ix10200 : mux21 port map ( Y=>nx10199, A0=>reg_38_q_c_7, A1=>reg_37_q_c_7, S0=>C_MUX2_3_SEL); REG_38_reg_q_7 : dff port map ( Q=>reg_38_q_c_7, QB=>OPEN, D=>nx7796, CLK =>CLK); ix7797 : xnor2 port map ( Y=>nx7796, A0=>nx10203, A1=>nx7794); ix10204 : mux21 port map ( Y=>nx10203, A0=>nx9838, A1=>nx6374, S0=>nx6674 ); ix7795 : xnor2 port map ( Y=>nx7794, A0=>reg_22_q_c_7, A1=>nx7640); REG_22_reg_q_7 : dff port map ( Q=>reg_22_q_c_7, QB=>OPEN, D=>nx7786, CLK =>CLK); ix7787 : xor2 port map ( Y=>nx7786, A0=>nx10209, A1=>nx10212); ix10210 : aoi22 port map ( Y=>nx10209, A0=>nx9721, A1=>reg_28_q_c_6, B0=> nx6384, B1=>nx6664); ix10215 : mux21 port map ( Y=>nx10214, A0=>reg_10_q_c_7, A1=>nx6481, S0=> C_MUX2_14_SEL); ix7779 : mux21 port map ( Y=>nx6481, A0=>nx10217, A1=>nx10317, S0=> C_MUX2_21_SEL); ix10218 : mux21 port map ( Y=>nx10217, A0=>PRI_OUT_7_7_EXMPLR, A1=> nx14735, S0=>C_MUX2_17_SEL); REG_6_reg_q_7 : dff port map ( Q=>PRI_OUT_7_7_EXMPLR, QB=>OPEN, D=>nx7756, CLK=>CLK); ix7757 : xnor2 port map ( Y=>nx7756, A0=>nx10223, A1=>nx7754); ix10224 : mux21 port map ( Y=>nx10223, A0=>nx6632, A1=>nx6396, S0=>nx6634 ); ix7755 : xnor2 port map ( Y=>nx7754, A0=>nx7698, A1=>nx10306); ix7699 : mux21 port map ( Y=>nx7698, A0=>nx10217, A1=>nx10231, S0=> C_MUX2_15_SEL); ix7529 : oai22 port map ( Y=>nx7528, A0=>nx9743, A1=>nx9747, B0=>nx9811, B1=>nx9812); ix10240 : xnor2 port map ( Y=>nx10239, A0=>nx15468, A1=>reg_19_q_c_7); REG_19_reg_q_7 : dff port map ( Q=>reg_19_q_c_7, QB=>OPEN, D=>nx7650, CLK =>CLK); ix7651 : xor2 port map ( Y=>nx7650, A0=>nx10245, A1=>nx10253); ix10246 : aoi22 port map ( Y=>nx10245, A0=>nx14775, A1=>reg_31_q_c_6, B0 =>nx6418, B1=>nx6528); ix10256 : mux21 port map ( Y=>nx10255, A0=>nx7640, A1=>nx7622, S0=> C_MUX2_1_SEL); ix7641 : mux21 port map ( Y=>nx7640, A0=>nx10259, A1=>nx10261, S0=> C_MUX2_6_SEL); REG_7_reg_q_7 : dff port map ( Q=>OPEN, QB=>nx10259, D=>nx8110, CLK=>CLK ); ix10262 : mux21 port map ( Y=>nx10261, A0=>nx14735, A1=>reg_5_q_c_7, S0=> C_MUX2_18_SEL); ix7623 : ao21 port map ( Y=>nx7622, A0=>C_MUX2_9_SEL, A1=>nx7614, B0=> nx7620); ix7615 : ao21 port map ( Y=>nx7614, A0=>C_MUX2_22_SEL, A1=>reg_14_q_c_7, B0=>nx7550); REG_14_reg_q_7 : dff port map ( Q=>reg_14_q_c_7, QB=>OPEN, D=>nx7604, CLK =>CLK); ix7605 : xnor2 port map ( Y=>nx7604, A0=>nx10269, A1=>nx7602); ix10270 : aoi22 port map ( Y=>nx10269, A0=>nx10271, A1=> PRI_OUT_6_6_EXMPLR, B0=>nx6440, B1=>nx6482); ix10272 : inv02 port map ( Y=>nx10271, A=>PRI_IN_7(6)); ix7603 : xnor2 port map ( Y=>nx7602, A0=>PRI_IN_7(7), A1=> PRI_OUT_6_7_EXMPLR); REG_23_reg_q_7 : dff port map ( Q=>PRI_OUT_6_7_EXMPLR, QB=>OPEN, D=> nx7594, CLK=>CLK); ix7595 : xnor2 port map ( Y=>nx7594, A0=>nx7570, A1=>nx10279); ix10280 : xnor2 port map ( Y=>nx10279, A0=>reg_30_q_c_7, A1=>nx10231); REG_30_reg_q_7 : dff port map ( Q=>reg_30_q_c_7, QB=>OPEN, D=>nx7584, CLK =>CLK); ix7585 : xor2 port map ( Y=>nx7584, A0=>nx7580, A1=>nx7582); ix7581 : oai22 port map ( Y=>nx7580, A0=>nx9797, A1=>nx10285, B0=> PRI_IN_0(6), B1=>nx9812); ix7583 : xnor2 port map ( Y=>nx7582, A0=>PRI_IN_0(7), A1=>nx15468); ix7551 : nor02 port map ( Y=>nx7550, A0=>C_MUX2_22_SEL, A1=>nx10293); ix10294 : mux21 port map ( Y=>nx10293, A0=>reg_19_q_c_7, A1=>nx14735, S0 =>C_MUX2_20_SEL); ix7621 : nor02 port map ( Y=>nx7620, A0=>C_MUX2_9_SEL, A1=>nx10009); REG_16_reg_q_7 : dff port map ( Q=>reg_16_q_c_7, QB=>nx10305, D=>nx7684, CLK=>CLK); ix7685 : xor2 port map ( Y=>nx7684, A0=>nx7680, A1=>nx7682); ix7681 : mux21 port map ( Y=>nx7680, A0=>reg_20_q_c_6, A1=>nx9817, S0=> nx6562); ix10307 : mux21 port map ( Y=>nx10306, A0=>reg_36_q_c_7, A1=>reg_35_q_c_7, S0=>C_MUX2_11_SEL); REG_36_reg_q_7 : dff port map ( Q=>reg_36_q_c_7, QB=>OPEN, D=>nx7712, CLK =>CLK); ix7713 : xnor2 port map ( Y=>nx7712, A0=>nx7708, A1=>nx10313); ix7709 : mux21 port map ( Y=>nx7708, A0=>nx9828, A1=>reg_16_q_c_6, S0=> nx9830); REG_5_reg_q_7 : dff port map ( Q=>reg_5_q_c_7, QB=>nx10317, D=>nx8140, CLK=>CLK); ix10323 : mux21 port map ( Y=>nx10322, A0=>reg_19_q_c_7, A1=>reg_11_q_c_7, S0=>C_MUX2_7_SEL); REG_47_reg_q_7 : dff port map ( Q=>reg_47_q_c_7, QB=>OPEN, D=>nx7880, CLK =>CLK); ix7881 : xor2 port map ( Y=>nx7880, A0=>nx10329, A1=>nx10331); ix10330 : mux21 port map ( Y=>nx10329, A0=>nx6728, A1=>nx14775, S0=> nx9849); ix10332 : xnor2 port map ( Y=>nx10331, A0=>nx10255, A1=>nx7876); ix7877 : ao21 port map ( Y=>nx7876, A0=>C_MUX2_12_SEL, A1=>reg_15_q_c_7, B0=>nx7852); REG_15_reg_q_7 : dff port map ( Q=>reg_15_q_c_7, QB=>OPEN, D=>nx7866, CLK =>CLK); ix7867 : xor2 port map ( Y=>nx7866, A0=>nx7862, A1=>nx7864); ix7863 : mux21 port map ( Y=>nx7862, A0=>nx6477, A1=>nx9855, S0=>nx6744); ix7865 : xnor2 port map ( Y=>nx7864, A0=>PRI_IN_1(7), A1=>nx6486); ix7853 : nor02 port map ( Y=>nx7852, A0=>C_MUX2_12_SEL, A1=>nx10261); ix7739 : ao21 port map ( Y=>nx6485, A0=>C_MUX2_24_SEL, A1=>reg_27_q_c_7, B0=>nx7734); ix7735 : nor02 port map ( Y=>nx7734, A0=>C_MUX2_24_SEL, A1=>nx10349); ix7987 : nor02 port map ( Y=>nx7986, A0=>C_MUX2_13_SEL, A1=>nx10355); ix10356 : mux21 port map ( Y=>nx10355, A0=>reg_13_q_c_7, A1=>reg_11_q_c_7, S0=>C_MUX2_5_SEL); REG_43_reg_q_7 : dff port map ( Q=>reg_43_q_c_7, QB=>OPEN, D=>nx8060, CLK =>CLK); ix8061 : xor2 port map ( Y=>nx8060, A0=>nx8056, A1=>nx8058); ix8057 : oai22 port map ( Y=>nx8056, A0=>nx9889, A1=>nx10364, B0=>nx15467, B1=>nx9901); ix8059 : xnor2 port map ( Y=>nx8058, A0=>reg_34_q_c_7, A1=>nx7876); ix10383 : mux21 port map ( Y=>nx10382, A0=>reg_18_q_c_7, A1=>nx7698, S0=> C_MUX2_19_SEL); REG_39_reg_q_7 : dff port map ( Q=>reg_39_q_c_7, QB=>OPEN, D=>nx8354, CLK =>CLK); ix8355 : mux21 port map ( Y=>nx8354, A0=>nx10387, A1=>nx10394, S0=> C_MUX2_10_SEL); ix10388 : xnor2 port map ( Y=>nx10387, A0=>nx8220, A1=>nx8222); ix8221 : oai22 port map ( Y=>nx8220, A0=>nx9973, A1=>nx10391, B0=>nx14777, B1=>nx9867); ix8223 : xnor2 port map ( Y=>nx8222, A0=>reg_44_q_c_7, A1=>nx10255); ix10395 : xnor2 port map ( Y=>nx10394, A0=>nx8236, A1=>nx8348); ix8237 : mux21 port map ( Y=>nx8236, A0=>nx9921, A1=>nx9913, S0=>nx7228); ix8349 : xnor2 port map ( Y=>nx8348, A0=>PRI_IN_4(7), A1=>nx10399); ix10400 : mux21 port map ( Y=>nx10399, A0=>PRI_OUT_5_7_EXMPLR, A1=> reg_22_q_c_7, S0=>C_MUX2_25_SEL); REG_21_reg_q_7 : dff port map ( Q=>PRI_OUT_5_7_EXMPLR, QB=>OPEN, D=> nx8332, CLK=>CLK); ix8333 : xnor2 port map ( Y=>nx8332, A0=>nx10404, A1=>nx8330); ix10405 : aoi22 port map ( Y=>nx10404, A0=>nx6690, A1=>reg_48_q_c_6, B0=> nx7124, B1=>nx7210); ix8331 : xnor2 port map ( Y=>nx8330, A0=>reg_48_q_c_7, A1=>nx10199); REG_48_reg_q_7 : dff port map ( Q=>reg_48_q_c_7, QB=>OPEN, D=>nx8322, CLK =>CLK); ix8323 : ao21 port map ( Y=>nx8322, A0=>C_MUX2_23_SEL, A1=>nx8318, B0=> nx8260); ix8319 : xnor2 port map ( Y=>nx8318, A0=>nx8268, A1=>nx10413); ix8269 : ao21 port map ( Y=>nx8268, A0=>nx7194, A1=>reg_36_q_c_6, B0=> nx8266); ix10414 : xnor2 port map ( Y=>nx10413, A0=>reg_36_q_c_7, A1=>nx8314); ix8315 : ao21 port map ( Y=>nx8314, A0=>C_MUX2_4_SEL, A1=> PRI_OUT_4_7_EXMPLR, B0=>nx8272); REG_9_reg_q_7 : dff port map ( Q=>PRI_OUT_4_7_EXMPLR, QB=>OPEN, D=>nx8304, CLK=>CLK); ix8305 : xnor2 port map ( Y=>nx8304, A0=>nx8280, A1=>nx10422); ix8281 : oai22 port map ( Y=>nx8280, A0=>nx9953, A1=>nx9956, B0=>nx9963, B1=>nx9838); ix10423 : xnor2 port map ( Y=>nx10422, A0=>reg_22_q_c_7, A1=>reg_46_q_c_7 ); REG_46_reg_q_7 : dff port map ( Q=>reg_46_q_c_7, QB=>OPEN, D=>nx8294, CLK =>CLK); ix8295 : xor2 port map ( Y=>nx8294, A0=>nx8290, A1=>nx8292); ix8291 : mux21 port map ( Y=>nx8290, A0=>reg_33_q_c_6, A1=>nx9960, S0=> nx7172); ix8273 : nor02 port map ( Y=>nx8272, A0=>C_MUX2_4_SEL, A1=>nx10231); ix8261 : nor02 port map ( Y=>nx8260, A0=>C_MUX2_23_SEL, A1=>nx10433); ix10434 : xor2 port map ( Y=>nx10433, A0=>nx8252, A1=>nx10436); ix8253 : oai22 port map ( Y=>nx8252, A0=>nx9933, A1=>nx9937, B0=>nx9896, B1=>nx9690); REG_26_reg_q_8 : dff port map ( Q=>PRI_OUT_9(8), QB=>OPEN, D=>nx9484, CLK =>CLK); ix9485 : xnor2 port map ( Y=>nx9484, A0=>nx10449, A1=>nx9482); ix10450 : aoi22 port map ( Y=>nx10449, A0=>nx10384, A1=>reg_39_q_c_7, B0 =>nx7260, B1=>nx8362); ix9483 : xnor2 port map ( Y=>nx9482, A0=>reg_33_q_c_8, A1=>reg_39_q_c_8); REG_33_reg_q_8 : dff port map ( Q=>reg_33_q_c_8, QB=>OPEN, D=>nx9326, CLK =>CLK); ix9327 : xnor2 port map ( Y=>nx9326, A0=>nx10454, A1=>nx9324); ix10456 : aoi22 port map ( Y=>nx10454, A0=>nx8202, A1=>reg_17_q_c_7, B0=> nx7268, B1=>nx8204); REG_17_reg_q_8 : dff port map ( Q=>reg_17_q_c_8, QB=>nx10919, D=>nx9308, CLK=>CLK); ix9309 : xnor2 port map ( Y=>nx9308, A0=>nx10467, A1=>nx9306); ix10468 : aoi22 port map ( Y=>nx10467, A0=>nx10349, A1=>reg_29_q_c_7, B0 =>nx7278, B1=>nx8186); REG_29_reg_q_8 : dff port map ( Q=>reg_29_q_c_8, QB=>OPEN, D=>nx9298, CLK =>CLK); ix9299 : xnor2 port map ( Y=>nx9298, A0=>nx8408, A1=>nx10477); ix8409 : mux21 port map ( Y=>nx8408, A0=>nx10002, A1=>nx7614, S0=>nx10007 ); ix10478 : xnor2 port map ( Y=>nx10477, A0=>nx10479, A1=>nx8734); ix10480 : mux21 port map ( Y=>nx10479, A0=>reg_20_q_c_8, A1=>reg_17_q_c_8, S0=>C_MUX2_16_SEL); REG_20_reg_q_8 : dff port map ( Q=>reg_20_q_c_8, QB=>OPEN, D=>nx9280, CLK =>CLK); ix9281 : xnor2 port map ( Y=>nx9280, A0=>nx10485, A1=>nx9278); ix10486 : aoi22 port map ( Y=>nx10485, A0=>nx10349, A1=>reg_28_q_c_7, B0 =>nx7298, B1=>nx8158); REG_28_reg_q_8 : dff port map ( Q=>reg_28_q_c_8, QB=>OPEN, D=>nx9270, CLK =>CLK); ix9271 : xnor2 port map ( Y=>nx9270, A0=>nx10493, A1=>nx9268); ix10494 : aoi22 port map ( Y=>nx10493, A0=>nx10495, A1=>reg_5_q_c_7, B0=> nx7308, B1=>nx8148); ix10496 : inv02 port map ( Y=>nx10495, A=>PRI_IN_2(7)); ix9261 : xnor2 port map ( Y=>nx9260, A0=>nx10501, A1=>nx9258); ix10502 : aoi22 port map ( Y=>nx10501, A0=>nx10503, A1=>reg_34_q_c_7, B0 =>nx7318, B1=>nx8138); ix10504 : inv02 port map ( Y=>nx10503, A=>PRI_IN_3(7)); REG_34_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10913, D=>nx9250, CLK=>CLK ); ix9251 : xor2 port map ( Y=>nx9250, A0=>nx10511, A1=>nx10515); ix10512 : aoi22 port map ( Y=>nx10511, A0=>reg_19_q_c_7, A1=>reg_18_q_c_7, B0=>nx7326, B1=>nx8128); REG_18_reg_q_8 : dff port map ( Q=>reg_18_q_c_8, QB=>nx10912, D=>nx9240, CLK=>CLK); ix9241 : xnor2 port map ( Y=>nx9240, A0=>nx10521, A1=>nx9238); ix10522 : aoi22 port map ( Y=>nx10521, A0=>nx10259, A1=>PRI_IN_9(7), B0=> nx7336, B1=>nx8118); ix9231 : xor2 port map ( Y=>nx9230, A0=>nx10527, A1=>nx10531); ix10528 : aoi22 port map ( Y=>nx10527, A0=>reg_42_q_c_7, A1=>reg_17_q_c_7, B0=>nx7344, B1=>nx8108); REG_42_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10911, D=>nx9220, CLK=>CLK ); ix9221 : xnor2 port map ( Y=>nx9220, A0=>nx8472, A1=>nx10540); ix8473 : oai22 port map ( Y=>nx8472, A0=>nx10055, A1=>nx10061, B0=> nx10538, B1=>nx10372); REG_40_reg_q_8 : dff port map ( Q=>reg_40_q_c_8, QB=>nx10909, D=>nx9210, CLK=>CLK); ix9211 : xnor2 port map ( Y=>nx9210, A0=>nx10545, A1=>nx9208); ix10546 : aoi22 port map ( Y=>nx10545, A0=>nx10317, A1=> PRI_OUT_3_7_EXMPLR, B0=>nx7362, B1=>nx8088); REG_4_reg_q_8 : dff port map ( Q=>PRI_OUT_3_8_EXMPLR, QB=>OPEN, D=>nx9200, CLK=>CLK); ix9201 : xnor2 port map ( Y=>nx9200, A0=>nx10550, A1=>nx9198); ix10551 : aoi22 port map ( Y=>nx10550, A0=>nx10371, A1=>reg_32_q_c_7, B0 =>nx7372, B1=>nx8078); ix9199 : xnor2 port map ( Y=>nx9198, A0=>PRI_OUT_3_8_EXMPLR, A1=> reg_32_q_c_8); REG_32_reg_q_8 : dff port map ( Q=>reg_32_q_c_8, QB=>OPEN, D=>nx9190, CLK =>CLK); ix9191 : xor2 port map ( Y=>nx9190, A0=>nx10557, A1=>nx10561); ix10558 : aoi22 port map ( Y=>nx10557, A0=>reg_43_q_c_7, A1=> PRI_OUT_8_7_EXMPLR, B0=>nx7380, B1=>nx8068); ix10562 : xnor2 port map ( Y=>nx10561, A0=>PRI_OUT_8_8_EXMPLR, A1=> reg_43_q_c_8); REG_24_reg_q_8 : dff port map ( Q=>PRI_OUT_8_8_EXMPLR, QB=>OPEN, D=> nx9160, CLK=>CLK); ix9161 : xor2 port map ( Y=>nx9160, A0=>nx10566, A1=>nx10569); ix10567 : aoi22 port map ( Y=>nx10566, A0=>reg_41_q_c_7, A1=>reg_34_q_c_7, B0=>nx7388, B1=>nx8038); REG_41_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10895, D=>nx9150, CLK=>CLK ); ix9151 : xor2 port map ( Y=>nx9150, A0=>nx10575, A1=>nx10581); ix10576 : aoi22 port map ( Y=>nx10575, A0=>nx6486, A1=>PRI_IN_8(7), B0=> nx7396, B1=>nx8028); ix10582 : xnor2 port map ( Y=>nx10581, A0=>PRI_IN_8(8), A1=>nx14741); ix9147 : ao21 port map ( Y=>nx6495, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_8, B0=>nx9106); REG_8_reg_q_8 : dff port map ( Q=>reg_8_q_c_8, QB=>OPEN, D=>nx9136, CLK=> CLK); ix9137 : xor2 port map ( Y=>nx9136, A0=>nx10589, A1=>nx10593); ix10590 : aoi22 port map ( Y=>nx10589, A0=>reg_37_q_c_7, A1=> PRI_OUT_1_7_EXMPLR, B0=>nx7994, B1=>nx8014); REG_2_reg_q_8 : dff port map ( Q=>PRI_OUT_1_8_EXMPLR, QB=>OPEN, D=>nx9126, CLK=>CLK); ix9127 : xor2 port map ( Y=>nx9126, A0=>nx10598, A1=>nx10601); ix10599 : aoi22 port map ( Y=>nx10598, A0=>reg_45_q_c_7, A1=>reg_35_q_c_7, B0=>nx8002, B1=>nx8004); REG_35_reg_q_8 : dff port map ( Q=>reg_35_q_c_8, QB=>nx10889, D=>nx8862, CLK=>CLK); ix8863 : xnor2 port map ( Y=>nx8862, A0=>nx8850, A1=>nx10608); ix8851 : oai22 port map ( Y=>nx8850, A0=>nx10115, A1=>nx10119, B0=> nx10349, B1=>nx10346); REG_37_reg_q_8 : dff port map ( Q=>reg_37_q_c_8, QB=>nx10883, D=>nx9050, CLK=>CLK); ix9051 : xor2 port map ( Y=>nx9050, A0=>nx10612, A1=>nx10617); REG_44_reg_q_8 : dff port map ( Q=>reg_44_q_c_8, QB=>nx10882, D=>nx9040, CLK=>CLK); ix9041 : xnor2 port map ( Y=>nx9040, A0=>nx10623, A1=>nx9038); ix10624 : aoi22 port map ( Y=>nx10623, A0=>nx10325, A1=>reg_10_q_c_7, B0 =>nx7440, B1=>nx7918); REG_10_reg_q_8 : dff port map ( Q=>reg_10_q_c_8, QB=>OPEN, D=>nx9030, CLK =>CLK); ix9031 : xor2 port map ( Y=>nx9030, A0=>nx10631, A1=>nx10635); ix10632 : aoi22 port map ( Y=>nx10631, A0=>reg_11_q_c_7, A1=>reg_5_q_c_7, B0=>nx7448, B1=>nx7908); REG_11_reg_q_8 : dff port map ( Q=>reg_11_q_c_8, QB=>nx10879, D=>nx9020, CLK=>CLK); ix9021 : xnor2 port map ( Y=>nx9020, A0=>nx8576, A1=>nx10647); ix8577 : oai22 port map ( Y=>nx8576, A0=>nx10143, A1=>nx10149, B0=> nx10643, B1=>nx10342); REG_45_reg_q_8 : dff port map ( Q=>reg_45_q_c_8, QB=>nx10878, D=>nx9010, CLK=>CLK); ix9011 : xor2 port map ( Y=>nx9010, A0=>nx10652, A1=>nx10657); ix10654 : aoi22 port map ( Y=>nx10652, A0=>reg_47_q_c_7, A1=>reg_27_q_c_7, B0=>nx7464, B1=>nx7888); REG_27_reg_q_8 : dff port map ( Q=>reg_27_q_c_8, QB=>nx10858, D=>nx8952, CLK=>CLK); ix8953 : xnor2 port map ( Y=>nx8952, A0=>nx10663, A1=>nx8950); ix10664 : aoi22 port map ( Y=>nx10663, A0=>nx10188, A1=>reg_31_q_c_7, B0 =>nx7474, B1=>nx7830); REG_13_reg_q_8 : dff port map ( Q=>reg_13_q_c_8, QB=>nx10699, D=>nx9088, CLK=>CLK); ix9089 : xnor2 port map ( Y=>nx9088, A0=>nx10671, A1=>nx9086); ix10672 : aoi22 port map ( Y=>nx10671, A0=>nx10351, A1=> PRI_OUT_0_7_EXMPLR, B0=>nx7406, B1=>nx7966); REG_1_reg_q_8 : dff port map ( Q=>PRI_OUT_0_8_EXMPLR, QB=>OPEN, D=>nx9078, CLK=>CLK); ix9079 : xnor2 port map ( Y=>nx9078, A0=>nx10679, A1=>nx9076); ix10680 : aoi22 port map ( Y=>nx10679, A0=>nx7954, A1=>reg_41_q_c_7, B0=> nx7414, B1=>nx7956); ix10688 : mux21 port map ( Y=>nx10687, A0=>reg_25_q_c_8, A1=> PRI_OUT_8_8_EXMPLR, S0=>C_MUX2_8_SEL); REG_25_reg_q_8 : dff port map ( Q=>reg_25_q_c_8, QB=>OPEN, D=>nx9060, CLK =>CLK); ix9061 : xnor2 port map ( Y=>nx9060, A0=>nx8542, A1=>nx10695); ix8543 : oai22 port map ( Y=>nx8542, A0=>nx10181, A1=>nx10184, B0=> nx10578, B1=>nx10346); REG_31_reg_q_8 : dff port map ( Q=>reg_31_q_c_8, QB=>nx10857, D=>nx8942, CLK=>CLK); ix8943 : xnor2 port map ( Y=>nx8942, A0=>nx10703, A1=>nx8940); ix10704 : mux21 port map ( Y=>nx10703, A0=>nx10199, A1=>nx7484, S0=> nx7820); ix8941 : xnor2 port map ( Y=>nx8940, A0=>nx10707, A1=>nx10855); ix10708 : mux21 port map ( Y=>nx10707, A0=>reg_38_q_c_8, A1=>reg_37_q_c_8, S0=>C_MUX2_3_SEL); REG_38_reg_q_8 : dff port map ( Q=>reg_38_q_c_8, QB=>OPEN, D=>nx8916, CLK =>CLK); ix8917 : xor2 port map ( Y=>nx8916, A0=>nx8614, A1=>nx8914); ix8615 : mux21 port map ( Y=>nx8614, A0=>reg_22_q_c_7, A1=>nx10203, S0=> nx7794); REG_22_reg_q_8 : dff port map ( Q=>reg_22_q_c_8, QB=>nx10851, D=>nx8906, CLK=>CLK); ix8907 : xnor2 port map ( Y=>nx8906, A0=>nx8624, A1=>nx10727); ix8625 : oai22 port map ( Y=>nx8624, A0=>nx10209, A1=>nx10212, B0=>nx6483, B1=>nx10376); ix10728 : xnor2 port map ( Y=>nx10727, A0=>reg_28_q_c_8, A1=>nx10729); ix10730 : mux21 port map ( Y=>nx10729, A0=>reg_10_q_c_8, A1=>nx6490, S0=> C_MUX2_14_SEL); ix8899 : mux21 port map ( Y=>nx6490, A0=>nx10733, A1=>nx10849, S0=> C_MUX2_21_SEL); ix10734 : mux21 port map ( Y=>nx10733, A0=>PRI_OUT_7_8_EXMPLR, A1=> reg_17_q_c_8, S0=>C_MUX2_17_SEL); REG_6_reg_q_8 : dff port map ( Q=>PRI_OUT_7_8_EXMPLR, QB=>OPEN, D=>nx8876, CLK=>CLK); ix8877 : xor2 port map ( Y=>nx8876, A0=>nx8636, A1=>nx8874); ix8637 : mux21 port map ( Y=>nx8636, A0=>nx10306, A1=>nx10223, S0=>nx7754 ); ix8875 : xnor2 port map ( Y=>nx8874, A0=>nx8818, A1=>nx10836); ix8819 : mux21 port map ( Y=>nx8818, A0=>nx10733, A1=>nx10745, S0=> C_MUX2_15_SEL); REG_12_reg_q_8 : dff port map ( Q=>reg_12_q_c_8, QB=>nx10826, D=>nx8780, CLK=>CLK); ix8781 : xor2 port map ( Y=>nx8780, A0=>nx10751, A1=>nx10755); ix10752 : aoi22 port map ( Y=>nx10751, A0=>reg_19_q_c_7, A1=>nx15468, B0 =>nx7528, B1=>nx7658); REG_19_reg_q_8 : dff port map ( Q=>reg_19_q_c_8, QB=>nx10825, D=>nx8770, CLK=>CLK); ix8771 : xnor2 port map ( Y=>nx8770, A0=>nx8658, A1=>nx10769); ix8659 : oai22 port map ( Y=>nx8658, A0=>nx10245, A1=>nx10253, B0=>nx7646, B1=>nx10324); ix8761 : mux21 port map ( Y=>nx8760, A0=>nx10775, A1=>nx10777, S0=> C_MUX2_6_SEL); REG_7_reg_q_8 : dff port map ( Q=>reg_7_q_c_8, QB=>nx10775, D=>nx9230, CLK=>CLK); ix10778 : mux21 port map ( Y=>nx10777, A0=>reg_17_q_c_8, A1=>reg_5_q_c_8, S0=>C_MUX2_18_SEL); ix8743 : ao21 port map ( Y=>nx8742, A0=>C_MUX2_9_SEL, A1=>nx8734, B0=> nx8740); ix8735 : ao21 port map ( Y=>nx8734, A0=>C_MUX2_22_SEL, A1=>reg_14_q_c_8, B0=>nx8670); REG_14_reg_q_8 : dff port map ( Q=>reg_14_q_c_8, QB=>OPEN, D=>nx8724, CLK =>CLK); ix8725 : xor2 port map ( Y=>nx8724, A0=>nx8680, A1=>nx8722); ix8681 : mux21 port map ( Y=>nx8680, A0=>PRI_IN_7(7), A1=>nx10269, S0=> nx7602); ix8723 : xnor2 port map ( Y=>nx8722, A0=>PRI_IN_7(8), A1=> PRI_OUT_6_8_EXMPLR); REG_23_reg_q_8 : dff port map ( Q=>PRI_OUT_6_8_EXMPLR, QB=>OPEN, D=> nx8714, CLK=>CLK); ix8715 : xor2 port map ( Y=>nx8714, A0=>nx15724, A1=>nx10803); REG_30_reg_q_8 : dff port map ( Q=>reg_30_q_c_8, QB=>OPEN, D=>nx8704, CLK =>CLK); ix8705 : xnor2 port map ( Y=>nx8704, A0=>nx10809, A1=>nx8702); ix10810 : aoi22 port map ( Y=>nx10809, A0=>nx10811, A1=>nx15468, B0=> nx7580, B1=>nx7582); ix10812 : inv02 port map ( Y=>nx10811, A=>PRI_IN_0(7)); ix8671 : nor02 port map ( Y=>nx8670, A0=>C_MUX2_22_SEL, A1=>nx10821); ix10822 : mux21 port map ( Y=>nx10821, A0=>reg_19_q_c_8, A1=>reg_17_q_c_8, S0=>C_MUX2_20_SEL); ix8741 : nor02 port map ( Y=>nx8740, A0=>C_MUX2_9_SEL, A1=>nx10479); REG_16_reg_q_8 : dff port map ( Q=>reg_16_q_c_8, QB=>OPEN, D=>nx8804, CLK =>CLK); ix8805 : xnor2 port map ( Y=>nx8804, A0=>nx10831, A1=>nx8802); ix10832 : mux21 port map ( Y=>nx10831, A0=>nx10377, A1=>nx7680, S0=> nx7682); ix8803 : xnor2 port map ( Y=>nx8802, A0=>reg_20_q_c_8, A1=>nx6490); ix10838 : mux21 port map ( Y=>nx10836, A0=>reg_36_q_c_8, A1=>reg_35_q_c_8, S0=>C_MUX2_11_SEL); REG_36_reg_q_8 : dff port map ( Q=>reg_36_q_c_8, QB=>OPEN, D=>nx8832, CLK =>CLK); ix8833 : xor2 port map ( Y=>nx8832, A0=>nx10843, A1=>nx10845); ix10844 : mux21 port map ( Y=>nx10843, A0=>nx7708, A1=>nx10305, S0=> nx10313); ix10846 : xnor2 port map ( Y=>nx10845, A0=>reg_16_q_c_8, A1=>nx10729); REG_5_reg_q_8 : dff port map ( Q=>reg_5_q_c_8, QB=>nx10849, D=>nx9260, CLK=>CLK); ix10856 : mux21 port map ( Y=>nx10855, A0=>reg_19_q_c_8, A1=>reg_11_q_c_8, S0=>C_MUX2_7_SEL); REG_47_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10877, D=>nx9000, CLK=>CLK ); ix9001 : xnor2 port map ( Y=>nx9000, A0=>nx8968, A1=>nx10865); ix8969 : mux21 port map ( Y=>nx8968, A0=>nx10329, A1=>nx7646, S0=>nx10331 ); ix10866 : xnor2 port map ( Y=>nx10865, A0=>nx14779, A1=>nx8996); ix8997 : ao21 port map ( Y=>nx8996, A0=>C_MUX2_12_SEL, A1=>reg_15_q_c_8, B0=>nx8972); REG_15_reg_q_8 : dff port map ( Q=>reg_15_q_c_8, QB=>OPEN, D=>nx8986, CLK =>CLK); ix8987 : xnor2 port map ( Y=>nx8986, A0=>nx10871, A1=>nx8984); ix10872 : aoi22 port map ( Y=>nx10871, A0=>nx10578, A1=>PRI_IN_1(7), B0=> nx7862, B1=>nx7864); ix8985 : xnor2 port map ( Y=>nx8984, A0=>PRI_IN_1(8), A1=>nx14741); ix8973 : nor02 port map ( Y=>nx8972, A0=>C_MUX2_12_SEL, A1=>nx10777); ix8855 : nor02 port map ( Y=>nx8854, A0=>C_MUX2_24_SEL, A1=>nx14825); ix9107 : nor02 port map ( Y=>nx9106, A0=>C_MUX2_13_SEL, A1=>nx10893); ix10894 : mux21 port map ( Y=>nx10893, A0=>reg_13_q_c_8, A1=>reg_11_q_c_8, S0=>C_MUX2_5_SEL); REG_43_reg_q_8 : dff port map ( Q=>reg_43_q_c_8, QB=>OPEN, D=>nx9180, CLK =>CLK); ix9181 : xnor2 port map ( Y=>nx9180, A0=>nx10901, A1=>nx9178); ix10902 : aoi22 port map ( Y=>nx10901, A0=>nx10538, A1=>reg_34_q_c_7, B0 =>nx8056, B1=>nx8058); ix10922 : mux21 port map ( Y=>nx10921, A0=>reg_18_q_c_8, A1=>nx8818, S0=> C_MUX2_19_SEL); REG_39_reg_q_8 : dff port map ( Q=>reg_39_q_c_8, QB=>OPEN, D=>nx9474, CLK =>CLK); ix9475 : ao21 port map ( Y=>nx9474, A0=>C_MUX2_10_SEL, A1=>nx9470, B0=> nx9348); ix9471 : xnor2 port map ( Y=>nx9470, A0=>nx10929, A1=>nx9468); ix10930 : aoi22 port map ( Y=>nx10929, A0=>nx8346, A1=>PRI_IN_4(7), B0=> nx8236, B1=>nx8348); ix9469 : xnor2 port map ( Y=>nx9468, A0=>PRI_IN_4(8), A1=>nx10937); ix10938 : mux21 port map ( Y=>nx10937, A0=>PRI_OUT_5_8_EXMPLR, A1=> reg_22_q_c_8, S0=>C_MUX2_25_SEL); REG_21_reg_q_8 : dff port map ( Q=>PRI_OUT_5_8_EXMPLR, QB=>OPEN, D=> nx9452, CLK=>CLK); ix9453 : xor2 port map ( Y=>nx9452, A0=>nx9364, A1=>nx9450); ix9365 : mux21 port map ( Y=>nx9364, A0=>nx10199, A1=>nx10404, S0=>nx8330 ); ix9451 : xnor2 port map ( Y=>nx9450, A0=>reg_48_q_c_8, A1=>nx10707); REG_48_reg_q_8 : dff port map ( Q=>reg_48_q_c_8, QB=>OPEN, D=>nx9442, CLK =>CLK); ix9443 : mux21 port map ( Y=>nx9442, A0=>nx10949, A1=>nx10957, S0=> C_MUX2_23_SEL); ix10950 : xnor2 port map ( Y=>nx10949, A0=>nx10951, A1=>nx10955); ix10952 : aoi22 port map ( Y=>nx10951, A0=>reg_40_q_c_7, A1=>reg_13_q_c_7, B0=>nx8252, B1=>nx8254); ix10958 : xnor2 port map ( Y=>nx10957, A0=>nx10959, A1=>nx10963); ix10960 : aoi22 port map ( Y=>nx10959, A0=>nx8314, A1=>reg_36_q_c_7, B0=> nx8268, B1=>nx8316); ix10964 : xnor2 port map ( Y=>nx10963, A0=>reg_36_q_c_8, A1=>nx9434); ix9435 : ao21 port map ( Y=>nx9434, A0=>C_MUX2_4_SEL, A1=> PRI_OUT_4_8_EXMPLR, B0=>nx9392); REG_9_reg_q_8 : dff port map ( Q=>PRI_OUT_4_8_EXMPLR, QB=>OPEN, D=>nx9424, CLK=>CLK); ix9425 : xor2 port map ( Y=>nx9424, A0=>nx10969, A1=>nx10973); ix10970 : aoi22 port map ( Y=>nx10969, A0=>reg_46_q_c_7, A1=>reg_22_q_c_7, B0=>nx8280, B1=>nx8302); REG_46_reg_q_8 : dff port map ( Q=>OPEN, QB=>nx10983, D=>nx9414, CLK=>CLK ); ix9415 : xnor2 port map ( Y=>nx9414, A0=>nx10979, A1=>nx9412); ix10980 : aoi22 port map ( Y=>nx10979, A0=>nx10384, A1=>PRI_IN_5(7), B0=> nx8290, B1=>nx8292); ix9413 : xnor2 port map ( Y=>nx9412, A0=>PRI_IN_5(8), A1=>reg_33_q_c_8); ix9393 : nor02 port map ( Y=>nx9392, A0=>C_MUX2_4_SEL, A1=>nx10745); ix9349 : nor02 port map ( Y=>nx9348, A0=>C_MUX2_10_SEL, A1=>nx10991); ix10992 : xor2 port map ( Y=>nx10991, A0=>nx10993, A1=>nx9342); ix10994 : aoi22 port map ( Y=>nx10993, A0=>nx7646, A1=>reg_44_q_c_7, B0=> nx8220, B1=>nx8222); REG_26_reg_q_9 : dff port map ( Q=>PRI_OUT_9(9), QB=>OPEN, D=>nx10604, CLK=>CLK); ix10605 : xor2 port map ( Y=>nx10604, A0=>nx9500, A1=>nx10602); ix9501 : mux21 port map ( Y=>nx9500, A0=>reg_33_q_c_8, A1=>nx10449, S0=> nx9482); REG_33_reg_q_9 : dff port map ( Q=>OPEN, QB=>nx11413, D=>nx10446, CLK=> CLK); ix10447 : xor2 port map ( Y=>nx10446, A0=>nx9508, A1=>nx10444); ix9509 : oai22 port map ( Y=>nx9508, A0=>nx10454, A1=>nx11011, B0=> nx10921, B1=>nx10919); ix10445 : xnor2 port map ( Y=>nx10444, A0=>reg_17_q_c_9, A1=>nx11411); REG_17_reg_q_9 : dff port map ( Q=>reg_17_q_c_9, QB=>OPEN, D=>nx10428, CLK=>CLK); ix10429 : xor2 port map ( Y=>nx10428, A0=>nx9518, A1=>nx10426); ix9519 : mux21 port map ( Y=>nx9518, A0=>nx14737, A1=>nx10467, S0=>nx9306 ); ix10427 : xnor2 port map ( Y=>nx10426, A0=>reg_29_q_c_9, A1=>nx6507); REG_29_reg_q_9 : dff port map ( Q=>reg_29_q_c_9, QB=>OPEN, D=>nx10418, CLK=>CLK); ix10419 : xor2 port map ( Y=>nx10418, A0=>nx11021, A1=>nx11026); ix11022 : mux21 port map ( Y=>nx11021, A0=>nx8408, A1=>nx11023, S0=> nx10477); ix11027 : xnor2 port map ( Y=>nx11026, A0=>nx11028, A1=>nx9854); ix11029 : mux21 port map ( Y=>nx11028, A0=>reg_20_q_c_9, A1=>nx14743, S0 =>C_MUX2_16_SEL); REG_20_reg_q_9 : dff port map ( Q=>reg_20_q_c_9, QB=>nx11408, D=>nx10400, CLK=>CLK); ix10401 : xor2 port map ( Y=>nx10400, A0=>nx9538, A1=>nx10398); ix9539 : mux21 port map ( Y=>nx9538, A0=>nx14737, A1=>nx10485, S0=>nx9278 ); REG_28_reg_q_9 : dff port map ( Q=>reg_28_q_c_9, QB=>nx11407, D=>nx10390, CLK=>CLK); ix10391 : xor2 port map ( Y=>nx10390, A0=>nx9548, A1=>nx10388); ix9549 : oai22 port map ( Y=>nx9548, A0=>nx10493, A1=>nx11043, B0=> PRI_IN_2(8), B1=>nx10849); ix10381 : xor2 port map ( Y=>nx10380, A0=>nx9558, A1=>nx10378); ix9559 : oai22 port map ( Y=>nx9558, A0=>nx10501, A1=>nx11050, B0=> PRI_IN_3(8), B1=>nx10913); ix10379 : xnor2 port map ( Y=>nx10378, A0=>PRI_IN_3(9), A1=>reg_34_q_c_9 ); REG_34_reg_q_9 : dff port map ( Q=>reg_34_q_c_9, QB=>OPEN, D=>nx10370, CLK=>CLK); ix10371 : xnor2 port map ( Y=>nx10370, A0=>nx9566, A1=>nx11059); ix9567 : oai22 port map ( Y=>nx9566, A0=>nx10511, A1=>nx10515, B0=> nx10825, B1=>nx10912); ix11060 : xnor2 port map ( Y=>nx11059, A0=>reg_18_q_c_9, A1=>reg_19_q_c_9 ); REG_18_reg_q_9 : dff port map ( Q=>reg_18_q_c_9, QB=>OPEN, D=>nx10360, CLK=>CLK); ix10361 : xor2 port map ( Y=>nx10360, A0=>nx9576, A1=>nx10358); ix9577 : mux21 port map ( Y=>nx9576, A0=>reg_7_q_c_8, A1=>nx10521, S0=> nx9238); ix10351 : xnor2 port map ( Y=>nx10350, A0=>nx9584, A1=>nx11075); ix9585 : oai22 port map ( Y=>nx9584, A0=>nx10527, A1=>nx10531, B0=> nx10911, B1=>nx10919); ix11076 : xnor2 port map ( Y=>nx11075, A0=>nx14743, A1=>reg_42_q_c_9); REG_42_reg_q_9 : dff port map ( Q=>reg_42_q_c_9, QB=>OPEN, D=>nx10340, CLK=>CLK); ix10341 : xor2 port map ( Y=>nx10340, A0=>nx11079, A1=>nx11085); ix11080 : aoi22 port map ( Y=>nx11079, A0=>nx8996, A1=>reg_40_q_c_8, B0=> nx8472, B1=>nx9218); REG_40_reg_q_9 : dff port map ( Q=>reg_40_q_c_9, QB=>nx11403, D=>nx10330, CLK=>CLK); ix10331 : xor2 port map ( Y=>nx10330, A0=>nx9602, A1=>nx10328); ix9603 : mux21 port map ( Y=>nx9602, A0=>reg_5_q_c_8, A1=>nx10545, S0=> nx9208); REG_4_reg_q_9 : dff port map ( Q=>PRI_OUT_3_9_EXMPLR, QB=>nx11402, D=> nx10320, CLK=>CLK); ix10321 : xor2 port map ( Y=>nx10320, A0=>nx9612, A1=>nx10318); ix9613 : mux21 port map ( Y=>nx9612, A0=>PRI_OUT_3_8_EXMPLR, A1=>nx10550, S0=>nx9198); REG_32_reg_q_9 : dff port map ( Q=>reg_32_q_c_9, QB=>OPEN, D=>nx10310, CLK=>CLK); ix10311 : xnor2 port map ( Y=>nx10310, A0=>nx9620, A1=>nx11107); ix9621 : ao21 port map ( Y=>nx9620, A0=>reg_43_q_c_8, A1=> PRI_OUT_8_8_EXMPLR, B0=>nx9618); ix9619 : nor02 port map ( Y=>nx9618, A0=>nx10557, A1=>nx10561); ix11108 : xnor2 port map ( Y=>nx11107, A0=>PRI_OUT_8_9_EXMPLR, A1=> reg_43_q_c_9); REG_24_reg_q_9 : dff port map ( Q=>PRI_OUT_8_9_EXMPLR, QB=>OPEN, D=> nx10280, CLK=>CLK); ix10281 : xnor2 port map ( Y=>nx10280, A0=>nx9628, A1=>nx11113); ix9629 : oai22 port map ( Y=>nx9628, A0=>nx10566, A1=>nx10569, B0=> nx10895, B1=>nx10913); ix11114 : xnor2 port map ( Y=>nx11113, A0=>reg_34_q_c_9, A1=>reg_41_q_c_9 ); REG_41_reg_q_9 : dff port map ( Q=>reg_41_q_c_9, QB=>OPEN, D=>nx10270, CLK=>CLK); ix10271 : xnor2 port map ( Y=>nx10270, A0=>nx9636, A1=>nx11123); ix9637 : mux21 port map ( Y=>nx9636, A0=>nx10575, A1=>nx11120, S0=> nx10581); ix11124 : xnor2 port map ( Y=>nx11123, A0=>PRI_IN_8(9), A1=>nx6509); ix10267 : ao21 port map ( Y=>nx6509, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_9, B0=>nx10226); REG_8_reg_q_9 : dff port map ( Q=>reg_8_q_c_9, QB=>OPEN, D=>nx10256, CLK =>CLK); ix10257 : xnor2 port map ( Y=>nx10256, A0=>nx10234, A1=>nx11131); ix10235 : mux21 port map ( Y=>nx10234, A0=>nx10589, A1=>nx10883, S0=> nx10593); REG_2_reg_q_9 : dff port map ( Q=>PRI_OUT_1_9_EXMPLR, QB=>OPEN, D=> nx10246, CLK=>CLK); ix10247 : xnor2 port map ( Y=>nx10246, A0=>nx10242, A1=>nx11137); ix10243 : oai22 port map ( Y=>nx10242, A0=>nx10598, A1=>nx10601, B0=> nx10878, B1=>nx10889); REG_35_reg_q_9 : dff port map ( Q=>reg_35_q_c_9, QB=>nx11385, D=>nx9982, CLK=>CLK); ix9983 : xor2 port map ( Y=>nx9982, A0=>nx11143, A1=>nx11147); ix11144 : aoi22 port map ( Y=>nx11143, A0=>nx14739, A1=>reg_37_q_c_8, B0 =>nx8850, B1=>nx8860); REG_37_reg_q_9 : dff port map ( Q=>reg_37_q_c_9, QB=>nx11379, D=>nx10170, CLK=>CLK); ix10171 : xnor2 port map ( Y=>nx10170, A0=>nx9670, A1=>nx11153); ix9671 : ao21 port map ( Y=>nx9670, A0=>reg_44_q_c_8, A1=>PRI_IN_6(8), B0 =>nx9668); ix11154 : xnor2 port map ( Y=>nx11153, A0=>PRI_IN_6(9), A1=>reg_44_q_c_9 ); REG_44_reg_q_9 : dff port map ( Q=>reg_44_q_c_9, QB=>OPEN, D=>nx10160, CLK=>CLK); ix10161 : xor2 port map ( Y=>nx10160, A0=>nx9680, A1=>nx10158); ix9681 : mux21 port map ( Y=>nx9680, A0=>reg_27_q_c_8, A1=>nx10623, S0=> nx9038); REG_10_reg_q_9 : dff port map ( Q=>reg_10_q_c_9, QB=>OPEN, D=>nx10150, CLK=>CLK); ix10151 : xnor2 port map ( Y=>nx10150, A0=>nx9688, A1=>nx11164); ix9689 : oai22 port map ( Y=>nx9688, A0=>nx10631, A1=>nx10635, B0=> nx10879, B1=>nx10849); REG_11_reg_q_9 : dff port map ( Q=>reg_11_q_c_9, QB=>OPEN, D=>nx10140, CLK=>CLK); ix10141 : xor2 port map ( Y=>nx10140, A0=>nx11169, A1=>nx11177); ix11170 : aoi22 port map ( Y=>nx11169, A0=>nx6490, A1=>reg_45_q_c_8, B0=> nx8576, B1=>nx9018); REG_45_reg_q_9 : dff port map ( Q=>reg_45_q_c_9, QB=>nx11373, D=>nx10130, CLK=>CLK); ix10131 : xnor2 port map ( Y=>nx10130, A0=>nx9704, A1=>nx11185); ix9705 : oai22 port map ( Y=>nx9704, A0=>nx10652, A1=>nx10657, B0=> nx10877, B1=>nx10858); REG_27_reg_q_9 : dff port map ( Q=>reg_27_q_c_9, QB=>nx11353, D=>nx10072, CLK=>CLK); ix10073 : xor2 port map ( Y=>nx10072, A0=>nx9714, A1=>nx10070); REG_13_reg_q_9 : dff port map ( Q=>reg_13_q_c_9, QB=>nx11223, D=>nx10208, CLK=>CLK); ix10209 : xor2 port map ( Y=>nx10208, A0=>nx9646, A1=>nx10206); ix9647 : mux21 port map ( Y=>nx9646, A0=>reg_35_q_c_8, A1=>nx10671, S0=> nx9086); REG_1_reg_q_9 : dff port map ( Q=>PRI_OUT_0_9_EXMPLR, QB=>OPEN, D=> nx10198, CLK=>CLK); ix10199 : xor2 port map ( Y=>nx10198, A0=>nx9654, A1=>nx10196); ix9655 : oai22 port map ( Y=>nx9654, A0=>nx10679, A1=>nx11202, B0=> nx10687, B1=>nx10895); ix10197 : xnor2 port map ( Y=>nx10196, A0=>reg_41_q_c_9, A1=>nx11207); ix11208 : mux21 port map ( Y=>nx11207, A0=>reg_25_q_c_9, A1=> PRI_OUT_8_9_EXMPLR, S0=>C_MUX2_8_SEL); REG_25_reg_q_9 : dff port map ( Q=>reg_25_q_c_9, QB=>OPEN, D=>nx10180, CLK=>CLK); ix10181 : xor2 port map ( Y=>nx10180, A0=>nx11213, A1=>nx11217); ix11214 : aoi22 port map ( Y=>nx11213, A0=>nx14741, A1=>reg_37_q_c_8, B0 =>nx8542, B1=>nx9058); REG_31_reg_q_9 : dff port map ( Q=>reg_31_q_c_9, QB=>nx11351, D=>nx10062, CLK=>CLK); ix10063 : xor2 port map ( Y=>nx10062, A0=>nx9724, A1=>nx10060); ix9725 : mux21 port map ( Y=>nx9724, A0=>nx8930, A1=>nx10703, S0=>nx8940 ); ix10061 : xnor2 port map ( Y=>nx10060, A0=>nx11232, A1=>nx11349); ix11233 : mux21 port map ( Y=>nx11232, A0=>reg_38_q_c_9, A1=>reg_37_q_c_9, S0=>C_MUX2_3_SEL); REG_38_reg_q_9 : dff port map ( Q=>reg_38_q_c_9, QB=>OPEN, D=>nx10036, CLK=>CLK); ix10037 : xnor2 port map ( Y=>nx10036, A0=>nx11237, A1=>nx10034); ix11238 : mux21 port map ( Y=>nx11237, A0=>nx10851, A1=>nx8614, S0=> nx8914); ix10035 : xnor2 port map ( Y=>nx10034, A0=>reg_22_q_c_9, A1=>nx9880); REG_22_reg_q_9 : dff port map ( Q=>reg_22_q_c_9, QB=>OPEN, D=>nx10026, CLK=>CLK); ix10027 : xor2 port map ( Y=>nx10026, A0=>nx11244, A1=>nx11247); ix11245 : aoi22 port map ( Y=>nx11244, A0=>nx10729, A1=>reg_28_q_c_8, B0 =>nx8624, B1=>nx8904); ix11250 : mux21 port map ( Y=>nx11249, A0=>reg_10_q_c_9, A1=>nx6503, S0=> C_MUX2_14_SEL); ix10019 : mux21 port map ( Y=>nx6503, A0=>nx11253, A1=>nx11344, S0=> C_MUX2_21_SEL); ix11254 : mux21 port map ( Y=>nx11253, A0=>PRI_OUT_7_9_EXMPLR, A1=> nx14743, S0=>C_MUX2_17_SEL); REG_6_reg_q_9 : dff port map ( Q=>PRI_OUT_7_9_EXMPLR, QB=>OPEN, D=>nx9996, CLK=>CLK); ix9997 : xnor2 port map ( Y=>nx9996, A0=>nx11257, A1=>nx9994); ix11258 : mux21 port map ( Y=>nx11257, A0=>nx8872, A1=>nx8636, S0=>nx8874 ); ix9995 : xnor2 port map ( Y=>nx9994, A0=>nx9938, A1=>nx11334); ix9939 : mux21 port map ( Y=>nx9938, A0=>nx11253, A1=>nx11265, S0=> C_MUX2_15_SEL); REG_12_reg_q_9 : dff port map ( Q=>reg_12_q_c_9, QB=>OPEN, D=>nx9900, CLK =>CLK); ix9901 : xnor2 port map ( Y=>nx9900, A0=>nx9768, A1=>nx11271); ix9769 : oai22 port map ( Y=>nx9768, A0=>nx10751, A1=>nx10755, B0=> nx10825, B1=>nx10826); ix11272 : xnor2 port map ( Y=>nx11271, A0=>reg_12_q_c_9, A1=>reg_19_q_c_9 ); REG_19_reg_q_9 : dff port map ( Q=>reg_19_q_c_9, QB=>OPEN, D=>nx9890, CLK =>CLK); ix9891 : xor2 port map ( Y=>nx9890, A0=>nx11275, A1=>nx11283); ix11276 : aoi22 port map ( Y=>nx11275, A0=>nx14779, A1=>reg_31_q_c_8, B0 =>nx8658, B1=>nx8768); ix11286 : mux21 port map ( Y=>nx11285, A0=>nx9880, A1=>nx9862, S0=> C_MUX2_1_SEL); ix9881 : mux21 port map ( Y=>nx9880, A0=>nx11289, A1=>nx11291, S0=> C_MUX2_6_SEL); REG_7_reg_q_9 : dff port map ( Q=>OPEN, QB=>nx11289, D=>nx10350, CLK=>CLK ); ix11292 : mux21 port map ( Y=>nx11291, A0=>nx14743, A1=>reg_5_q_c_9, S0=> C_MUX2_18_SEL); ix9863 : ao21 port map ( Y=>nx9862, A0=>C_MUX2_9_SEL, A1=>nx9854, B0=> nx9860); ix9855 : ao21 port map ( Y=>nx9854, A0=>C_MUX2_22_SEL, A1=>reg_14_q_c_9, B0=>nx9790); REG_14_reg_q_9 : dff port map ( Q=>reg_14_q_c_9, QB=>OPEN, D=>nx9844, CLK =>CLK); ix9845 : xnor2 port map ( Y=>nx9844, A0=>nx11297, A1=>nx9842); ix11298 : aoi22 port map ( Y=>nx11297, A0=>nx11299, A1=> PRI_OUT_6_8_EXMPLR, B0=>nx8680, B1=>nx8722); ix11300 : inv02 port map ( Y=>nx11299, A=>PRI_IN_7(8)); ix9843 : xnor2 port map ( Y=>nx9842, A0=>PRI_IN_7(9), A1=> PRI_OUT_6_9_EXMPLR); REG_23_reg_q_9 : dff port map ( Q=>PRI_OUT_6_9_EXMPLR, QB=>OPEN, D=> nx9834, CLK=>CLK); ix9835 : xnor2 port map ( Y=>nx9834, A0=>nx9810, A1=>nx11307); ix11308 : xnor2 port map ( Y=>nx11307, A0=>reg_30_q_c_9, A1=>nx11265); REG_30_reg_q_9 : dff port map ( Q=>reg_30_q_c_9, QB=>OPEN, D=>nx9824, CLK =>CLK); ix9825 : xor2 port map ( Y=>nx9824, A0=>nx9820, A1=>nx9822); ix9821 : oai22 port map ( Y=>nx9820, A0=>nx10809, A1=>nx11313, B0=> PRI_IN_0(8), B1=>nx10826); ix9823 : xnor2 port map ( Y=>nx9822, A0=>PRI_IN_0(9), A1=>reg_12_q_c_9); ix9791 : nor02 port map ( Y=>nx9790, A0=>C_MUX2_22_SEL, A1=>nx11322); ix11323 : mux21 port map ( Y=>nx11322, A0=>reg_19_q_c_9, A1=>nx14743, S0 =>C_MUX2_20_SEL); ix9861 : nor02 port map ( Y=>nx9860, A0=>C_MUX2_9_SEL, A1=>nx11028); REG_16_reg_q_9 : dff port map ( Q=>reg_16_q_c_9, QB=>nx11333, D=>nx9924, CLK=>CLK); ix9925 : xor2 port map ( Y=>nx9924, A0=>nx9920, A1=>nx9922); ix9921 : mux21 port map ( Y=>nx9920, A0=>reg_20_q_c_8, A1=>nx10831, S0=> nx8802); ix11335 : mux21 port map ( Y=>nx11334, A0=>reg_36_q_c_9, A1=>reg_35_q_c_9, S0=>C_MUX2_11_SEL); REG_36_reg_q_9 : dff port map ( Q=>reg_36_q_c_9, QB=>OPEN, D=>nx9952, CLK =>CLK); ix9953 : xnor2 port map ( Y=>nx9952, A0=>nx9948, A1=>nx11339); ix9949 : mux21 port map ( Y=>nx9948, A0=>nx10843, A1=>reg_16_q_c_8, S0=> nx10845); REG_5_reg_q_9 : dff port map ( Q=>reg_5_q_c_9, QB=>nx11344, D=>nx10380, CLK=>CLK); ix11350 : mux21 port map ( Y=>nx11349, A0=>reg_19_q_c_9, A1=>reg_11_q_c_9, S0=>C_MUX2_7_SEL); REG_47_reg_q_9 : dff port map ( Q=>reg_47_q_c_9, QB=>OPEN, D=>nx10120, CLK=>CLK); ix10121 : xor2 port map ( Y=>nx10120, A0=>nx11357, A1=>nx11359); ix11358 : mux21 port map ( Y=>nx11357, A0=>nx8968, A1=>nx14779, S0=> nx10865); ix11360 : xnor2 port map ( Y=>nx11359, A0=>nx11285, A1=>nx10116); ix10117 : ao21 port map ( Y=>nx10116, A0=>C_MUX2_12_SEL, A1=>reg_15_q_c_9, B0=>nx10092); REG_15_reg_q_9 : dff port map ( Q=>reg_15_q_c_9, QB=>OPEN, D=>nx10106, CLK=>CLK); ix10107 : xor2 port map ( Y=>nx10106, A0=>nx10102, A1=>nx10104); ix10103 : mux21 port map ( Y=>nx10102, A0=>nx6495, A1=>nx10871, S0=> nx8984); ix10105 : xnor2 port map ( Y=>nx10104, A0=>PRI_IN_1(9), A1=>nx6509); ix10093 : nor02 port map ( Y=>nx10092, A0=>C_MUX2_12_SEL, A1=>nx11291); ix9979 : ao21 port map ( Y=>nx6507, A0=>C_MUX2_24_SEL, A1=>reg_27_q_c_9, B0=>nx9974); ix9975 : nor02 port map ( Y=>nx9974, A0=>C_MUX2_24_SEL, A1=>nx11382); ix10227 : nor02 port map ( Y=>nx10226, A0=>C_MUX2_13_SEL, A1=>nx11389); ix11390 : mux21 port map ( Y=>nx11389, A0=>reg_13_q_c_9, A1=>reg_11_q_c_9, S0=>C_MUX2_5_SEL); REG_43_reg_q_9 : dff port map ( Q=>reg_43_q_c_9, QB=>OPEN, D=>nx10300, CLK=>CLK); ix10301 : xor2 port map ( Y=>nx10300, A0=>nx10296, A1=>nx10298); ix10297 : oai22 port map ( Y=>nx10296, A0=>nx10901, A1=>nx11396, B0=> nx8996, B1=>nx10913); ix10299 : xnor2 port map ( Y=>nx10298, A0=>reg_34_q_c_9, A1=>nx10116); ix11412 : mux21 port map ( Y=>nx11411, A0=>reg_18_q_c_9, A1=>nx9938, S0=> C_MUX2_19_SEL); REG_39_reg_q_9 : dff port map ( Q=>reg_39_q_c_9, QB=>OPEN, D=>nx10594, CLK=>CLK); ix10595 : mux21 port map ( Y=>nx10594, A0=>nx11417, A1=>nx11424, S0=> C_MUX2_10_SEL); ix11418 : xnor2 port map ( Y=>nx11417, A0=>nx10460, A1=>nx10462); ix10461 : oai22 port map ( Y=>nx10460, A0=>nx10993, A1=>nx11421, B0=> nx14781, B1=>nx10882); ix10463 : xnor2 port map ( Y=>nx10462, A0=>reg_44_q_c_9, A1=>nx11285); ix11425 : xnor2 port map ( Y=>nx11424, A0=>nx10476, A1=>nx10588); ix10477 : mux21 port map ( Y=>nx10476, A0=>nx10937, A1=>nx10929, S0=> nx9468); ix10589 : xnor2 port map ( Y=>nx10588, A0=>PRI_IN_4(9), A1=>nx11429); ix11430 : mux21 port map ( Y=>nx11429, A0=>PRI_OUT_5_9_EXMPLR, A1=> reg_22_q_c_9, S0=>C_MUX2_25_SEL); REG_21_reg_q_9 : dff port map ( Q=>PRI_OUT_5_9_EXMPLR, QB=>OPEN, D=> nx10572, CLK=>CLK); ix10573 : xnor2 port map ( Y=>nx10572, A0=>nx11434, A1=>nx10570); ix11435 : aoi22 port map ( Y=>nx11434, A0=>nx8930, A1=>reg_48_q_c_8, B0=> nx9364, B1=>nx9450); ix10571 : xnor2 port map ( Y=>nx10570, A0=>reg_48_q_c_9, A1=>nx11232); REG_48_reg_q_9 : dff port map ( Q=>reg_48_q_c_9, QB=>OPEN, D=>nx10562, CLK=>CLK); ix10563 : ao21 port map ( Y=>nx10562, A0=>C_MUX2_23_SEL, A1=>nx10558, B0 =>nx10500); ix10559 : xnor2 port map ( Y=>nx10558, A0=>nx10508, A1=>nx11444); ix11445 : xnor2 port map ( Y=>nx11444, A0=>reg_36_q_c_9, A1=>nx10554); ix10555 : ao21 port map ( Y=>nx10554, A0=>C_MUX2_4_SEL, A1=> PRI_OUT_4_9_EXMPLR, B0=>nx10512); REG_9_reg_q_9 : dff port map ( Q=>PRI_OUT_4_9_EXMPLR, QB=>OPEN, D=> nx10544, CLK=>CLK); ix10545 : xnor2 port map ( Y=>nx10544, A0=>nx10520, A1=>nx11451); ix10521 : oai22 port map ( Y=>nx10520, A0=>nx10969, A1=>nx10973, B0=> nx10983, B1=>nx10851); ix11452 : xnor2 port map ( Y=>nx11451, A0=>reg_22_q_c_9, A1=>reg_46_q_c_9 ); REG_46_reg_q_9 : dff port map ( Q=>reg_46_q_c_9, QB=>OPEN, D=>nx10534, CLK=>CLK); ix10535 : xor2 port map ( Y=>nx10534, A0=>nx10530, A1=>nx10532); ix10531 : mux21 port map ( Y=>nx10530, A0=>reg_33_q_c_8, A1=>nx10979, S0 =>nx9412); ix10513 : nor02 port map ( Y=>nx10512, A0=>C_MUX2_4_SEL, A1=>nx11265); ix10501 : nor02 port map ( Y=>nx10500, A0=>C_MUX2_23_SEL, A1=>nx11463); ix11464 : xor2 port map ( Y=>nx11463, A0=>nx10492, A1=>nx11466); ix10493 : oai22 port map ( Y=>nx10492, A0=>nx10951, A1=>nx10955, B0=> nx10909, B1=>nx10699); REG_26_reg_q_10 : dff port map ( Q=>PRI_OUT_9(10), QB=>OPEN, D=>nx11724, CLK=>CLK); ix11725 : xnor2 port map ( Y=>nx11724, A0=>nx11476, A1=>nx11722); ix11477 : aoi22 port map ( Y=>nx11476, A0=>nx11413, A1=>reg_39_q_c_9, B0 =>nx9500, B1=>nx10602); ix11723 : xnor2 port map ( Y=>nx11722, A0=>reg_33_q_c_10, A1=> reg_39_q_c_10); REG_33_reg_q_10 : dff port map ( Q=>reg_33_q_c_10, QB=>OPEN, D=>nx11566, CLK=>CLK); ix11567 : xnor2 port map ( Y=>nx11566, A0=>nx11483, A1=>nx11564); ix11484 : aoi22 port map ( Y=>nx11483, A0=>nx10442, A1=>reg_17_q_c_9, B0 =>nx9508, B1=>nx10444); REG_17_reg_q_10 : dff port map ( Q=>reg_17_q_c_10, QB=>nx11933, D=> nx11548, CLK=>CLK); ix11549 : xnor2 port map ( Y=>nx11548, A0=>nx11491, A1=>nx11546); ix11492 : aoi22 port map ( Y=>nx11491, A0=>nx11382, A1=>reg_29_q_c_9, B0 =>nx9518, B1=>nx10426); REG_29_reg_q_10 : dff port map ( Q=>reg_29_q_c_10, QB=>OPEN, D=>nx11538, CLK=>CLK); ix11539 : xnor2 port map ( Y=>nx11538, A0=>nx10648, A1=>nx11497); ix10649 : mux21 port map ( Y=>nx10648, A0=>nx11021, A1=>nx9854, S0=> nx11026); ix11498 : xnor2 port map ( Y=>nx11497, A0=>nx11499, A1=>nx10974); ix11500 : mux21 port map ( Y=>nx11499, A0=>reg_20_q_c_10, A1=> reg_17_q_c_10, S0=>C_MUX2_16_SEL); REG_20_reg_q_10 : dff port map ( Q=>reg_20_q_c_10, QB=>OPEN, D=>nx11520, CLK=>CLK); ix11521 : xnor2 port map ( Y=>nx11520, A0=>nx11504, A1=>nx11518); ix11505 : aoi22 port map ( Y=>nx11504, A0=>nx11382, A1=>reg_28_q_c_9, B0 =>nx9538, B1=>nx10398); REG_28_reg_q_10 : dff port map ( Q=>reg_28_q_c_10, QB=>OPEN, D=>nx11510, CLK=>CLK); ix11511 : xnor2 port map ( Y=>nx11510, A0=>nx11509, A1=>nx11508); ix11510 : aoi22 port map ( Y=>nx11509, A0=>nx11511, A1=>reg_5_q_c_9, B0=> nx9548, B1=>nx10388); ix11512 : inv02 port map ( Y=>nx11511, A=>PRI_IN_2(9)); ix11501 : xnor2 port map ( Y=>nx11500, A0=>nx11516, A1=>nx11498); ix11517 : aoi22 port map ( Y=>nx11516, A0=>nx11519, A1=>reg_34_q_c_9, B0 =>nx9558, B1=>nx10378); ix11520 : inv02 port map ( Y=>nx11519, A=>PRI_IN_3(9)); REG_34_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11927, D=>nx11490, CLK=> CLK); ix11491 : xor2 port map ( Y=>nx11490, A0=>nx11525, A1=>nx11528); ix11526 : aoi22 port map ( Y=>nx11525, A0=>reg_19_q_c_9, A1=>reg_18_q_c_9, B0=>nx9566, B1=>nx10368); REG_18_reg_q_10 : dff port map ( Q=>reg_18_q_c_10, QB=>nx11925, D=> nx11480, CLK=>CLK); ix11481 : xnor2 port map ( Y=>nx11480, A0=>nx11533, A1=>nx11478); ix11534 : aoi22 port map ( Y=>nx11533, A0=>nx11289, A1=>PRI_IN_9(9), B0=> nx9576, B1=>nx10358); ix11471 : xor2 port map ( Y=>nx11470, A0=>nx11541, A1=>nx11544); ix11542 : aoi22 port map ( Y=>nx11541, A0=>reg_42_q_c_9, A1=>reg_17_q_c_9, B0=>nx9584, B1=>nx10348); REG_42_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11923, D=>nx11460, CLK=> CLK); ix11461 : xnor2 port map ( Y=>nx11460, A0=>nx10712, A1=>nx11553); ix10713 : oai22 port map ( Y=>nx10712, A0=>nx11079, A1=>nx11085, B0=> nx11551, B1=>nx11403); REG_40_reg_q_10 : dff port map ( Q=>reg_40_q_c_10, QB=>nx11922, D=> nx11450, CLK=>CLK); ix11451 : xnor2 port map ( Y=>nx11450, A0=>nx11557, A1=>nx11448); ix11558 : aoi22 port map ( Y=>nx11557, A0=>nx11344, A1=> PRI_OUT_3_9_EXMPLR, B0=>nx9602, B1=>nx10328); REG_4_reg_q_10 : dff port map ( Q=>PRI_OUT_3_10_EXMPLR, QB=>OPEN, D=> nx11440, CLK=>CLK); ix11441 : xnor2 port map ( Y=>nx11440, A0=>nx11565, A1=>nx11438); ix11566 : aoi22 port map ( Y=>nx11565, A0=>nx11402, A1=>reg_32_q_c_9, B0 =>nx9612, B1=>nx10318); ix11439 : xnor2 port map ( Y=>nx11438, A0=>PRI_OUT_3_10_EXMPLR, A1=> reg_32_q_c_10); REG_32_reg_q_10 : dff port map ( Q=>reg_32_q_c_10, QB=>OPEN, D=>nx11430, CLK=>CLK); ix11431 : xor2 port map ( Y=>nx11430, A0=>nx11571, A1=>nx11574); ix11572 : aoi22 port map ( Y=>nx11571, A0=>reg_43_q_c_9, A1=> PRI_OUT_8_9_EXMPLR, B0=>nx9620, B1=>nx10308); ix11576 : xnor2 port map ( Y=>nx11574, A0=>PRI_OUT_8_10_EXMPLR, A1=> reg_43_q_c_10); REG_24_reg_q_10 : dff port map ( Q=>PRI_OUT_8_10_EXMPLR, QB=>OPEN, D=> nx11400, CLK=>CLK); ix11401 : xor2 port map ( Y=>nx11400, A0=>nx11581, A1=>nx11585); ix11582 : aoi22 port map ( Y=>nx11581, A0=>reg_41_q_c_9, A1=>reg_34_q_c_9, B0=>nx9628, B1=>nx10278); REG_41_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11907, D=>nx11390, CLK=> CLK); ix11391 : xor2 port map ( Y=>nx11390, A0=>nx11589, A1=>nx11597); ix11590 : aoi22 port map ( Y=>nx11589, A0=>nx6509, A1=>PRI_IN_8(9), B0=> nx9636, B1=>nx10268); ix11598 : xnor2 port map ( Y=>nx11597, A0=>PRI_IN_8(10), A1=>nx14749); ix11387 : ao21 port map ( Y=>nx6523, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_10, B0=>nx11346); REG_8_reg_q_10 : dff port map ( Q=>reg_8_q_c_10, QB=>OPEN, D=>nx11376, CLK=>CLK); ix11377 : xor2 port map ( Y=>nx11376, A0=>nx11605, A1=>nx11609); ix11606 : aoi22 port map ( Y=>nx11605, A0=>reg_37_q_c_9, A1=> PRI_OUT_1_9_EXMPLR, B0=>nx10234, B1=>nx10254); REG_2_reg_q_10 : dff port map ( Q=>PRI_OUT_1_10_EXMPLR, QB=>OPEN, D=> nx11366, CLK=>CLK); ix11367 : xor2 port map ( Y=>nx11366, A0=>nx11615, A1=>nx11619); ix11616 : aoi22 port map ( Y=>nx11615, A0=>reg_45_q_c_9, A1=>reg_35_q_c_9, B0=>nx10242, B1=>nx10244); REG_35_reg_q_10 : dff port map ( Q=>reg_35_q_c_10, QB=>nx11899, D=> nx11102, CLK=>CLK); ix11103 : xnor2 port map ( Y=>nx11102, A0=>nx11090, A1=>nx11627); ix11091 : oai22 port map ( Y=>nx11090, A0=>nx11143, A1=>nx11147, B0=> nx11382, B1=>nx11379); REG_37_reg_q_10 : dff port map ( Q=>reg_37_q_c_10, QB=>nx11893, D=> nx11290, CLK=>CLK); ix11291 : xor2 port map ( Y=>nx11290, A0=>nx11633, A1=>nx11637); ix11634 : aoi22 port map ( Y=>nx11633, A0=>reg_44_q_c_9, A1=>PRI_IN_6(9), B0=>nx9670, B1=>nx10168); REG_44_reg_q_10 : dff port map ( Q=>reg_44_q_c_10, QB=>nx11891, D=> nx11280, CLK=>CLK); ix11281 : xnor2 port map ( Y=>nx11280, A0=>nx11643, A1=>nx11278); ix11644 : aoi22 port map ( Y=>nx11643, A0=>nx11353, A1=>reg_10_q_c_9, B0 =>nx9680, B1=>nx10158); REG_10_reg_q_10 : dff port map ( Q=>reg_10_q_c_10, QB=>OPEN, D=>nx11270, CLK=>CLK); ix11271 : xor2 port map ( Y=>nx11270, A0=>nx11651, A1=>nx11655); ix11652 : aoi22 port map ( Y=>nx11651, A0=>reg_11_q_c_9, A1=>reg_5_q_c_9, B0=>nx9688, B1=>nx10148); REG_11_reg_q_10 : dff port map ( Q=>reg_11_q_c_10, QB=>nx11888, D=> nx11260, CLK=>CLK); ix11261 : xnor2 port map ( Y=>nx11260, A0=>nx10816, A1=>nx11663); ix10817 : oai22 port map ( Y=>nx10816, A0=>nx11169, A1=>nx11177, B0=> nx11660, B1=>nx11373); REG_45_reg_q_10 : dff port map ( Q=>reg_45_q_c_10, QB=>nx11887, D=> nx11250, CLK=>CLK); ix11251 : xor2 port map ( Y=>nx11250, A0=>nx11668, A1=>nx11671); ix11669 : aoi22 port map ( Y=>nx11668, A0=>reg_47_q_c_9, A1=>reg_27_q_c_9, B0=>nx9704, B1=>nx10128); REG_27_reg_q_10 : dff port map ( Q=>reg_27_q_c_10, QB=>nx11865, D=> nx11192, CLK=>CLK); ix11193 : xnor2 port map ( Y=>nx11192, A0=>nx11677, A1=>nx11190); ix11678 : aoi22 port map ( Y=>nx11677, A0=>nx11223, A1=>reg_31_q_c_9, B0 =>nx9714, B1=>nx10070); REG_13_reg_q_10 : dff port map ( Q=>reg_13_q_c_10, QB=>nx11709, D=> nx11328, CLK=>CLK); ix11329 : xnor2 port map ( Y=>nx11328, A0=>nx11685, A1=>nx11326); ix11686 : aoi22 port map ( Y=>nx11685, A0=>nx11385, A1=> PRI_OUT_0_9_EXMPLR, B0=>nx9646, B1=>nx10206); REG_1_reg_q_10 : dff port map ( Q=>PRI_OUT_0_10_EXMPLR, QB=>OPEN, D=> nx11318, CLK=>CLK); ix11319 : xnor2 port map ( Y=>nx11318, A0=>nx11691, A1=>nx11316); ix11692 : aoi22 port map ( Y=>nx11691, A0=>nx10194, A1=>reg_41_q_c_9, B0 =>nx9654, B1=>nx10196); ix11698 : mux21 port map ( Y=>nx11697, A0=>reg_25_q_c_10, A1=> PRI_OUT_8_10_EXMPLR, S0=>C_MUX2_8_SEL); REG_25_reg_q_10 : dff port map ( Q=>reg_25_q_c_10, QB=>OPEN, D=>nx11300, CLK=>CLK); ix11301 : xnor2 port map ( Y=>nx11300, A0=>nx10782, A1=>nx11703); ix10783 : oai22 port map ( Y=>nx10782, A0=>nx11213, A1=>nx11217, B0=> nx11593, B1=>nx11379); REG_31_reg_q_10 : dff port map ( Q=>reg_31_q_c_10, QB=>nx11863, D=> nx11182, CLK=>CLK); ix11183 : xnor2 port map ( Y=>nx11182, A0=>nx11713, A1=>nx11180); ix11714 : mux21 port map ( Y=>nx11713, A0=>nx11232, A1=>nx9724, S0=> nx10060); ix11181 : xnor2 port map ( Y=>nx11180, A0=>nx11717, A1=>nx11861); ix11718 : mux21 port map ( Y=>nx11717, A0=>reg_38_q_c_10, A1=> reg_37_q_c_10, S0=>C_MUX2_3_SEL); REG_38_reg_q_10 : dff port map ( Q=>reg_38_q_c_10, QB=>OPEN, D=>nx11156, CLK=>CLK); ix11157 : xor2 port map ( Y=>nx11156, A0=>nx10854, A1=>nx11154); ix10855 : mux21 port map ( Y=>nx10854, A0=>reg_22_q_c_9, A1=>nx11237, S0 =>nx10034); REG_22_reg_q_10 : dff port map ( Q=>reg_22_q_c_10, QB=>nx11857, D=> nx11146, CLK=>CLK); ix11147 : xnor2 port map ( Y=>nx11146, A0=>nx10864, A1=>nx11730); ix10865 : oai22 port map ( Y=>nx10864, A0=>nx11244, A1=>nx11247, B0=> nx6505, B1=>nx11407); ix11731 : xnor2 port map ( Y=>nx11730, A0=>reg_28_q_c_10, A1=>nx11732); ix11734 : mux21 port map ( Y=>nx11732, A0=>reg_10_q_c_10, A1=>nx6517, S0 =>C_MUX2_14_SEL); ix11139 : mux21 port map ( Y=>nx6517, A0=>nx11737, A1=>nx11854, S0=> C_MUX2_21_SEL); ix11738 : mux21 port map ( Y=>nx11737, A0=>PRI_OUT_7_10_EXMPLR, A1=> reg_17_q_c_10, S0=>C_MUX2_17_SEL); REG_6_reg_q_10 : dff port map ( Q=>PRI_OUT_7_10_EXMPLR, QB=>OPEN, D=> nx11116, CLK=>CLK); ix11117 : xor2 port map ( Y=>nx11116, A0=>nx10876, A1=>nx11114); ix10877 : mux21 port map ( Y=>nx10876, A0=>nx11334, A1=>nx11257, S0=> nx9994); ix11115 : xnor2 port map ( Y=>nx11114, A0=>nx11058, A1=>nx11841); ix11059 : mux21 port map ( Y=>nx11058, A0=>nx11737, A1=>nx11749, S0=> C_MUX2_15_SEL); REG_12_reg_q_10 : dff port map ( Q=>reg_12_q_c_10, QB=>nx11831, D=> nx11020, CLK=>CLK); ix11021 : xor2 port map ( Y=>nx11020, A0=>nx11755, A1=>nx11759); ix11756 : aoi22 port map ( Y=>nx11755, A0=>reg_19_q_c_9, A1=>reg_12_q_c_9, B0=>nx9768, B1=>nx9898); REG_19_reg_q_10 : dff port map ( Q=>reg_19_q_c_10, QB=>nx11829, D=> nx11010, CLK=>CLK); ix11011 : xnor2 port map ( Y=>nx11010, A0=>nx10898, A1=>nx11773); ix10899 : oai22 port map ( Y=>nx10898, A0=>nx11275, A1=>nx11283, B0=> nx9886, B1=>nx11351); ix11776 : mux21 port map ( Y=>nx11775, A0=>nx11000, A1=>nx10982, S0=> C_MUX2_1_SEL); ix11001 : mux21 port map ( Y=>nx11000, A0=>nx11779, A1=>nx11781, S0=> C_MUX2_6_SEL); REG_7_reg_q_10 : dff port map ( Q=>reg_7_q_c_10, QB=>nx11779, D=>nx11470, CLK=>CLK); ix11782 : mux21 port map ( Y=>nx11781, A0=>reg_17_q_c_10, A1=> reg_5_q_c_10, S0=>C_MUX2_18_SEL); ix10983 : ao21 port map ( Y=>nx10982, A0=>C_MUX2_9_SEL, A1=>nx10974, B0=> nx10980); ix10975 : ao21 port map ( Y=>nx10974, A0=>C_MUX2_22_SEL, A1=> reg_14_q_c_10, B0=>nx10910); REG_14_reg_q_10 : dff port map ( Q=>reg_14_q_c_10, QB=>OPEN, D=>nx10964, CLK=>CLK); ix10965 : xor2 port map ( Y=>nx10964, A0=>nx10920, A1=>nx10962); ix10921 : mux21 port map ( Y=>nx10920, A0=>PRI_IN_7(9), A1=>nx11297, S0=> nx9842); ix10963 : xnor2 port map ( Y=>nx10962, A0=>PRI_IN_7(10), A1=> PRI_OUT_6_10_EXMPLR); REG_23_reg_q_10 : dff port map ( Q=>PRI_OUT_6_10_EXMPLR, QB=>OPEN, D=> nx10954, CLK=>CLK); ix10955 : xor2 port map ( Y=>nx10954, A0=>nx15623, A1=>nx11807); REG_30_reg_q_10 : dff port map ( Q=>reg_30_q_c_10, QB=>OPEN, D=>nx10944, CLK=>CLK); ix10945 : xnor2 port map ( Y=>nx10944, A0=>nx11813, A1=>nx10942); ix11814 : aoi22 port map ( Y=>nx11813, A0=>nx11815, A1=>reg_12_q_c_9, B0 =>nx9820, B1=>nx9822); ix11816 : inv02 port map ( Y=>nx11815, A=>PRI_IN_0(9)); ix10911 : nor02 port map ( Y=>nx10910, A0=>C_MUX2_22_SEL, A1=>nx11825); ix11826 : mux21 port map ( Y=>nx11825, A0=>reg_19_q_c_10, A1=> reg_17_q_c_10, S0=>C_MUX2_20_SEL); ix10981 : nor02 port map ( Y=>nx10980, A0=>C_MUX2_9_SEL, A1=>nx11499); REG_16_reg_q_10 : dff port map ( Q=>reg_16_q_c_10, QB=>OPEN, D=>nx11044, CLK=>CLK); ix11045 : xnor2 port map ( Y=>nx11044, A0=>nx11835, A1=>nx11042); ix11836 : mux21 port map ( Y=>nx11835, A0=>nx11408, A1=>nx9920, S0=> nx9922); ix11043 : xnor2 port map ( Y=>nx11042, A0=>reg_20_q_c_10, A1=>nx6517); ix11842 : mux21 port map ( Y=>nx11841, A0=>reg_36_q_c_10, A1=> reg_35_q_c_10, S0=>C_MUX2_11_SEL); REG_36_reg_q_10 : dff port map ( Q=>reg_36_q_c_10, QB=>OPEN, D=>nx11072, CLK=>CLK); ix11073 : xor2 port map ( Y=>nx11072, A0=>nx11847, A1=>nx11849); ix11848 : mux21 port map ( Y=>nx11847, A0=>nx9948, A1=>nx11333, S0=> nx11339); ix11850 : xnor2 port map ( Y=>nx11849, A0=>reg_16_q_c_10, A1=>nx11732); REG_5_reg_q_10 : dff port map ( Q=>reg_5_q_c_10, QB=>nx11854, D=>nx11500, CLK=>CLK); ix11862 : mux21 port map ( Y=>nx11861, A0=>reg_19_q_c_10, A1=> reg_11_q_c_10, S0=>C_MUX2_7_SEL); REG_47_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx11885, D=>nx11240, CLK=> CLK); ix11241 : xnor2 port map ( Y=>nx11240, A0=>nx11208, A1=>nx11871); ix11209 : mux21 port map ( Y=>nx11208, A0=>nx11357, A1=>nx9886, S0=> nx11359); ix11872 : xnor2 port map ( Y=>nx11871, A0=>nx14783, A1=>nx11236); ix11237 : ao21 port map ( Y=>nx11236, A0=>C_MUX2_12_SEL, A1=> reg_15_q_c_10, B0=>nx11212); REG_15_reg_q_10 : dff port map ( Q=>reg_15_q_c_10, QB=>OPEN, D=>nx11226, CLK=>CLK); ix11227 : xnor2 port map ( Y=>nx11226, A0=>nx11879, A1=>nx11224); ix11880 : aoi22 port map ( Y=>nx11879, A0=>nx11593, A1=>PRI_IN_1(9), B0=> nx10102, B1=>nx10104); ix11225 : xnor2 port map ( Y=>nx11224, A0=>PRI_IN_1(10), A1=>nx14749); ix11213 : nor02 port map ( Y=>nx11212, A0=>C_MUX2_12_SEL, A1=>nx11781); ix11095 : nor02 port map ( Y=>nx11094, A0=>C_MUX2_24_SEL, A1=>nx14827); ix11347 : nor02 port map ( Y=>nx11346, A0=>C_MUX2_13_SEL, A1=>nx11905); ix11906 : mux21 port map ( Y=>nx11905, A0=>reg_13_q_c_10, A1=> reg_11_q_c_10, S0=>C_MUX2_5_SEL); REG_43_reg_q_10 : dff port map ( Q=>reg_43_q_c_10, QB=>OPEN, D=>nx11420, CLK=>CLK); ix11421 : xnor2 port map ( Y=>nx11420, A0=>nx11913, A1=>nx11418); ix11914 : aoi22 port map ( Y=>nx11913, A0=>nx11551, A1=>reg_34_q_c_9, B0 =>nx10296, B1=>nx10298); ix11936 : mux21 port map ( Y=>nx11935, A0=>reg_18_q_c_10, A1=>nx11058, S0 =>C_MUX2_19_SEL); REG_39_reg_q_10 : dff port map ( Q=>reg_39_q_c_10, QB=>OPEN, D=>nx11714, CLK=>CLK); ix11715 : ao21 port map ( Y=>nx11714, A0=>C_MUX2_10_SEL, A1=>nx11710, B0 =>nx11588); ix11711 : xnor2 port map ( Y=>nx11710, A0=>nx11943, A1=>nx11708); ix11944 : aoi22 port map ( Y=>nx11943, A0=>nx10586, A1=>PRI_IN_4(9), B0=> nx10476, B1=>nx10588); ix11709 : xnor2 port map ( Y=>nx11708, A0=>PRI_IN_4(10), A1=>nx11951); ix11952 : mux21 port map ( Y=>nx11951, A0=>PRI_OUT_5_10_EXMPLR, A1=> reg_22_q_c_10, S0=>C_MUX2_25_SEL); REG_21_reg_q_10 : dff port map ( Q=>PRI_OUT_5_10_EXMPLR, QB=>OPEN, D=> nx11692, CLK=>CLK); ix11693 : xor2 port map ( Y=>nx11692, A0=>nx11604, A1=>nx11690); ix11605 : mux21 port map ( Y=>nx11604, A0=>nx11232, A1=>nx11434, S0=> nx10570); ix11691 : xnor2 port map ( Y=>nx11690, A0=>reg_48_q_c_10, A1=>nx11717); REG_48_reg_q_10 : dff port map ( Q=>reg_48_q_c_10, QB=>OPEN, D=>nx11682, CLK=>CLK); ix11683 : mux21 port map ( Y=>nx11682, A0=>nx11965, A1=>nx11973, S0=> C_MUX2_23_SEL); ix11966 : xnor2 port map ( Y=>nx11965, A0=>nx11967, A1=>nx11971); ix11968 : aoi22 port map ( Y=>nx11967, A0=>reg_40_q_c_9, A1=>reg_13_q_c_9, B0=>nx10492, B1=>nx10494); ix11974 : xnor2 port map ( Y=>nx11973, A0=>nx11975, A1=>nx11979); ix11976 : aoi22 port map ( Y=>nx11975, A0=>nx10554, A1=>reg_36_q_c_9, B0 =>nx10508, B1=>nx10556); ix11980 : xnor2 port map ( Y=>nx11979, A0=>reg_36_q_c_10, A1=>nx11674); ix11675 : ao21 port map ( Y=>nx11674, A0=>C_MUX2_4_SEL, A1=> PRI_OUT_4_10_EXMPLR, B0=>nx11632); REG_9_reg_q_10 : dff port map ( Q=>PRI_OUT_4_10_EXMPLR, QB=>OPEN, D=> nx11664, CLK=>CLK); ix11665 : xor2 port map ( Y=>nx11664, A0=>nx11987, A1=>nx11991); ix11988 : aoi22 port map ( Y=>nx11987, A0=>reg_46_q_c_9, A1=>reg_22_q_c_9, B0=>nx10520, B1=>nx10542); REG_46_reg_q_10 : dff port map ( Q=>OPEN, QB=>nx12001, D=>nx11654, CLK=> CLK); ix11655 : xnor2 port map ( Y=>nx11654, A0=>nx11997, A1=>nx11652); ix11998 : aoi22 port map ( Y=>nx11997, A0=>nx11413, A1=>PRI_IN_5(9), B0=> nx10530, B1=>nx10532); ix11653 : xnor2 port map ( Y=>nx11652, A0=>PRI_IN_5(10), A1=> reg_33_q_c_10); ix11633 : nor02 port map ( Y=>nx11632, A0=>C_MUX2_4_SEL, A1=>nx11749); ix11589 : nor02 port map ( Y=>nx11588, A0=>C_MUX2_10_SEL, A1=>nx12009); ix12010 : xor2 port map ( Y=>nx12009, A0=>nx12011, A1=>nx11582); ix12012 : aoi22 port map ( Y=>nx12011, A0=>nx9886, A1=>reg_44_q_c_9, B0=> nx10460, B1=>nx10462); REG_26_reg_q_11 : dff port map ( Q=>PRI_OUT_9(11), QB=>OPEN, D=>nx12844, CLK=>CLK); ix12845 : xor2 port map ( Y=>nx12844, A0=>nx11740, A1=>nx12842); ix11741 : mux21 port map ( Y=>nx11740, A0=>reg_33_q_c_10, A1=>nx11476, S0 =>nx11722); REG_33_reg_q_11 : dff port map ( Q=>OPEN, QB=>nx12445, D=>nx12686, CLK=> CLK); ix12687 : xor2 port map ( Y=>nx12686, A0=>nx11748, A1=>nx12684); ix11749 : oai22 port map ( Y=>nx11748, A0=>nx11483, A1=>nx12029, B0=> nx11935, B1=>nx11933); ix12685 : xnor2 port map ( Y=>nx12684, A0=>reg_17_q_c_11, A1=>nx12443); REG_17_reg_q_11 : dff port map ( Q=>reg_17_q_c_11, QB=>OPEN, D=>nx12668, CLK=>CLK); ix12669 : xor2 port map ( Y=>nx12668, A0=>nx11758, A1=>nx12666); ix11759 : mux21 port map ( Y=>nx11758, A0=>nx14745, A1=>nx11491, S0=> nx11546); ix12667 : xnor2 port map ( Y=>nx12666, A0=>reg_29_q_c_11, A1=>nx6533); REG_29_reg_q_11 : dff port map ( Q=>reg_29_q_c_11, QB=>OPEN, D=>nx12658, CLK=>CLK); ix12659 : xor2 port map ( Y=>nx12658, A0=>nx12045, A1=>nx12051); ix12046 : mux21 port map ( Y=>nx12045, A0=>nx10648, A1=>nx12047, S0=> nx11497); ix12052 : xnor2 port map ( Y=>nx12051, A0=>nx12053, A1=>nx12094); ix12054 : mux21 port map ( Y=>nx12053, A0=>reg_20_q_c_11, A1=>nx14751, S0 =>C_MUX2_16_SEL); REG_20_reg_q_11 : dff port map ( Q=>reg_20_q_c_11, QB=>nx12440, D=> nx12640, CLK=>CLK); ix12641 : xor2 port map ( Y=>nx12640, A0=>nx11778, A1=>nx12638); ix11779 : mux21 port map ( Y=>nx11778, A0=>nx14745, A1=>nx11504, S0=> nx11518); REG_28_reg_q_11 : dff port map ( Q=>reg_28_q_c_11, QB=>nx12439, D=> nx12630, CLK=>CLK); ix12631 : xor2 port map ( Y=>nx12630, A0=>nx11788, A1=>nx12628); ix11789 : oai22 port map ( Y=>nx11788, A0=>nx11509, A1=>nx12068, B0=> PRI_IN_2(10), B1=>nx11854); ix12621 : xor2 port map ( Y=>nx12620, A0=>nx11798, A1=>nx12618); ix11799 : oai22 port map ( Y=>nx11798, A0=>nx11516, A1=>nx12075, B0=> PRI_IN_3(10), B1=>nx11927); ix12619 : xnor2 port map ( Y=>nx12618, A0=>PRI_IN_3(11), A1=> reg_34_q_c_11); REG_34_reg_q_11 : dff port map ( Q=>reg_34_q_c_11, QB=>OPEN, D=>nx12610, CLK=>CLK); ix12611 : xnor2 port map ( Y=>nx12610, A0=>nx11806, A1=>nx12081); ix11807 : oai22 port map ( Y=>nx11806, A0=>nx11525, A1=>nx11528, B0=> nx11829, B1=>nx11925); ix12082 : xnor2 port map ( Y=>nx12081, A0=>reg_18_q_c_11, A1=> reg_19_q_c_11); REG_18_reg_q_11 : dff port map ( Q=>reg_18_q_c_11, QB=>OPEN, D=>nx12600, CLK=>CLK); ix12601 : xor2 port map ( Y=>nx12600, A0=>nx11816, A1=>nx12598); ix11817 : mux21 port map ( Y=>nx11816, A0=>reg_7_q_c_10, A1=>nx11533, S0 =>nx11478); ix12591 : xnor2 port map ( Y=>nx12590, A0=>nx11824, A1=>nx12093); ix11825 : oai22 port map ( Y=>nx11824, A0=>nx11541, A1=>nx11544, B0=> nx11923, B1=>nx11933); ix12094 : xnor2 port map ( Y=>nx12093, A0=>nx14751, A1=>reg_42_q_c_11); REG_42_reg_q_11 : dff port map ( Q=>reg_42_q_c_11, QB=>OPEN, D=>nx12580, CLK=>CLK); ix12581 : xor2 port map ( Y=>nx12580, A0=>nx12099, A1=>nx12105); ix12100 : aoi22 port map ( Y=>nx12099, A0=>nx11236, A1=>reg_40_q_c_10, B0 =>nx10712, B1=>nx11458); REG_40_reg_q_11 : dff port map ( Q=>reg_40_q_c_11, QB=>nx12431, D=> nx12570, CLK=>CLK); ix12571 : xor2 port map ( Y=>nx12570, A0=>nx11842, A1=>nx12568); ix11843 : mux21 port map ( Y=>nx11842, A0=>reg_5_q_c_10, A1=>nx11557, S0 =>nx11448); REG_4_reg_q_11 : dff port map ( Q=>PRI_OUT_3_11_EXMPLR, QB=>nx12429, D=> nx12560, CLK=>CLK); ix12561 : xor2 port map ( Y=>nx12560, A0=>nx11852, A1=>nx12558); ix11853 : mux21 port map ( Y=>nx11852, A0=>PRI_OUT_3_10_EXMPLR, A1=> nx11565, S0=>nx11438); REG_32_reg_q_11 : dff port map ( Q=>reg_32_q_c_11, QB=>OPEN, D=>nx12550, CLK=>CLK); ix12551 : xnor2 port map ( Y=>nx12550, A0=>nx11860, A1=>nx12125); ix11861 : ao21 port map ( Y=>nx11860, A0=>reg_43_q_c_10, A1=> PRI_OUT_8_10_EXMPLR, B0=>nx11858); ix11859 : nor02 port map ( Y=>nx11858, A0=>nx11571, A1=>nx11574); ix12126 : xnor2 port map ( Y=>nx12125, A0=>PRI_OUT_8_11_EXMPLR, A1=> reg_43_q_c_11); REG_24_reg_q_11 : dff port map ( Q=>PRI_OUT_8_11_EXMPLR, QB=>OPEN, D=> nx12520, CLK=>CLK); ix12521 : xnor2 port map ( Y=>nx12520, A0=>nx11868, A1=>nx12133); ix11869 : oai22 port map ( Y=>nx11868, A0=>nx11581, A1=>nx11585, B0=> nx11907, B1=>nx11927); ix12134 : xnor2 port map ( Y=>nx12133, A0=>reg_34_q_c_11, A1=> reg_41_q_c_11); REG_41_reg_q_11 : dff port map ( Q=>reg_41_q_c_11, QB=>OPEN, D=>nx12510, CLK=>CLK); ix12511 : xnor2 port map ( Y=>nx12510, A0=>nx11876, A1=>nx12143); ix11877 : mux21 port map ( Y=>nx11876, A0=>nx11589, A1=>nx12139, S0=> nx11597); ix12144 : xnor2 port map ( Y=>nx12143, A0=>PRI_IN_8(11), A1=>nx6534); ix12507 : ao21 port map ( Y=>nx6534, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_11, B0=>nx12466); REG_8_reg_q_11 : dff port map ( Q=>reg_8_q_c_11, QB=>OPEN, D=>nx12496, CLK=>CLK); ix12497 : xnor2 port map ( Y=>nx12496, A0=>nx12474, A1=>nx12149); ix12475 : mux21 port map ( Y=>nx12474, A0=>nx11605, A1=>nx11893, S0=> nx11609); REG_2_reg_q_11 : dff port map ( Q=>PRI_OUT_1_11_EXMPLR, QB=>OPEN, D=> nx12486, CLK=>CLK); ix12487 : xnor2 port map ( Y=>nx12486, A0=>nx12482, A1=>nx12157); ix12483 : oai22 port map ( Y=>nx12482, A0=>nx11615, A1=>nx11619, B0=> nx11887, B1=>nx11899); REG_35_reg_q_11 : dff port map ( Q=>reg_35_q_c_11, QB=>nx12411, D=> nx12222, CLK=>CLK); ix12223 : xor2 port map ( Y=>nx12222, A0=>nx12163, A1=>nx12167); ix12164 : aoi22 port map ( Y=>nx12163, A0=>nx14747, A1=>reg_37_q_c_10, B0 =>nx11090, B1=>nx11100); REG_37_reg_q_11 : dff port map ( Q=>reg_37_q_c_11, QB=>nx12406, D=> nx12410, CLK=>CLK); ix12411 : xnor2 port map ( Y=>nx12410, A0=>nx11910, A1=>nx12173); ix12174 : xnor2 port map ( Y=>nx12173, A0=>PRI_IN_6(11), A1=> reg_44_q_c_11); REG_44_reg_q_11 : dff port map ( Q=>reg_44_q_c_11, QB=>OPEN, D=>nx12400, CLK=>CLK); ix12401 : xor2 port map ( Y=>nx12400, A0=>nx11920, A1=>nx12398); ix11921 : mux21 port map ( Y=>nx11920, A0=>reg_27_q_c_10, A1=>nx11643, S0 =>nx11278); REG_10_reg_q_11 : dff port map ( Q=>reg_10_q_c_11, QB=>OPEN, D=>nx12390, CLK=>CLK); ix12391 : xnor2 port map ( Y=>nx12390, A0=>nx11928, A1=>nx12189); ix11929 : oai22 port map ( Y=>nx11928, A0=>nx11651, A1=>nx11655, B0=> nx11888, B1=>nx11854); REG_11_reg_q_11 : dff port map ( Q=>reg_11_q_c_11, QB=>OPEN, D=>nx12380, CLK=>CLK); ix12381 : xor2 port map ( Y=>nx12380, A0=>nx12195, A1=>nx12201); ix12196 : aoi22 port map ( Y=>nx12195, A0=>nx6517, A1=>reg_45_q_c_10, B0 =>nx10816, B1=>nx11258); REG_45_reg_q_11 : dff port map ( Q=>reg_45_q_c_11, QB=>nx12402, D=> nx12370, CLK=>CLK); ix12371 : xnor2 port map ( Y=>nx12370, A0=>nx11944, A1=>nx12209); ix11945 : oai22 port map ( Y=>nx11944, A0=>nx11668, A1=>nx11671, B0=> nx11885, B1=>nx11865); REG_27_reg_q_11 : dff port map ( Q=>reg_27_q_c_11, QB=>nx12385, D=> nx12312, CLK=>CLK); ix12313 : xor2 port map ( Y=>nx12312, A0=>nx11954, A1=>nx12310); REG_13_reg_q_11 : dff port map ( Q=>reg_13_q_c_11, QB=>nx12247, D=> nx12448, CLK=>CLK); ix12449 : xor2 port map ( Y=>nx12448, A0=>nx11886, A1=>nx12446); ix11887 : mux21 port map ( Y=>nx11886, A0=>reg_35_q_c_10, A1=>nx11685, S0 =>nx11326); REG_1_reg_q_11 : dff port map ( Q=>PRI_OUT_0_11_EXMPLR, QB=>OPEN, D=> nx12438, CLK=>CLK); ix12439 : xor2 port map ( Y=>nx12438, A0=>nx11894, A1=>nx12436); ix11895 : oai22 port map ( Y=>nx11894, A0=>nx11691, A1=>nx12229, B0=> nx11697, B1=>nx11907); ix12437 : xnor2 port map ( Y=>nx12436, A0=>reg_41_q_c_11, A1=>nx12233); ix12234 : mux21 port map ( Y=>nx12233, A0=>reg_25_q_c_11, A1=> PRI_OUT_8_11_EXMPLR, S0=>C_MUX2_8_SEL); REG_25_reg_q_11 : dff port map ( Q=>reg_25_q_c_11, QB=>OPEN, D=>nx12420, CLK=>CLK); ix12421 : xor2 port map ( Y=>nx12420, A0=>nx12239, A1=>nx12242); ix12240 : aoi22 port map ( Y=>nx12239, A0=>nx14749, A1=>reg_37_q_c_10, B0 =>nx10782, B1=>nx11298); REG_31_reg_q_11 : dff port map ( Q=>reg_31_q_c_11, QB=>nx12384, D=> nx12302, CLK=>CLK); ix12303 : xor2 port map ( Y=>nx12302, A0=>nx11964, A1=>nx12300); ix11965 : mux21 port map ( Y=>nx11964, A0=>nx11170, A1=>nx11713, S0=> nx11180); ix12301 : xnor2 port map ( Y=>nx12300, A0=>nx12257, A1=>nx12382); ix12258 : mux21 port map ( Y=>nx12257, A0=>reg_38_q_c_11, A1=> reg_37_q_c_11, S0=>C_MUX2_3_SEL); REG_38_reg_q_11 : dff port map ( Q=>reg_38_q_c_11, QB=>OPEN, D=>nx12276, CLK=>CLK); ix12277 : xnor2 port map ( Y=>nx12276, A0=>nx12263, A1=>nx12274); ix12264 : mux21 port map ( Y=>nx12263, A0=>nx11857, A1=>nx10854, S0=> nx11154); ix12275 : xnor2 port map ( Y=>nx12274, A0=>reg_22_q_c_11, A1=>nx12120); REG_22_reg_q_11 : dff port map ( Q=>reg_22_q_c_11, QB=>OPEN, D=>nx12266, CLK=>CLK); ix12267 : xor2 port map ( Y=>nx12266, A0=>nx12270, A1=>nx12273); ix12271 : aoi22 port map ( Y=>nx12270, A0=>nx11732, A1=>reg_28_q_c_10, B0 =>nx10864, B1=>nx11144); ix12276 : mux21 port map ( Y=>nx12275, A0=>reg_10_q_c_11, A1=>nx6531, S0 =>C_MUX2_14_SEL); ix12259 : mux21 port map ( Y=>nx6531, A0=>nx12279, A1=>nx12377, S0=> C_MUX2_21_SEL); ix12280 : mux21 port map ( Y=>nx12279, A0=>PRI_OUT_7_11_EXMPLR, A1=> nx14751, S0=>C_MUX2_17_SEL); REG_6_reg_q_11 : dff port map ( Q=>PRI_OUT_7_11_EXMPLR, QB=>OPEN, D=> nx12236, CLK=>CLK); ix12237 : xnor2 port map ( Y=>nx12236, A0=>nx12283, A1=>nx12234); ix12284 : mux21 port map ( Y=>nx12283, A0=>nx11112, A1=>nx10876, S0=> nx11114); ix12235 : xnor2 port map ( Y=>nx12234, A0=>nx12178, A1=>nx12366); ix12179 : mux21 port map ( Y=>nx12178, A0=>nx12279, A1=>nx12291, S0=> C_MUX2_15_SEL); REG_12_reg_q_11 : dff port map ( Q=>reg_12_q_c_11, QB=>OPEN, D=>nx12140, CLK=>CLK); ix12141 : xnor2 port map ( Y=>nx12140, A0=>nx12008, A1=>nx12297); ix12009 : oai22 port map ( Y=>nx12008, A0=>nx11755, A1=>nx11759, B0=> nx11829, B1=>nx11831); ix12298 : xnor2 port map ( Y=>nx12297, A0=>nx15913, A1=>reg_19_q_c_11); REG_19_reg_q_11 : dff port map ( Q=>reg_19_q_c_11, QB=>OPEN, D=>nx12130, CLK=>CLK); ix12131 : xor2 port map ( Y=>nx12130, A0=>nx12303, A1=>nx12311); ix12314 : mux21 port map ( Y=>nx12313, A0=>nx12120, A1=>nx12102, S0=> C_MUX2_1_SEL); ix12121 : mux21 port map ( Y=>nx12120, A0=>nx12316, A1=>nx12318, S0=> C_MUX2_6_SEL); REG_7_reg_q_11 : dff port map ( Q=>OPEN, QB=>nx12316, D=>nx12590, CLK=> CLK); ix12319 : mux21 port map ( Y=>nx12318, A0=>nx14751, A1=>reg_5_q_c_11, S0 =>C_MUX2_18_SEL); ix12103 : ao21 port map ( Y=>nx12102, A0=>C_MUX2_9_SEL, A1=>nx12094, B0=> nx12100); ix12095 : ao21 port map ( Y=>nx12094, A0=>C_MUX2_22_SEL, A1=> reg_14_q_c_11, B0=>nx12030); REG_14_reg_q_11 : dff port map ( Q=>reg_14_q_c_11, QB=>OPEN, D=>nx12084, CLK=>CLK); ix12085 : xnor2 port map ( Y=>nx12084, A0=>nx12325, A1=>nx12082); ix12326 : aoi22 port map ( Y=>nx12325, A0=>nx12327, A1=> PRI_OUT_6_10_EXMPLR, B0=>nx10920, B1=>nx10962); ix12328 : inv02 port map ( Y=>nx12327, A=>PRI_IN_7(10)); ix12083 : xnor2 port map ( Y=>nx12082, A0=>PRI_IN_7(11), A1=> PRI_OUT_6_11_EXMPLR); REG_23_reg_q_11 : dff port map ( Q=>PRI_OUT_6_11_EXMPLR, QB=>OPEN, D=> nx12074, CLK=>CLK); ix12075 : xnor2 port map ( Y=>nx12074, A0=>nx12050, A1=>nx12337); ix12338 : xnor2 port map ( Y=>nx12337, A0=>reg_30_q_c_11, A1=>nx12291); REG_30_reg_q_11 : dff port map ( Q=>reg_30_q_c_11, QB=>OPEN, D=>nx12064, CLK=>CLK); ix12065 : xor2 port map ( Y=>nx12064, A0=>nx12060, A1=>nx12062); ix12061 : oai22 port map ( Y=>nx12060, A0=>nx11813, A1=>nx12345, B0=> PRI_IN_0(10), B1=>nx11831); ix12063 : xnor2 port map ( Y=>nx12062, A0=>PRI_IN_0(11), A1=>nx15913); ix12031 : nor02 port map ( Y=>nx12030, A0=>C_MUX2_22_SEL, A1=>nx12353); ix12354 : mux21 port map ( Y=>nx12353, A0=>reg_19_q_c_11, A1=>nx14751, S0 =>C_MUX2_20_SEL); ix12101 : nor02 port map ( Y=>nx12100, A0=>C_MUX2_9_SEL, A1=>nx12053); REG_16_reg_q_11 : dff port map ( Q=>reg_16_q_c_11, QB=>nx12365, D=> nx12164, CLK=>CLK); ix12165 : xor2 port map ( Y=>nx12164, A0=>nx12160, A1=>nx12162); ix12161 : mux21 port map ( Y=>nx12160, A0=>reg_20_q_c_10, A1=>nx11835, S0 =>nx11042); ix12367 : mux21 port map ( Y=>nx12366, A0=>reg_36_q_c_11, A1=> reg_35_q_c_11, S0=>C_MUX2_11_SEL); REG_36_reg_q_11 : dff port map ( Q=>reg_36_q_c_11, QB=>OPEN, D=>nx12192, CLK=>CLK); ix12193 : xnor2 port map ( Y=>nx12192, A0=>nx12188, A1=>nx12373); ix12189 : mux21 port map ( Y=>nx12188, A0=>nx11847, A1=>reg_16_q_c_10, S0 =>nx11849); REG_5_reg_q_11 : dff port map ( Q=>reg_5_q_c_11, QB=>nx12377, D=>nx12620, CLK=>CLK); ix12383 : mux21 port map ( Y=>nx12382, A0=>reg_19_q_c_11, A1=> reg_11_q_c_11, S0=>C_MUX2_7_SEL); REG_47_reg_q_11 : dff port map ( Q=>reg_47_q_c_11, QB=>OPEN, D=>nx12360, CLK=>CLK); ix12361 : xor2 port map ( Y=>nx12360, A0=>nx12389, A1=>nx12391); ix12390 : mux21 port map ( Y=>nx12389, A0=>nx11208, A1=>nx14783, S0=> nx11871); ix12392 : xnor2 port map ( Y=>nx12391, A0=>nx12313, A1=>nx12356); ix12357 : ao21 port map ( Y=>nx12356, A0=>C_MUX2_12_SEL, A1=> reg_15_q_c_11, B0=>nx12332); REG_15_reg_q_11 : dff port map ( Q=>reg_15_q_c_11, QB=>OPEN, D=>nx12346, CLK=>CLK); ix12347 : xor2 port map ( Y=>nx12346, A0=>nx12342, A1=>nx12344); ix12343 : mux21 port map ( Y=>nx12342, A0=>nx6523, A1=>nx11879, S0=> nx11224); ix12345 : xnor2 port map ( Y=>nx12344, A0=>PRI_IN_1(11), A1=>nx6534); ix12333 : nor02 port map ( Y=>nx12332, A0=>C_MUX2_12_SEL, A1=>nx12318); ix12219 : ao21 port map ( Y=>nx6533, A0=>C_MUX2_24_SEL, A1=>reg_27_q_c_11, B0=>nx12214); ix12215 : nor02 port map ( Y=>nx12214, A0=>C_MUX2_24_SEL, A1=>nx12409); ix12467 : nor02 port map ( Y=>nx12466, A0=>C_MUX2_13_SEL, A1=>nx12415); ix12416 : mux21 port map ( Y=>nx12415, A0=>reg_13_q_c_11, A1=> reg_11_q_c_11, S0=>C_MUX2_5_SEL); REG_43_reg_q_11 : dff port map ( Q=>reg_43_q_c_11, QB=>OPEN, D=>nx12540, CLK=>CLK); ix12541 : xor2 port map ( Y=>nx12540, A0=>nx12536, A1=>nx12538); ix12537 : oai22 port map ( Y=>nx12536, A0=>nx11913, A1=>nx12424, B0=> nx11236, B1=>nx11927); ix12539 : xnor2 port map ( Y=>nx12538, A0=>reg_34_q_c_11, A1=>nx12356); ix12444 : mux21 port map ( Y=>nx12443, A0=>reg_18_q_c_11, A1=>nx12178, S0 =>C_MUX2_19_SEL); REG_39_reg_q_11 : dff port map ( Q=>reg_39_q_c_11, QB=>OPEN, D=>nx12834, CLK=>CLK); ix12835 : mux21 port map ( Y=>nx12834, A0=>nx12449, A1=>nx12455, S0=> C_MUX2_10_SEL); ix12450 : xnor2 port map ( Y=>nx12449, A0=>nx12700, A1=>nx12702); ix12701 : oai22 port map ( Y=>nx12700, A0=>nx12011, A1=>nx12452, B0=> nx14785, B1=>nx11891); ix12703 : xnor2 port map ( Y=>nx12702, A0=>reg_44_q_c_11, A1=>nx12313); ix12456 : xnor2 port map ( Y=>nx12455, A0=>nx12716, A1=>nx12828); ix12717 : mux21 port map ( Y=>nx12716, A0=>nx11951, A1=>nx11943, S0=> nx11708); ix12829 : xnor2 port map ( Y=>nx12828, A0=>PRI_IN_4(11), A1=>nx12459); ix12460 : mux21 port map ( Y=>nx12459, A0=>PRI_OUT_5_11_EXMPLR, A1=> reg_22_q_c_11, S0=>C_MUX2_25_SEL); REG_21_reg_q_11 : dff port map ( Q=>PRI_OUT_5_11_EXMPLR, QB=>OPEN, D=> nx12812, CLK=>CLK); ix12813 : xnor2 port map ( Y=>nx12812, A0=>nx12465, A1=>nx12810); ix12466 : aoi22 port map ( Y=>nx12465, A0=>nx11170, A1=>reg_48_q_c_10, B0 =>nx11604, B1=>nx11690); ix12811 : xnor2 port map ( Y=>nx12810, A0=>reg_48_q_c_11, A1=>nx12257); REG_48_reg_q_11 : dff port map ( Q=>reg_48_q_c_11, QB=>OPEN, D=>nx12802, CLK=>CLK); ix12803 : ao21 port map ( Y=>nx12802, A0=>C_MUX2_23_SEL, A1=>nx12798, B0 =>nx12740); ix12799 : xnor2 port map ( Y=>nx12798, A0=>nx12748, A1=>nx12479); ix12480 : xnor2 port map ( Y=>nx12479, A0=>reg_36_q_c_11, A1=>nx12794); ix12795 : ao21 port map ( Y=>nx12794, A0=>C_MUX2_4_SEL, A1=> PRI_OUT_4_11_EXMPLR, B0=>nx12752); REG_9_reg_q_11 : dff port map ( Q=>PRI_OUT_4_11_EXMPLR, QB=>OPEN, D=> nx12784, CLK=>CLK); ix12785 : xnor2 port map ( Y=>nx12784, A0=>nx12760, A1=>nx12489); ix12761 : oai22 port map ( Y=>nx12760, A0=>nx11987, A1=>nx11991, B0=> nx12001, B1=>nx11857); ix12490 : xnor2 port map ( Y=>nx12489, A0=>reg_22_q_c_11, A1=> reg_46_q_c_11); REG_46_reg_q_11 : dff port map ( Q=>reg_46_q_c_11, QB=>OPEN, D=>nx12774, CLK=>CLK); ix12775 : xor2 port map ( Y=>nx12774, A0=>nx12770, A1=>nx12772); ix12771 : mux21 port map ( Y=>nx12770, A0=>reg_33_q_c_10, A1=>nx11997, S0 =>nx11652); ix12753 : nor02 port map ( Y=>nx12752, A0=>C_MUX2_4_SEL, A1=>nx12291); ix12741 : nor02 port map ( Y=>nx12740, A0=>C_MUX2_23_SEL, A1=>nx12500); ix12501 : xor2 port map ( Y=>nx12500, A0=>nx12732, A1=>nx12503); ix12733 : oai22 port map ( Y=>nx12732, A0=>nx11967, A1=>nx11971, B0=> nx11922, B1=>nx11709); REG_26_reg_q_12 : dff port map ( Q=>PRI_OUT_9(12), QB=>OPEN, D=>nx13964, CLK=>CLK); ix13965 : xnor2 port map ( Y=>nx13964, A0=>nx12513, A1=>nx13962); ix12514 : aoi22 port map ( Y=>nx12513, A0=>nx12445, A1=>reg_39_q_c_11, B0 =>nx11740, B1=>nx12842); ix13963 : xnor2 port map ( Y=>nx13962, A0=>reg_33_q_c_12, A1=> reg_39_q_c_12); REG_33_reg_q_12 : dff port map ( Q=>reg_33_q_c_12, QB=>OPEN, D=>nx13806, CLK=>CLK); ix13807 : xnor2 port map ( Y=>nx13806, A0=>nx12519, A1=>nx13804); ix12520 : aoi22 port map ( Y=>nx12519, A0=>nx12682, A1=>reg_17_q_c_11, B0 =>nx11748, B1=>nx12684); REG_17_reg_q_12 : dff port map ( Q=>reg_17_q_c_12, QB=>nx12939, D=> nx13788, CLK=>CLK); ix13789 : xnor2 port map ( Y=>nx13788, A0=>nx12527, A1=>nx13786); ix12528 : aoi22 port map ( Y=>nx12527, A0=>nx12409, A1=>reg_29_q_c_11, B0 =>nx11758, B1=>nx12666); REG_29_reg_q_12 : dff port map ( Q=>reg_29_q_c_12, QB=>OPEN, D=>nx13778, CLK=>CLK); ix13779 : xnor2 port map ( Y=>nx13778, A0=>nx12888, A1=>nx12537); ix12889 : mux21 port map ( Y=>nx12888, A0=>nx12045, A1=>nx12094, S0=> nx12051); ix12538 : xnor2 port map ( Y=>nx12537, A0=>nx12539, A1=>nx13214); ix12540 : mux21 port map ( Y=>nx12539, A0=>reg_20_q_c_12, A1=> reg_17_q_c_12, S0=>C_MUX2_16_SEL); REG_20_reg_q_12 : dff port map ( Q=>reg_20_q_c_12, QB=>OPEN, D=>nx13760, CLK=>CLK); ix13761 : xnor2 port map ( Y=>nx13760, A0=>nx12544, A1=>nx13758); ix12545 : aoi22 port map ( Y=>nx12544, A0=>nx12409, A1=>reg_28_q_c_11, B0 =>nx11778, B1=>nx12638); REG_28_reg_q_12 : dff port map ( Q=>reg_28_q_c_12, QB=>OPEN, D=>nx13750, CLK=>CLK); ix13751 : xnor2 port map ( Y=>nx13750, A0=>nx12549, A1=>nx13748); ix12550 : aoi22 port map ( Y=>nx12549, A0=>nx12551, A1=>reg_5_q_c_11, B0 =>nx11788, B1=>nx12628); ix12552 : inv02 port map ( Y=>nx12551, A=>PRI_IN_2(11)); ix13741 : xnor2 port map ( Y=>nx13740, A0=>nx12556, A1=>nx13738); ix12557 : aoi22 port map ( Y=>nx12556, A0=>nx12559, A1=>reg_34_q_c_11, B0 =>nx11798, B1=>nx12618); ix12560 : inv02 port map ( Y=>nx12559, A=>PRI_IN_3(11)); REG_34_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12933, D=>nx13730, CLK=> CLK); ix13731 : xor2 port map ( Y=>nx13730, A0=>nx12565, A1=>nx12569); ix12566 : aoi22 port map ( Y=>nx12565, A0=>reg_19_q_c_11, A1=> reg_18_q_c_11, B0=>nx11806, B1=>nx12608); REG_18_reg_q_12 : dff port map ( Q=>reg_18_q_c_12, QB=>nx12931, D=> nx13720, CLK=>CLK); ix13721 : xnor2 port map ( Y=>nx13720, A0=>nx12574, A1=>nx13718); ix12575 : aoi22 port map ( Y=>nx12574, A0=>nx12316, A1=>PRI_IN_9(11), B0 =>nx11816, B1=>nx12598); ix13711 : xor2 port map ( Y=>nx13710, A0=>nx12579, A1=>nx12583); ix12580 : aoi22 port map ( Y=>nx12579, A0=>reg_42_q_c_11, A1=> reg_17_q_c_11, B0=>nx11824, B1=>nx12588); REG_42_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12930, D=>nx13700, CLK=> CLK); ix13701 : xnor2 port map ( Y=>nx13700, A0=>nx12952, A1=>nx12591); ix12953 : oai22 port map ( Y=>nx12952, A0=>nx12099, A1=>nx12105, B0=> nx12589, B1=>nx12431); REG_40_reg_q_12 : dff port map ( Q=>reg_40_q_c_12, QB=>nx12929, D=> nx13690, CLK=>CLK); ix13691 : xnor2 port map ( Y=>nx13690, A0=>nx12595, A1=>nx13688); ix12596 : aoi22 port map ( Y=>nx12595, A0=>nx12377, A1=> PRI_OUT_3_11_EXMPLR, B0=>nx11842, B1=>nx12568); REG_4_reg_q_12 : dff port map ( Q=>PRI_OUT_3_12_EXMPLR, QB=>OPEN, D=> nx13680, CLK=>CLK); ix13681 : xnor2 port map ( Y=>nx13680, A0=>nx12601, A1=>nx13678); ix12602 : aoi22 port map ( Y=>nx12601, A0=>nx12429, A1=>reg_32_q_c_11, B0 =>nx11852, B1=>nx12558); ix13679 : xnor2 port map ( Y=>nx13678, A0=>PRI_OUT_3_12_EXMPLR, A1=> reg_32_q_c_12); REG_32_reg_q_12 : dff port map ( Q=>reg_32_q_c_12, QB=>OPEN, D=>nx13670, CLK=>CLK); ix13671 : xor2 port map ( Y=>nx13670, A0=>nx12606, A1=>nx12609); ix12607 : aoi22 port map ( Y=>nx12606, A0=>reg_43_q_c_11, A1=> PRI_OUT_8_11_EXMPLR, B0=>nx11860, B1=>nx12548); ix12610 : xnor2 port map ( Y=>nx12609, A0=>PRI_OUT_8_12_EXMPLR, A1=> reg_43_q_c_12); REG_24_reg_q_12 : dff port map ( Q=>PRI_OUT_8_12_EXMPLR, QB=>OPEN, D=> nx13640, CLK=>CLK); ix13641 : xor2 port map ( Y=>nx13640, A0=>nx12614, A1=>nx12617); ix12615 : aoi22 port map ( Y=>nx12614, A0=>reg_41_q_c_11, A1=> reg_34_q_c_11, B0=>nx11868, B1=>nx12518); REG_41_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12915, D=>nx13630, CLK=> CLK); ix13631 : xor2 port map ( Y=>nx13630, A0=>nx12623, A1=>nx12629); ix12624 : aoi22 port map ( Y=>nx12623, A0=>nx6534, A1=>PRI_IN_8(11), B0=> nx11876, B1=>nx12508); ix12630 : xnor2 port map ( Y=>nx12629, A0=>PRI_IN_8(12), A1=>nx14757); ix13627 : ao21 port map ( Y=>nx6543, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_12, B0=>nx13586); REG_8_reg_q_12 : dff port map ( Q=>reg_8_q_c_12, QB=>OPEN, D=>nx13616, CLK=>CLK); ix13617 : xor2 port map ( Y=>nx13616, A0=>nx12635, A1=>nx12639); ix12636 : aoi22 port map ( Y=>nx12635, A0=>reg_37_q_c_11, A1=> PRI_OUT_1_11_EXMPLR, B0=>nx12474, B1=>nx12494); REG_2_reg_q_12 : dff port map ( Q=>PRI_OUT_1_12_EXMPLR, QB=>OPEN, D=> nx13606, CLK=>CLK); ix13607 : xor2 port map ( Y=>nx13606, A0=>nx12644, A1=>nx12647); ix12645 : aoi22 port map ( Y=>nx12644, A0=>reg_45_q_c_11, A1=> reg_35_q_c_11, B0=>nx12482, B1=>nx12484); REG_35_reg_q_12 : dff port map ( Q=>reg_35_q_c_12, QB=>nx12909, D=> nx13342, CLK=>CLK); ix13343 : xnor2 port map ( Y=>nx13342, A0=>nx13330, A1=>nx12653); ix13331 : oai22 port map ( Y=>nx13330, A0=>nx12163, A1=>nx12167, B0=> nx12409, B1=>nx12406); REG_37_reg_q_12 : dff port map ( Q=>reg_37_q_c_12, QB=>nx12902, D=> nx13530, CLK=>CLK); ix13531 : xor2 port map ( Y=>nx13530, A0=>nx12659, A1=>nx12662); REG_44_reg_q_12 : dff port map ( Q=>reg_44_q_c_12, QB=>nx12901, D=> nx13520, CLK=>CLK); ix13521 : xnor2 port map ( Y=>nx13520, A0=>nx12667, A1=>nx13518); ix12668 : aoi22 port map ( Y=>nx12667, A0=>nx12385, A1=>reg_10_q_c_11, B0 =>nx11920, B1=>nx12398); REG_10_reg_q_12 : dff port map ( Q=>reg_10_q_c_12, QB=>OPEN, D=>nx13510, CLK=>CLK); ix13511 : xor2 port map ( Y=>nx13510, A0=>nx12673, A1=>nx12676); ix12674 : aoi22 port map ( Y=>nx12673, A0=>reg_11_q_c_11, A1=> reg_5_q_c_11, B0=>nx11928, B1=>nx12388); REG_11_reg_q_12 : dff port map ( Q=>reg_11_q_c_12, QB=>nx12899, D=> nx13500, CLK=>CLK); ix13501 : xnor2 port map ( Y=>nx13500, A0=>nx13056, A1=>nx12687); ix13057 : oai22 port map ( Y=>nx13056, A0=>nx12195, A1=>nx12201, B0=> nx12683, B1=>nx12402); REG_45_reg_q_12 : dff port map ( Q=>reg_45_q_c_12, QB=>nx12897, D=> nx13490, CLK=>CLK); ix13491 : xor2 port map ( Y=>nx13490, A0=>nx12691, A1=>nx12694); ix12692 : aoi22 port map ( Y=>nx12691, A0=>reg_47_q_c_11, A1=> reg_27_q_c_11, B0=>nx11944, B1=>nx12368); REG_27_reg_q_12 : dff port map ( Q=>reg_27_q_c_12, QB=>nx12875, D=> nx13432, CLK=>CLK); ix13433 : xnor2 port map ( Y=>nx13432, A0=>nx12701, A1=>nx13430); ix12702 : aoi22 port map ( Y=>nx12701, A0=>nx12247, A1=>reg_31_q_c_11, B0 =>nx11954, B1=>nx12310); REG_13_reg_q_12 : dff port map ( Q=>reg_13_q_c_12, QB=>nx12738, D=> nx13568, CLK=>CLK); ix13569 : xnor2 port map ( Y=>nx13568, A0=>nx12709, A1=>nx13566); ix12710 : aoi22 port map ( Y=>nx12709, A0=>nx12411, A1=> PRI_OUT_0_11_EXMPLR, B0=>nx11886, B1=>nx12446); REG_1_reg_q_12 : dff port map ( Q=>PRI_OUT_0_12_EXMPLR, QB=>OPEN, D=> nx13558, CLK=>CLK); ix13559 : xnor2 port map ( Y=>nx13558, A0=>nx12717, A1=>nx13556); ix12718 : aoi22 port map ( Y=>nx12717, A0=>nx12434, A1=>reg_41_q_c_11, B0 =>nx11894, B1=>nx12436); ix12726 : mux21 port map ( Y=>nx12725, A0=>reg_25_q_c_12, A1=> PRI_OUT_8_12_EXMPLR, S0=>C_MUX2_8_SEL); REG_25_reg_q_12 : dff port map ( Q=>reg_25_q_c_12, QB=>OPEN, D=>nx13540, CLK=>CLK); ix13541 : xnor2 port map ( Y=>nx13540, A0=>nx13022, A1=>nx12733); ix13023 : oai22 port map ( Y=>nx13022, A0=>nx12239, A1=>nx12242, B0=> nx12626, B1=>nx12406); REG_31_reg_q_12 : dff port map ( Q=>reg_31_q_c_12, QB=>nx12873, D=> nx13422, CLK=>CLK); ix13423 : xnor2 port map ( Y=>nx13422, A0=>nx12741, A1=>nx13420); ix12742 : mux21 port map ( Y=>nx12741, A0=>nx12257, A1=>nx11964, S0=> nx12300); ix13421 : xnor2 port map ( Y=>nx13420, A0=>nx12745, A1=>nx12871); ix12746 : mux21 port map ( Y=>nx12745, A0=>reg_38_q_c_12, A1=> reg_37_q_c_12, S0=>C_MUX2_3_SEL); REG_38_reg_q_12 : dff port map ( Q=>reg_38_q_c_12, QB=>OPEN, D=>nx13396, CLK=>CLK); ix13397 : xor2 port map ( Y=>nx13396, A0=>nx13094, A1=>nx13394); ix13095 : mux21 port map ( Y=>nx13094, A0=>reg_22_q_c_11, A1=>nx12263, S0 =>nx12274); REG_22_reg_q_12 : dff port map ( Q=>reg_22_q_c_12, QB=>nx12869, D=> nx13386, CLK=>CLK); ix13387 : xnor2 port map ( Y=>nx13386, A0=>nx13104, A1=>nx12763); ix13105 : oai22 port map ( Y=>nx13104, A0=>nx12270, A1=>nx12273, B0=> nx6532, B1=>nx12439); ix12764 : xnor2 port map ( Y=>nx12763, A0=>reg_28_q_c_12, A1=>nx12765); ix12766 : mux21 port map ( Y=>nx12765, A0=>reg_10_q_c_12, A1=>nx6539, S0 =>C_MUX2_14_SEL); ix13379 : mux21 port map ( Y=>nx6539, A0=>nx12769, A1=>nx12867, S0=> C_MUX2_21_SEL); ix12770 : mux21 port map ( Y=>nx12769, A0=>PRI_OUT_7_12_EXMPLR, A1=> reg_17_q_c_12, S0=>C_MUX2_17_SEL); REG_6_reg_q_12 : dff port map ( Q=>PRI_OUT_7_12_EXMPLR, QB=>OPEN, D=> nx13356, CLK=>CLK); ix13357 : xor2 port map ( Y=>nx13356, A0=>nx13116, A1=>nx13354); ix13117 : mux21 port map ( Y=>nx13116, A0=>nx12366, A1=>nx12283, S0=> nx12234); ix13355 : xnor2 port map ( Y=>nx13354, A0=>nx13298, A1=>nx12852); ix13299 : mux21 port map ( Y=>nx13298, A0=>nx12769, A1=>nx15558, S0=> C_MUX2_15_SEL); REG_12_reg_q_12 : dff port map ( Q=>reg_12_q_c_12, QB=>nx12845, D=> nx13260, CLK=>CLK); ix13261 : xor2 port map ( Y=>nx13260, A0=>nx12783, A1=>nx12787); ix12784 : aoi22 port map ( Y=>nx12783, A0=>reg_19_q_c_11, A1=>nx15913, B0 =>nx12008, B1=>nx12138); REG_19_reg_q_12 : dff port map ( Q=>reg_19_q_c_12, QB=>nx12843, D=> nx13250, CLK=>CLK); ix13251 : xnor2 port map ( Y=>nx13250, A0=>nx13138, A1=>nx12797); ix12800 : mux21 port map ( Y=>nx12799, A0=>nx13240, A1=>nx13222, S0=> C_MUX2_1_SEL); ix13241 : mux21 port map ( Y=>nx13240, A0=>nx12803, A1=>nx12805, S0=> C_MUX2_6_SEL); REG_7_reg_q_12 : dff port map ( Q=>reg_7_q_c_12, QB=>nx12803, D=>nx13710, CLK=>CLK); ix12806 : mux21 port map ( Y=>nx12805, A0=>reg_17_q_c_12, A1=> reg_5_q_c_12, S0=>C_MUX2_18_SEL); ix13223 : ao21 port map ( Y=>nx13222, A0=>C_MUX2_9_SEL, A1=>nx13214, B0=> nx13220); ix13215 : ao21 port map ( Y=>nx13214, A0=>C_MUX2_22_SEL, A1=> reg_14_q_c_12, B0=>nx13150); REG_14_reg_q_12 : dff port map ( Q=>reg_14_q_c_12, QB=>OPEN, D=>nx13204, CLK=>CLK); ix13205 : xor2 port map ( Y=>nx13204, A0=>nx13160, A1=>nx13202); ix13161 : mux21 port map ( Y=>nx13160, A0=>PRI_IN_7(11), A1=>nx12325, S0 =>nx12082); ix13203 : xnor2 port map ( Y=>nx13202, A0=>PRI_IN_7(12), A1=> PRI_OUT_6_12_EXMPLR); REG_23_reg_q_12 : dff port map ( Q=>PRI_OUT_6_12_EXMPLR, QB=>OPEN, D=> nx13194, CLK=>CLK); ix13195 : xor2 port map ( Y=>nx13194, A0=>nx12817, A1=>nx12823); REG_30_reg_q_12 : dff port map ( Q=>reg_30_q_c_12, QB=>OPEN, D=>nx13184, CLK=>CLK); ix13185 : xnor2 port map ( Y=>nx13184, A0=>nx12829, A1=>nx13182); ix12830 : aoi22 port map ( Y=>nx12829, A0=>nx12831, A1=>nx15913, B0=> nx12060, B1=>nx12062); ix12832 : inv02 port map ( Y=>nx12831, A=>PRI_IN_0(11)); ix13151 : nor02 port map ( Y=>nx13150, A0=>C_MUX2_22_SEL, A1=>nx12839); ix12840 : mux21 port map ( Y=>nx12839, A0=>reg_19_q_c_12, A1=> reg_17_q_c_12, S0=>C_MUX2_20_SEL); ix13221 : nor02 port map ( Y=>nx13220, A0=>C_MUX2_9_SEL, A1=>nx12539); REG_16_reg_q_12 : dff port map ( Q=>reg_16_q_c_12, QB=>OPEN, D=>nx13284, CLK=>CLK); ix13285 : xnor2 port map ( Y=>nx13284, A0=>nx12848, A1=>nx13282); ix12849 : mux21 port map ( Y=>nx12848, A0=>nx12440, A1=>nx12160, S0=> nx12162); ix13283 : xnor2 port map ( Y=>nx13282, A0=>reg_20_q_c_12, A1=>nx6539); ix12854 : mux21 port map ( Y=>nx12852, A0=>reg_36_q_c_12, A1=> reg_35_q_c_12, S0=>C_MUX2_11_SEL); REG_36_reg_q_12 : dff port map ( Q=>reg_36_q_c_12, QB=>OPEN, D=>nx13312, CLK=>CLK); ix13313 : xor2 port map ( Y=>nx13312, A0=>nx12859, A1=>nx12861); ix12860 : mux21 port map ( Y=>nx12859, A0=>nx12188, A1=>nx12365, S0=> nx12373); ix12862 : xnor2 port map ( Y=>nx12861, A0=>reg_16_q_c_12, A1=>nx12765); REG_5_reg_q_12 : dff port map ( Q=>reg_5_q_c_12, QB=>nx12867, D=>nx13740, CLK=>CLK); ix12872 : mux21 port map ( Y=>nx12871, A0=>reg_19_q_c_12, A1=> reg_11_q_c_12, S0=>C_MUX2_7_SEL); REG_47_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx12895, D=>nx13480, CLK=> CLK); ix13481 : xnor2 port map ( Y=>nx13480, A0=>nx13448, A1=>nx12881); ix13449 : mux21 port map ( Y=>nx13448, A0=>nx12389, A1=>nx12126, S0=> nx12391); ix12882 : xnor2 port map ( Y=>nx12881, A0=>nx15915, A1=>nx13476); ix13477 : ao21 port map ( Y=>nx13476, A0=>C_MUX2_12_SEL, A1=> reg_15_q_c_12, B0=>nx13452); REG_15_reg_q_12 : dff port map ( Q=>reg_15_q_c_12, QB=>OPEN, D=>nx13466, CLK=>CLK); ix13467 : xnor2 port map ( Y=>nx13466, A0=>nx12889, A1=>nx13464); ix12890 : aoi22 port map ( Y=>nx12889, A0=>nx12626, A1=>PRI_IN_1(11), B0 =>nx12342, B1=>nx12344); ix13465 : xnor2 port map ( Y=>nx13464, A0=>PRI_IN_1(12), A1=>nx14757); ix13453 : nor02 port map ( Y=>nx13452, A0=>C_MUX2_12_SEL, A1=>nx12805); ix13335 : nor02 port map ( Y=>nx13334, A0=>C_MUX2_24_SEL, A1=>nx14829); ix13587 : nor02 port map ( Y=>nx13586, A0=>C_MUX2_13_SEL, A1=>nx12913); ix12914 : mux21 port map ( Y=>nx12913, A0=>reg_13_q_c_12, A1=> reg_11_q_c_12, S0=>C_MUX2_5_SEL); REG_43_reg_q_12 : dff port map ( Q=>reg_43_q_c_12, QB=>OPEN, D=>nx13660, CLK=>CLK); ix13661 : xnor2 port map ( Y=>nx13660, A0=>nx12921, A1=>nx13658); ix12922 : aoi22 port map ( Y=>nx12921, A0=>nx12589, A1=>reg_34_q_c_11, B0 =>nx12536, B1=>nx12538); ix12942 : mux21 port map ( Y=>nx12941, A0=>reg_18_q_c_12, A1=>nx13298, S0 =>C_MUX2_19_SEL); REG_39_reg_q_12 : dff port map ( Q=>reg_39_q_c_12, QB=>OPEN, D=>nx13954, CLK=>CLK); ix13955 : ao21 port map ( Y=>nx13954, A0=>C_MUX2_10_SEL, A1=>nx13950, B0 =>nx13828); ix13951 : xnor2 port map ( Y=>nx13950, A0=>nx12949, A1=>nx13948); ix12950 : aoi22 port map ( Y=>nx12949, A0=>nx12826, A1=>PRI_IN_4(11), B0 =>nx12716, B1=>nx12828); ix13949 : xnor2 port map ( Y=>nx13948, A0=>PRI_IN_4(12), A1=>nx12957); ix12958 : mux21 port map ( Y=>nx12957, A0=>PRI_OUT_5_12_EXMPLR, A1=> reg_22_q_c_12, S0=>C_MUX2_25_SEL); REG_21_reg_q_12 : dff port map ( Q=>PRI_OUT_5_12_EXMPLR, QB=>OPEN, D=> nx13932, CLK=>CLK); ix13933 : xor2 port map ( Y=>nx13932, A0=>nx13844, A1=>nx13930); ix13845 : mux21 port map ( Y=>nx13844, A0=>nx12257, A1=>nx12465, S0=> nx12810); ix13931 : xnor2 port map ( Y=>nx13930, A0=>reg_48_q_c_12, A1=>nx12745); REG_48_reg_q_12 : dff port map ( Q=>reg_48_q_c_12, QB=>OPEN, D=>nx13922, CLK=>CLK); ix13923 : mux21 port map ( Y=>nx13922, A0=>nx12971, A1=>nx12979, S0=> C_MUX2_23_SEL); ix12972 : xnor2 port map ( Y=>nx12971, A0=>nx12973, A1=>nx12977); ix12974 : aoi22 port map ( Y=>nx12973, A0=>reg_40_q_c_11, A1=> reg_13_q_c_11, B0=>nx12732, B1=>nx12734); ix12980 : xnor2 port map ( Y=>nx12979, A0=>nx12981, A1=>nx12985); ix12982 : aoi22 port map ( Y=>nx12981, A0=>nx12794, A1=>reg_36_q_c_11, B0 =>nx12748, B1=>nx12796); ix12986 : xnor2 port map ( Y=>nx12985, A0=>reg_36_q_c_12, A1=>nx13914); ix13915 : ao21 port map ( Y=>nx13914, A0=>C_MUX2_4_SEL, A1=> PRI_OUT_4_12_EXMPLR, B0=>nx13872); REG_9_reg_q_12 : dff port map ( Q=>PRI_OUT_4_12_EXMPLR, QB=>OPEN, D=> nx13904, CLK=>CLK); ix13905 : xor2 port map ( Y=>nx13904, A0=>nx12993, A1=>nx12997); ix12994 : aoi22 port map ( Y=>nx12993, A0=>reg_46_q_c_11, A1=> reg_22_q_c_11, B0=>nx12760, B1=>nx12782); REG_46_reg_q_12 : dff port map ( Q=>OPEN, QB=>nx13007, D=>nx13894, CLK=> CLK); ix13895 : xnor2 port map ( Y=>nx13894, A0=>nx13003, A1=>nx13892); ix13004 : aoi22 port map ( Y=>nx13003, A0=>nx12445, A1=>PRI_IN_5(11), B0 =>nx12770, B1=>nx12772); ix13893 : xnor2 port map ( Y=>nx13892, A0=>PRI_IN_5(12), A1=> reg_33_q_c_12); ix13873 : nor02 port map ( Y=>nx13872, A0=>C_MUX2_4_SEL, A1=>nx15560); ix13829 : nor02 port map ( Y=>nx13828, A0=>C_MUX2_10_SEL, A1=>nx13015); ix13016 : xor2 port map ( Y=>nx13015, A0=>nx13017, A1=>nx13822); REG_26_reg_q_13 : dff port map ( Q=>PRI_OUT_9(13), QB=>OPEN, D=>nx15084, CLK=>CLK); ix15085 : xor2 port map ( Y=>nx15084, A0=>nx13980, A1=>nx15082); ix13981 : mux21 port map ( Y=>nx13980, A0=>reg_33_q_c_12, A1=>nx12513, S0 =>nx13962); REG_33_reg_q_13 : dff port map ( Q=>OPEN, QB=>nx13475, D=>nx14926, CLK=> CLK); ix14927 : xor2 port map ( Y=>nx14926, A0=>nx13988, A1=>nx14924); ix13989 : oai22 port map ( Y=>nx13988, A0=>nx12519, A1=>nx13037, B0=> nx12941, B1=>nx12939); ix14925 : xnor2 port map ( Y=>nx14924, A0=>reg_17_q_c_13, A1=>nx13473); REG_17_reg_q_13 : dff port map ( Q=>reg_17_q_c_13, QB=>OPEN, D=>nx14908, CLK=>CLK); ix14909 : xor2 port map ( Y=>nx14908, A0=>nx13998, A1=>nx14906); ix13999 : mux21 port map ( Y=>nx13998, A0=>nx14753, A1=>nx12527, S0=> nx13786); ix14907 : xnor2 port map ( Y=>nx14906, A0=>reg_29_q_c_13, A1=>nx6551); REG_29_reg_q_13 : dff port map ( Q=>reg_29_q_c_13, QB=>OPEN, D=>nx14898, CLK=>CLK); ix14899 : xor2 port map ( Y=>nx14898, A0=>nx13053, A1=>nx13059); ix13054 : mux21 port map ( Y=>nx13053, A0=>nx12888, A1=>nx13055, S0=> nx12537); ix13060 : xnor2 port map ( Y=>nx13059, A0=>nx13061, A1=>nx14334); ix13062 : mux21 port map ( Y=>nx13061, A0=>reg_20_q_c_13, A1=>nx14759, S0 =>C_MUX2_16_SEL); REG_20_reg_q_13 : dff port map ( Q=>reg_20_q_c_13, QB=>nx13470, D=> nx14880, CLK=>CLK); ix14881 : xor2 port map ( Y=>nx14880, A0=>nx14018, A1=>nx14878); ix14019 : mux21 port map ( Y=>nx14018, A0=>nx14753, A1=>nx12544, S0=> nx13758); REG_28_reg_q_13 : dff port map ( Q=>reg_28_q_c_13, QB=>nx13469, D=> nx14870, CLK=>CLK); ix14871 : xor2 port map ( Y=>nx14870, A0=>nx14028, A1=>nx14868); ix14029 : oai22 port map ( Y=>nx14028, A0=>nx12549, A1=>nx13077, B0=> PRI_IN_2(12), B1=>nx12867); ix14861 : xor2 port map ( Y=>nx14860, A0=>nx14038, A1=>nx14858); ix14039 : oai22 port map ( Y=>nx14038, A0=>nx12556, A1=>nx13087, B0=> PRI_IN_3(12), B1=>nx12933); ix14859 : xnor2 port map ( Y=>nx14858, A0=>PRI_IN_3(13), A1=> reg_34_q_c_13); REG_34_reg_q_13 : dff port map ( Q=>reg_34_q_c_13, QB=>OPEN, D=>nx14850, CLK=>CLK); ix14851 : xnor2 port map ( Y=>nx14850, A0=>nx14046, A1=>nx13097); ix14047 : oai22 port map ( Y=>nx14046, A0=>nx12565, A1=>nx12569, B0=> nx12843, B1=>nx12931); ix13098 : xnor2 port map ( Y=>nx13097, A0=>reg_18_q_c_13, A1=> reg_19_q_c_13); REG_18_reg_q_13 : dff port map ( Q=>reg_18_q_c_13, QB=>OPEN, D=>nx14840, CLK=>CLK); ix14841 : xor2 port map ( Y=>nx14840, A0=>nx14056, A1=>nx14838); ix14057 : mux21 port map ( Y=>nx14056, A0=>reg_7_q_c_12, A1=>nx12574, S0 =>nx13718); ix14831 : xnor2 port map ( Y=>nx14830, A0=>nx14064, A1=>nx13111); ix14065 : oai22 port map ( Y=>nx14064, A0=>nx12579, A1=>nx12583, B0=> nx12930, B1=>nx12939); ix13112 : xnor2 port map ( Y=>nx13111, A0=>nx14759, A1=>reg_42_q_c_13); REG_42_reg_q_13 : dff port map ( Q=>reg_42_q_c_13, QB=>OPEN, D=>nx14820, CLK=>CLK); ix14821 : xor2 port map ( Y=>nx14820, A0=>nx13117, A1=>nx13123); ix13118 : aoi22 port map ( Y=>nx13117, A0=>nx13476, A1=>reg_40_q_c_12, B0 =>nx12952, B1=>nx13698); REG_40_reg_q_13 : dff port map ( Q=>reg_40_q_c_13, QB=>nx13463, D=> nx14810, CLK=>CLK); ix14811 : xor2 port map ( Y=>nx14810, A0=>nx14082, A1=>nx14808); ix14083 : mux21 port map ( Y=>nx14082, A0=>reg_5_q_c_12, A1=>nx12595, S0 =>nx13688); REG_4_reg_q_13 : dff port map ( Q=>PRI_OUT_3_13_EXMPLR, QB=>nx13461, D=> nx14800, CLK=>CLK); ix14801 : xor2 port map ( Y=>nx14800, A0=>nx14092, A1=>nx14798); ix14093 : mux21 port map ( Y=>nx14092, A0=>PRI_OUT_3_12_EXMPLR, A1=> nx12601, S0=>nx13678); REG_32_reg_q_13 : dff port map ( Q=>reg_32_q_c_13, QB=>OPEN, D=>nx14790, CLK=>CLK); ix14791 : xnor2 port map ( Y=>nx14790, A0=>nx14100, A1=>nx13147); ix14099 : nor02 port map ( Y=>nx14098, A0=>nx12606, A1=>nx12609); ix13148 : xnor2 port map ( Y=>nx13147, A0=>PRI_OUT_8_13_EXMPLR, A1=> reg_43_q_c_13); REG_24_reg_q_13 : dff port map ( Q=>PRI_OUT_8_13_EXMPLR, QB=>OPEN, D=> nx14760, CLK=>CLK); ix14761 : xnor2 port map ( Y=>nx14760, A0=>nx14108, A1=>nx13153); ix14109 : oai22 port map ( Y=>nx14108, A0=>nx12614, A1=>nx12617, B0=> nx12915, B1=>nx12933); ix13154 : xnor2 port map ( Y=>nx13153, A0=>reg_34_q_c_13, A1=> reg_41_q_c_13); REG_41_reg_q_13 : dff port map ( Q=>reg_41_q_c_13, QB=>OPEN, D=>nx14750, CLK=>CLK); ix14751 : xnor2 port map ( Y=>nx14750, A0=>nx14116, A1=>nx13165); ix14117 : mux21 port map ( Y=>nx14116, A0=>nx12623, A1=>nx13161, S0=> nx12629); ix13166 : xnor2 port map ( Y=>nx13165, A0=>PRI_IN_8(13), A1=>nx6552); ix14747 : ao21 port map ( Y=>nx6552, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_13, B0=>nx14706); REG_8_reg_q_13 : dff port map ( Q=>reg_8_q_c_13, QB=>OPEN, D=>nx14736, CLK=>CLK); ix14737 : xnor2 port map ( Y=>nx14736, A0=>nx14714, A1=>nx13175); ix14715 : mux21 port map ( Y=>nx14714, A0=>nx12635, A1=>nx12902, S0=> nx12639); REG_2_reg_q_13 : dff port map ( Q=>PRI_OUT_1_13_EXMPLR, QB=>OPEN, D=> nx14726, CLK=>CLK); ix14727 : xnor2 port map ( Y=>nx14726, A0=>nx14722, A1=>nx13183); ix14723 : oai22 port map ( Y=>nx14722, A0=>nx12644, A1=>nx12647, B0=> nx12897, B1=>nx12909); REG_35_reg_q_13 : dff port map ( Q=>reg_35_q_c_13, QB=>nx13439, D=> nx14462, CLK=>CLK); ix14463 : xor2 port map ( Y=>nx14462, A0=>nx13188, A1=>nx13191); ix13189 : aoi22 port map ( Y=>nx13188, A0=>nx14755, A1=>reg_37_q_c_12, B0 =>nx13330, B1=>nx13340); REG_37_reg_q_13 : dff port map ( Q=>reg_37_q_c_13, QB=>nx13434, D=> nx14650, CLK=>CLK); ix14651 : xnor2 port map ( Y=>nx14650, A0=>nx14150, A1=>nx13199); ix13200 : xnor2 port map ( Y=>nx13199, A0=>PRI_IN_6(13), A1=>nx15917); REG_44_reg_q_13 : dff port map ( Q=>reg_44_q_c_13, QB=>OPEN, D=>nx14640, CLK=>CLK); ix14641 : xor2 port map ( Y=>nx14640, A0=>nx14160, A1=>nx14638); ix14161 : mux21 port map ( Y=>nx14160, A0=>reg_27_q_c_12, A1=>nx12667, S0 =>nx13518); REG_10_reg_q_13 : dff port map ( Q=>reg_10_q_c_13, QB=>OPEN, D=>nx14630, CLK=>CLK); ix14631 : xnor2 port map ( Y=>nx14630, A0=>nx14168, A1=>nx13210); ix14169 : oai22 port map ( Y=>nx14168, A0=>nx12673, A1=>nx12676, B0=> nx12899, B1=>nx12867); REG_11_reg_q_13 : dff port map ( Q=>reg_11_q_c_13, QB=>OPEN, D=>nx14620, CLK=>CLK); ix14621 : xor2 port map ( Y=>nx14620, A0=>nx13215, A1=>nx13223); ix13216 : aoi22 port map ( Y=>nx13215, A0=>nx6539, A1=>reg_45_q_c_12, B0 =>nx13056, B1=>nx13498); REG_45_reg_q_13 : dff port map ( Q=>reg_45_q_c_13, QB=>nx13428, D=> nx14610, CLK=>CLK); ix14611 : xnor2 port map ( Y=>nx14610, A0=>nx14184, A1=>nx13229); ix14185 : oai22 port map ( Y=>nx14184, A0=>nx12691, A1=>nx12694, B0=> nx12895, B1=>nx12875); REG_27_reg_q_13 : dff port map ( Q=>reg_27_q_c_13, QB=>nx13407, D=> nx14552, CLK=>CLK); ix14553 : xor2 port map ( Y=>nx14552, A0=>nx14194, A1=>nx14550); REG_13_reg_q_13 : dff port map ( Q=>reg_13_q_c_13, QB=>nx13267, D=> nx14688, CLK=>CLK); ix14689 : xor2 port map ( Y=>nx14688, A0=>nx14126, A1=>nx14686); ix14127 : mux21 port map ( Y=>nx14126, A0=>reg_35_q_c_12, A1=>nx12709, S0 =>nx13566); REG_1_reg_q_13 : dff port map ( Q=>PRI_OUT_0_13_EXMPLR, QB=>OPEN, D=> nx14678, CLK=>CLK); ix14679 : xor2 port map ( Y=>nx14678, A0=>nx14134, A1=>nx14676); ix14135 : oai22 port map ( Y=>nx14134, A0=>nx12717, A1=>nx13251, B0=> nx12725, B1=>nx12915); ix14677 : xnor2 port map ( Y=>nx14676, A0=>reg_41_q_c_13, A1=>nx13254); ix13255 : mux21 port map ( Y=>nx13254, A0=>reg_25_q_c_13, A1=> PRI_OUT_8_13_EXMPLR, S0=>C_MUX2_8_SEL); REG_25_reg_q_13 : dff port map ( Q=>reg_25_q_c_13, QB=>OPEN, D=>nx14660, CLK=>CLK); ix14661 : xor2 port map ( Y=>nx14660, A0=>nx13259, A1=>nx13263); ix13260 : aoi22 port map ( Y=>nx13259, A0=>nx14757, A1=>reg_37_q_c_12, B0 =>nx13022, B1=>nx13538); REG_31_reg_q_13 : dff port map ( Q=>reg_31_q_c_13, QB=>nx13405, D=> nx14542, CLK=>CLK); ix14543 : xor2 port map ( Y=>nx14542, A0=>nx14204, A1=>nx14540); ix14205 : mux21 port map ( Y=>nx14204, A0=>nx13410, A1=>nx12741, S0=> nx13420); ix14541 : xnor2 port map ( Y=>nx14540, A0=>nx13277, A1=>nx13403); ix13278 : mux21 port map ( Y=>nx13277, A0=>reg_38_q_c_13, A1=> reg_37_q_c_13, S0=>C_MUX2_3_SEL); REG_38_reg_q_13 : dff port map ( Q=>reg_38_q_c_13, QB=>OPEN, D=>nx14516, CLK=>CLK); ix14517 : xnor2 port map ( Y=>nx14516, A0=>nx13283, A1=>nx14514); ix13284 : mux21 port map ( Y=>nx13283, A0=>nx12869, A1=>nx13094, S0=> nx13394); ix14515 : xnor2 port map ( Y=>nx14514, A0=>reg_22_q_c_13, A1=>nx14360); REG_22_reg_q_13 : dff port map ( Q=>reg_22_q_c_13, QB=>OPEN, D=>nx14506, CLK=>CLK); ix14507 : xor2 port map ( Y=>nx14506, A0=>nx13289, A1=>nx13293); ix13290 : aoi22 port map ( Y=>nx13289, A0=>nx12765, A1=>reg_28_q_c_12, B0 =>nx13104, B1=>nx13384); ix13296 : mux21 port map ( Y=>nx13295, A0=>reg_10_q_c_13, A1=>nx6547, S0 =>C_MUX2_14_SEL); ix14499 : mux21 port map ( Y=>nx6547, A0=>nx13299, A1=>nx13399, S0=> C_MUX2_21_SEL); ix13300 : mux21 port map ( Y=>nx13299, A0=>PRI_OUT_7_13_EXMPLR, A1=> nx14759, S0=>C_MUX2_17_SEL); REG_6_reg_q_13 : dff port map ( Q=>PRI_OUT_7_13_EXMPLR, QB=>OPEN, D=> nx14476, CLK=>CLK); ix14477 : xnor2 port map ( Y=>nx14476, A0=>nx13305, A1=>nx14474); ix13306 : mux21 port map ( Y=>nx13305, A0=>nx13352, A1=>nx13116, S0=> nx13354); ix14475 : xnor2 port map ( Y=>nx14474, A0=>nx14418, A1=>nx13390); ix14419 : mux21 port map ( Y=>nx14418, A0=>nx13299, A1=>nx13315, S0=> C_MUX2_15_SEL); REG_12_reg_q_13 : dff port map ( Q=>reg_12_q_c_13, QB=>OPEN, D=>nx14380, CLK=>CLK); ix14381 : xnor2 port map ( Y=>nx14380, A0=>nx14248, A1=>nx13320); ix14249 : oai22 port map ( Y=>nx14248, A0=>nx12783, A1=>nx12787, B0=> nx12843, B1=>nx12845); ix13321 : xnor2 port map ( Y=>nx13320, A0=>reg_12_q_c_13, A1=> reg_19_q_c_13); REG_19_reg_q_13 : dff port map ( Q=>reg_19_q_c_13, QB=>OPEN, D=>nx14370, CLK=>CLK); ix14371 : xor2 port map ( Y=>nx14370, A0=>nx13325, A1=>nx13335); ix13338 : mux21 port map ( Y=>nx13337, A0=>nx14360, A1=>nx14342, S0=> C_MUX2_1_SEL); ix14361 : mux21 port map ( Y=>nx14360, A0=>nx13341, A1=>nx13343, S0=> C_MUX2_6_SEL); REG_7_reg_q_13 : dff port map ( Q=>OPEN, QB=>nx13341, D=>nx14830, CLK=> CLK); ix13344 : mux21 port map ( Y=>nx13343, A0=>nx14759, A1=>reg_5_q_c_13, S0 =>C_MUX2_18_SEL); ix14343 : ao21 port map ( Y=>nx14342, A0=>C_MUX2_9_SEL, A1=>nx14334, B0=> nx14340); ix14335 : ao21 port map ( Y=>nx14334, A0=>C_MUX2_22_SEL, A1=> reg_14_q_c_13, B0=>nx14270); REG_14_reg_q_13 : dff port map ( Q=>reg_14_q_c_13, QB=>OPEN, D=>nx14324, CLK=>CLK); ix14325 : xnor2 port map ( Y=>nx14324, A0=>nx13349, A1=>nx14322); ix13350 : aoi22 port map ( Y=>nx13349, A0=>nx13351, A1=> PRI_OUT_6_12_EXMPLR, B0=>nx13160, B1=>nx13202); ix13352 : inv02 port map ( Y=>nx13351, A=>PRI_IN_7(12)); ix14323 : xnor2 port map ( Y=>nx14322, A0=>PRI_IN_7(13), A1=> PRI_OUT_6_13_EXMPLR); REG_23_reg_q_13 : dff port map ( Q=>PRI_OUT_6_13_EXMPLR, QB=>OPEN, D=> nx14314, CLK=>CLK); ix14315 : xnor2 port map ( Y=>nx14314, A0=>nx14290, A1=>nx13361); ix13362 : xnor2 port map ( Y=>nx13361, A0=>reg_30_q_c_13, A1=>nx13315); REG_30_reg_q_13 : dff port map ( Q=>reg_30_q_c_13, QB=>OPEN, D=>nx14304, CLK=>CLK); ix14305 : xor2 port map ( Y=>nx14304, A0=>nx14300, A1=>nx14302); ix14301 : oai22 port map ( Y=>nx14300, A0=>nx12829, A1=>nx13367, B0=> PRI_IN_0(12), B1=>nx12845); ix14303 : xnor2 port map ( Y=>nx14302, A0=>PRI_IN_0(13), A1=> reg_12_q_c_13); ix14271 : nor02 port map ( Y=>nx14270, A0=>C_MUX2_22_SEL, A1=>nx13375); ix13376 : mux21 port map ( Y=>nx13375, A0=>reg_19_q_c_13, A1=>nx14759, S0 =>C_MUX2_20_SEL); ix14341 : nor02 port map ( Y=>nx14340, A0=>C_MUX2_9_SEL, A1=>nx13061); REG_16_reg_q_13 : dff port map ( Q=>reg_16_q_c_13, QB=>nx13389, D=> nx14404, CLK=>CLK); ix14405 : xor2 port map ( Y=>nx14404, A0=>nx14400, A1=>nx14402); ix14401 : mux21 port map ( Y=>nx14400, A0=>reg_20_q_c_12, A1=>nx12848, S0 =>nx13282); ix13391 : mux21 port map ( Y=>nx13390, A0=>reg_36_q_c_13, A1=> reg_35_q_c_13, S0=>C_MUX2_11_SEL); REG_36_reg_q_13 : dff port map ( Q=>reg_36_q_c_13, QB=>OPEN, D=>nx14432, CLK=>CLK); ix14433 : xnor2 port map ( Y=>nx14432, A0=>nx14428, A1=>nx13395); ix14429 : mux21 port map ( Y=>nx14428, A0=>nx12859, A1=>reg_16_q_c_12, S0 =>nx12861); REG_5_reg_q_13 : dff port map ( Q=>reg_5_q_c_13, QB=>nx13399, D=>nx14860, CLK=>CLK); ix13404 : mux21 port map ( Y=>nx13403, A0=>reg_19_q_c_13, A1=> reg_11_q_c_13, S0=>C_MUX2_7_SEL); REG_47_reg_q_13 : dff port map ( Q=>reg_47_q_c_13, QB=>OPEN, D=>nx14600, CLK=>CLK); ix14601 : xor2 port map ( Y=>nx14600, A0=>nx13411, A1=>nx13413); ix13412 : mux21 port map ( Y=>nx13411, A0=>nx13448, A1=>nx15915, S0=> nx12881); ix13414 : xnor2 port map ( Y=>nx13413, A0=>nx13337, A1=>nx14596); ix14597 : ao21 port map ( Y=>nx14596, A0=>C_MUX2_12_SEL, A1=> reg_15_q_c_13, B0=>nx14572); REG_15_reg_q_13 : dff port map ( Q=>reg_15_q_c_13, QB=>OPEN, D=>nx14586, CLK=>CLK); ix14587 : xor2 port map ( Y=>nx14586, A0=>nx14582, A1=>nx14584); ix14583 : mux21 port map ( Y=>nx14582, A0=>nx6543, A1=>nx12889, S0=> nx13464); ix14585 : xnor2 port map ( Y=>nx14584, A0=>PRI_IN_1(13), A1=>nx6552); ix14573 : nor02 port map ( Y=>nx14572, A0=>C_MUX2_12_SEL, A1=>nx13343); ix14459 : ao21 port map ( Y=>nx6551, A0=>C_MUX2_24_SEL, A1=>reg_27_q_c_13, B0=>nx14454); ix14455 : nor02 port map ( Y=>nx14454, A0=>C_MUX2_24_SEL, A1=>nx13437); ix14707 : nor02 port map ( Y=>nx14706, A0=>C_MUX2_13_SEL, A1=>nx13443); ix13444 : mux21 port map ( Y=>nx13443, A0=>reg_13_q_c_13, A1=> reg_11_q_c_13, S0=>C_MUX2_5_SEL); REG_43_reg_q_13 : dff port map ( Q=>reg_43_q_c_13, QB=>OPEN, D=>nx14780, CLK=>CLK); ix14781 : xor2 port map ( Y=>nx14780, A0=>nx14776, A1=>nx14778); ix14779 : xnor2 port map ( Y=>nx14778, A0=>reg_34_q_c_13, A1=>nx14596); ix13474 : mux21 port map ( Y=>nx13473, A0=>reg_18_q_c_13, A1=>nx14418, S0 =>C_MUX2_19_SEL); REG_39_reg_q_13 : dff port map ( Q=>reg_39_q_c_13, QB=>OPEN, D=>nx15074, CLK=>CLK); ix15075 : mux21 port map ( Y=>nx15074, A0=>nx13479, A1=>nx13486, S0=> C_MUX2_10_SEL); ix13480 : xnor2 port map ( Y=>nx13479, A0=>nx14940, A1=>nx14942); ix14943 : xnor2 port map ( Y=>nx14942, A0=>nx15917, A1=>nx13337); ix13487 : xnor2 port map ( Y=>nx13486, A0=>nx14956, A1=>nx15068); ix14957 : mux21 port map ( Y=>nx14956, A0=>nx12957, A1=>nx12949, S0=> nx13948); ix15069 : xnor2 port map ( Y=>nx15068, A0=>PRI_IN_4(13), A1=>nx13491); ix13492 : mux21 port map ( Y=>nx13491, A0=>PRI_OUT_5_13_EXMPLR, A1=> reg_22_q_c_13, S0=>C_MUX2_25_SEL); REG_21_reg_q_13 : dff port map ( Q=>PRI_OUT_5_13_EXMPLR, QB=>OPEN, D=> nx15052, CLK=>CLK); ix15053 : xnor2 port map ( Y=>nx15052, A0=>nx13495, A1=>nx15050); ix13496 : aoi22 port map ( Y=>nx13495, A0=>nx13410, A1=>reg_48_q_c_12, B0 =>nx13844, B1=>nx13930); ix15051 : xnor2 port map ( Y=>nx15050, A0=>reg_48_q_c_13, A1=>nx13277); REG_48_reg_q_13 : dff port map ( Q=>reg_48_q_c_13, QB=>OPEN, D=>nx15042, CLK=>CLK); ix15043 : ao21 port map ( Y=>nx15042, A0=>C_MUX2_23_SEL, A1=>nx15038, B0 =>nx14980); ix15039 : xnor2 port map ( Y=>nx15038, A0=>nx14988, A1=>nx13505); ix13506 : xnor2 port map ( Y=>nx13505, A0=>reg_36_q_c_13, A1=>nx15034); ix15035 : ao21 port map ( Y=>nx15034, A0=>C_MUX2_4_SEL, A1=> PRI_OUT_4_13_EXMPLR, B0=>nx14992); REG_9_reg_q_13 : dff port map ( Q=>PRI_OUT_4_13_EXMPLR, QB=>OPEN, D=> nx15024, CLK=>CLK); ix15025 : xnor2 port map ( Y=>nx15024, A0=>nx15000, A1=>nx13513); ix15001 : oai22 port map ( Y=>nx15000, A0=>nx12993, A1=>nx12997, B0=> nx13007, B1=>nx12869); ix13514 : xnor2 port map ( Y=>nx13513, A0=>reg_22_q_c_13, A1=> reg_46_q_c_13); REG_46_reg_q_13 : dff port map ( Q=>reg_46_q_c_13, QB=>OPEN, D=>nx15014, CLK=>CLK); ix15015 : xor2 port map ( Y=>nx15014, A0=>nx15010, A1=>nx15012); ix15011 : mux21 port map ( Y=>nx15010, A0=>reg_33_q_c_12, A1=>nx13003, S0 =>nx13892); ix14993 : nor02 port map ( Y=>nx14992, A0=>C_MUX2_4_SEL, A1=>nx13315); ix14981 : nor02 port map ( Y=>nx14980, A0=>C_MUX2_23_SEL, A1=>nx13524); ix13525 : xor2 port map ( Y=>nx13524, A0=>nx14972, A1=>nx13527); ix14973 : oai22 port map ( Y=>nx14972, A0=>nx12973, A1=>nx12977, B0=> nx12929, B1=>nx12738); REG_26_reg_q_14 : dff port map ( Q=>PRI_OUT_9(14), QB=>OPEN, D=>nx16204, CLK=>CLK); ix16205 : xnor2 port map ( Y=>nx16204, A0=>nx13536, A1=>nx16202); ix13537 : aoi22 port map ( Y=>nx13536, A0=>nx13475, A1=>reg_39_q_c_13, B0 =>nx13980, B1=>nx15082); ix16203 : xnor2 port map ( Y=>nx16202, A0=>reg_33_q_c_14, A1=> reg_39_q_c_14); REG_33_reg_q_14 : dff port map ( Q=>reg_33_q_c_14, QB=>OPEN, D=>nx16046, CLK=>CLK); ix16047 : xnor2 port map ( Y=>nx16046, A0=>nx13543, A1=>nx16044); ix13544 : aoi22 port map ( Y=>nx13543, A0=>nx14922, A1=>reg_17_q_c_13, B0 =>nx13988, B1=>nx14924); REG_17_reg_q_14 : dff port map ( Q=>reg_17_q_c_14, QB=>nx13960, D=> nx16028, CLK=>CLK); ix16029 : xnor2 port map ( Y=>nx16028, A0=>nx13551, A1=>nx16026); ix13552 : aoi22 port map ( Y=>nx13551, A0=>nx13437, A1=>reg_29_q_c_13, B0 =>nx13998, B1=>nx14906); REG_29_reg_q_14 : dff port map ( Q=>reg_29_q_c_14, QB=>OPEN, D=>nx16018, CLK=>CLK); ix16019 : xnor2 port map ( Y=>nx16018, A0=>nx15128, A1=>nx13561); ix15129 : mux21 port map ( Y=>nx15128, A0=>nx13053, A1=>nx14334, S0=> nx13059); ix13562 : xnor2 port map ( Y=>nx13561, A0=>nx13563, A1=>nx15454); ix13564 : mux21 port map ( Y=>nx13563, A0=>reg_20_q_c_14, A1=> reg_17_q_c_14, S0=>C_MUX2_16_SEL); REG_20_reg_q_14 : dff port map ( Q=>reg_20_q_c_14, QB=>OPEN, D=>nx16000, CLK=>CLK); ix16001 : xnor2 port map ( Y=>nx16000, A0=>nx13567, A1=>nx15998); ix13568 : aoi22 port map ( Y=>nx13567, A0=>nx13437, A1=>reg_28_q_c_13, B0 =>nx14018, B1=>nx14878); REG_28_reg_q_14 : dff port map ( Q=>reg_28_q_c_14, QB=>OPEN, D=>nx15990, CLK=>CLK); ix15991 : xnor2 port map ( Y=>nx15990, A0=>nx13573, A1=>nx15988); ix13574 : aoi22 port map ( Y=>nx13573, A0=>nx13575, A1=>reg_5_q_c_13, B0 =>nx14028, B1=>nx14868); ix13576 : inv02 port map ( Y=>nx13575, A=>PRI_IN_2(13)); ix15981 : xnor2 port map ( Y=>nx15980, A0=>nx13581, A1=>nx15978); ix13582 : aoi22 port map ( Y=>nx13581, A0=>nx13583, A1=>reg_34_q_c_13, B0 =>nx14038, B1=>nx14858); ix13584 : inv02 port map ( Y=>nx13583, A=>PRI_IN_3(13)); REG_34_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13956, D=>nx15970, CLK=> CLK); ix15971 : xor2 port map ( Y=>nx15970, A0=>nx13589, A1=>nx13593); ix13590 : aoi22 port map ( Y=>nx13589, A0=>reg_19_q_c_13, A1=> reg_18_q_c_13, B0=>nx14046, B1=>nx14848); REG_18_reg_q_14 : dff port map ( Q=>reg_18_q_c_14, QB=>nx13955, D=> nx15960, CLK=>CLK); ix15961 : xnor2 port map ( Y=>nx15960, A0=>nx13599, A1=>nx15958); ix13600 : aoi22 port map ( Y=>nx13599, A0=>nx13341, A1=>PRI_IN_9(13), B0 =>nx14056, B1=>nx14838); ix15951 : xor2 port map ( Y=>nx15950, A0=>nx13607, A1=>nx13610); ix13608 : aoi22 port map ( Y=>nx13607, A0=>reg_42_q_c_13, A1=> reg_17_q_c_13, B0=>nx14064, B1=>nx14828); REG_42_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13953, D=>nx15940, CLK=> CLK); ix15941 : xnor2 port map ( Y=>nx15940, A0=>nx15192, A1=>nx13617); REG_40_reg_q_14 : dff port map ( Q=>reg_40_q_c_14, QB=>nx13951, D=> nx15930, CLK=>CLK); ix15931 : xnor2 port map ( Y=>nx15930, A0=>nx13621, A1=>nx15928); ix13622 : aoi22 port map ( Y=>nx13621, A0=>nx13399, A1=> PRI_OUT_3_13_EXMPLR, B0=>nx14082, B1=>nx14808); REG_4_reg_q_14 : dff port map ( Q=>PRI_OUT_3_14_EXMPLR, QB=>OPEN, D=> nx15920, CLK=>CLK); ix15921 : xnor2 port map ( Y=>nx15920, A0=>nx13627, A1=>nx15918); ix13628 : aoi22 port map ( Y=>nx13627, A0=>nx13461, A1=>reg_32_q_c_13, B0 =>nx14092, B1=>nx14798); ix15919 : xnor2 port map ( Y=>nx15918, A0=>PRI_OUT_3_14_EXMPLR, A1=> reg_32_q_c_14); REG_32_reg_q_14 : dff port map ( Q=>reg_32_q_c_14, QB=>OPEN, D=>nx15910, CLK=>CLK); ix15911 : xor2 port map ( Y=>nx15910, A0=>nx13634, A1=>nx13637); REG_24_reg_q_14 : dff port map ( Q=>PRI_OUT_8_14_EXMPLR, QB=>OPEN, D=> nx15880, CLK=>CLK); ix15881 : xor2 port map ( Y=>nx15880, A0=>nx13643, A1=>nx13646); ix13644 : aoi22 port map ( Y=>nx13643, A0=>reg_41_q_c_13, A1=> reg_34_q_c_13, B0=>nx14108, B1=>nx14758); REG_41_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13937, D=>nx15870, CLK=> CLK); ix15871 : xor2 port map ( Y=>nx15870, A0=>nx13651, A1=>nx13659); ix13652 : aoi22 port map ( Y=>nx13651, A0=>nx6552, A1=>PRI_IN_8(13), B0=> nx14116, B1=>nx14748); ix13660 : xnor2 port map ( Y=>nx13659, A0=>PRI_IN_8(14), A1=>nx14765); ix15867 : ao21 port map ( Y=>nx6563, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_14, B0=>nx15826); REG_8_reg_q_14 : dff port map ( Q=>reg_8_q_c_14, QB=>OPEN, D=>nx15856, CLK=>CLK); ix15857 : xor2 port map ( Y=>nx15856, A0=>nx13665, A1=>nx13669); ix13666 : aoi22 port map ( Y=>nx13665, A0=>reg_37_q_c_13, A1=> PRI_OUT_1_13_EXMPLR, B0=>nx14714, B1=>nx14734); REG_2_reg_q_14 : dff port map ( Q=>PRI_OUT_1_14_EXMPLR, QB=>OPEN, D=> nx15846, CLK=>CLK); ix15847 : xor2 port map ( Y=>nx15846, A0=>nx13674, A1=>nx13677); ix13675 : aoi22 port map ( Y=>nx13674, A0=>reg_45_q_c_13, A1=> reg_35_q_c_13, B0=>nx14722, B1=>nx14724); REG_35_reg_q_14 : dff port map ( Q=>reg_35_q_c_14, QB=>nx13929, D=> nx15582, CLK=>CLK); ix15583 : xnor2 port map ( Y=>nx15582, A0=>nx15570, A1=>nx13684); REG_37_reg_q_14 : dff port map ( Q=>reg_37_q_c_14, QB=>nx13924, D=> nx15770, CLK=>CLK); REG_44_reg_q_14 : dff port map ( Q=>reg_44_q_c_14, QB=>nx13923, D=> nx15760, CLK=>CLK); ix15761 : xnor2 port map ( Y=>nx15760, A0=>nx13697, A1=>nx15758); ix13698 : aoi22 port map ( Y=>nx13697, A0=>nx13407, A1=>reg_10_q_c_13, B0 =>nx14160, B1=>nx14638); REG_10_reg_q_14 : dff port map ( Q=>reg_10_q_c_14, QB=>OPEN, D=>nx15750, CLK=>CLK); ix15751 : xor2 port map ( Y=>nx15750, A0=>nx13704, A1=>nx13707); ix13705 : aoi22 port map ( Y=>nx13704, A0=>reg_11_q_c_13, A1=> reg_5_q_c_13, B0=>nx14168, B1=>nx14628); REG_11_reg_q_14 : dff port map ( Q=>reg_11_q_c_14, QB=>nx13919, D=> nx15740, CLK=>CLK); ix15741 : xnor2 port map ( Y=>nx15740, A0=>nx15296, A1=>nx13717); ix15297 : oai22 port map ( Y=>nx15296, A0=>nx13215, A1=>nx13223, B0=> nx13714, B1=>nx13428); REG_45_reg_q_14 : dff port map ( Q=>reg_45_q_c_14, QB=>nx13917, D=> nx15730, CLK=>CLK); ix15731 : xor2 port map ( Y=>nx15730, A0=>nx13723, A1=>nx13726); ix13724 : aoi22 port map ( Y=>nx13723, A0=>reg_47_q_c_13, A1=> reg_27_q_c_13, B0=>nx14184, B1=>nx14608); REG_27_reg_q_14 : dff port map ( Q=>reg_27_q_c_14, QB=>nx13900, D=> nx15672, CLK=>CLK); ix15673 : xnor2 port map ( Y=>nx15672, A0=>nx13731, A1=>nx15670); ix13732 : aoi22 port map ( Y=>nx13731, A0=>nx13267, A1=>reg_31_q_c_13, B0 =>nx14194, B1=>nx14550); REG_13_reg_q_14 : dff port map ( Q=>reg_13_q_c_14, QB=>nx13761, D=> nx15808, CLK=>CLK); ix15809 : xnor2 port map ( Y=>nx15808, A0=>nx13736, A1=>nx15806); ix13737 : aoi22 port map ( Y=>nx13736, A0=>nx13439, A1=> PRI_OUT_0_13_EXMPLR, B0=>nx14126, B1=>nx14686); REG_1_reg_q_14 : dff port map ( Q=>PRI_OUT_0_14_EXMPLR, QB=>OPEN, D=> nx15798, CLK=>CLK); ix15799 : xnor2 port map ( Y=>nx15798, A0=>nx13743, A1=>nx15796); ix13744 : aoi22 port map ( Y=>nx13743, A0=>nx14674, A1=>reg_41_q_c_13, B0 =>nx14134, B1=>nx14676); ix13750 : mux21 port map ( Y=>nx13749, A0=>reg_25_q_c_14, A1=> PRI_OUT_8_14_EXMPLR, S0=>C_MUX2_8_SEL); REG_25_reg_q_14 : dff port map ( Q=>reg_25_q_c_14, QB=>OPEN, D=>nx15780, CLK=>CLK); ix15781 : xnor2 port map ( Y=>nx15780, A0=>nx15262, A1=>nx13755); ix15263 : oai22 port map ( Y=>nx15262, A0=>nx13259, A1=>nx13263, B0=> nx13655, B1=>nx13434); REG_31_reg_q_14 : dff port map ( Q=>reg_31_q_c_14, QB=>nx13899, D=> nx15662, CLK=>CLK); ix15663 : xnor2 port map ( Y=>nx15662, A0=>nx13764, A1=>nx15660); ix13765 : mux21 port map ( Y=>nx13764, A0=>nx13277, A1=>nx14204, S0=> nx14540); ix15661 : xnor2 port map ( Y=>nx15660, A0=>nx13767, A1=>nx13897); ix13768 : mux21 port map ( Y=>nx13767, A0=>reg_38_q_c_14, A1=> reg_37_q_c_14, S0=>C_MUX2_3_SEL); REG_38_reg_q_14 : dff port map ( Q=>reg_38_q_c_14, QB=>OPEN, D=>nx15636, CLK=>CLK); ix15637 : xor2 port map ( Y=>nx15636, A0=>nx15334, A1=>nx15634); ix15335 : mux21 port map ( Y=>nx15334, A0=>reg_22_q_c_13, A1=>nx13283, S0 =>nx14514); REG_22_reg_q_14 : dff port map ( Q=>reg_22_q_c_14, QB=>nx13895, D=> nx15626, CLK=>CLK); ix15627 : xnor2 port map ( Y=>nx15626, A0=>nx15344, A1=>nx13783); ix15345 : oai22 port map ( Y=>nx15344, A0=>nx13289, A1=>nx13293, B0=> nx6549, B1=>nx13469); ix13784 : xnor2 port map ( Y=>nx13783, A0=>reg_28_q_c_14, A1=>nx13785); ix13786 : mux21 port map ( Y=>nx13785, A0=>reg_10_q_c_14, A1=>nx6557, S0 =>C_MUX2_14_SEL); ix15619 : mux21 port map ( Y=>nx6557, A0=>nx13789, A1=>nx13893, S0=> C_MUX2_21_SEL); ix13790 : mux21 port map ( Y=>nx13789, A0=>PRI_OUT_7_14_EXMPLR, A1=> reg_17_q_c_14, S0=>C_MUX2_17_SEL); REG_6_reg_q_14 : dff port map ( Q=>PRI_OUT_7_14_EXMPLR, QB=>OPEN, D=> nx15596, CLK=>CLK); ix15597 : xor2 port map ( Y=>nx15596, A0=>nx15356, A1=>nx15594); ix15357 : mux21 port map ( Y=>nx15356, A0=>nx13390, A1=>nx13305, S0=> nx14474); ix15595 : xnor2 port map ( Y=>nx15594, A0=>nx15538, A1=>nx13879); ix15539 : mux21 port map ( Y=>nx15538, A0=>nx13789, A1=>nx13796, S0=> C_MUX2_15_SEL); REG_12_reg_q_14 : dff port map ( Q=>reg_12_q_c_14, QB=>nx13870, D=> nx15500, CLK=>CLK); ix15501 : xor2 port map ( Y=>nx15500, A0=>nx13801, A1=>nx13805); ix13802 : aoi22 port map ( Y=>nx13801, A0=>reg_19_q_c_13, A1=> reg_12_q_c_13, B0=>nx14248, B1=>nx14378); REG_19_reg_q_14 : dff port map ( Q=>reg_19_q_c_14, QB=>nx13869, D=> nx15490, CLK=>CLK); ix15491 : xnor2 port map ( Y=>nx15490, A0=>nx15378, A1=>nx13815); ix13818 : mux21 port map ( Y=>nx13817, A0=>nx15480, A1=>nx15462, S0=> C_MUX2_1_SEL); ix15481 : mux21 port map ( Y=>nx15480, A0=>nx13821, A1=>nx13823, S0=> C_MUX2_6_SEL); REG_7_reg_q_14 : dff port map ( Q=>reg_7_q_c_14, QB=>nx13821, D=>nx15950, CLK=>CLK); ix13824 : mux21 port map ( Y=>nx13823, A0=>reg_17_q_c_14, A1=> reg_5_q_c_14, S0=>C_MUX2_18_SEL); ix15463 : ao21 port map ( Y=>nx15462, A0=>C_MUX2_9_SEL, A1=>nx15454, B0=> nx15460); ix15455 : ao21 port map ( Y=>nx15454, A0=>C_MUX2_22_SEL, A1=> reg_14_q_c_14, B0=>nx15390); REG_14_reg_q_14 : dff port map ( Q=>reg_14_q_c_14, QB=>OPEN, D=>nx15444, CLK=>CLK); ix15445 : xor2 port map ( Y=>nx15444, A0=>nx15400, A1=>nx15442); ix15401 : mux21 port map ( Y=>nx15400, A0=>PRI_IN_7(13), A1=>nx13349, S0 =>nx14322); ix15443 : xnor2 port map ( Y=>nx15442, A0=>PRI_IN_7(14), A1=> PRI_OUT_6_14_EXMPLR); REG_23_reg_q_14 : dff port map ( Q=>PRI_OUT_6_14_EXMPLR, QB=>OPEN, D=> nx15434, CLK=>CLK); ix15435 : xor2 port map ( Y=>nx15434, A0=>nx15557, A1=>nx13847); REG_30_reg_q_14 : dff port map ( Q=>reg_30_q_c_14, QB=>OPEN, D=>nx15424, CLK=>CLK); ix15425 : xnor2 port map ( Y=>nx15424, A0=>nx13853, A1=>nx15422); ix13854 : aoi22 port map ( Y=>nx13853, A0=>nx13855, A1=>reg_12_q_c_13, B0 =>nx14300, B1=>nx14302); ix13856 : inv02 port map ( Y=>nx13855, A=>PRI_IN_0(13)); ix15391 : nor02 port map ( Y=>nx15390, A0=>C_MUX2_22_SEL, A1=>nx13865); ix13866 : mux21 port map ( Y=>nx13865, A0=>reg_19_q_c_14, A1=> reg_17_q_c_14, S0=>C_MUX2_20_SEL); ix15461 : nor02 port map ( Y=>nx15460, A0=>C_MUX2_9_SEL, A1=>nx13563); REG_16_reg_q_14 : dff port map ( Q=>reg_16_q_c_14, QB=>OPEN, D=>nx15524, CLK=>CLK); ix15525 : xnor2 port map ( Y=>nx15524, A0=>nx13873, A1=>nx15522); ix13874 : mux21 port map ( Y=>nx13873, A0=>nx13470, A1=>nx14400, S0=> nx14402); ix15523 : xnor2 port map ( Y=>nx15522, A0=>reg_20_q_c_14, A1=>nx6557); ix13880 : mux21 port map ( Y=>nx13879, A0=>reg_36_q_c_14, A1=> reg_35_q_c_14, S0=>C_MUX2_11_SEL); REG_36_reg_q_14 : dff port map ( Q=>reg_36_q_c_14, QB=>OPEN, D=>nx15552, CLK=>CLK); ix15553 : xor2 port map ( Y=>nx15552, A0=>nx13884, A1=>nx13887); ix13886 : mux21 port map ( Y=>nx13884, A0=>nx14428, A1=>nx13389, S0=> nx13395); ix13888 : xnor2 port map ( Y=>nx13887, A0=>reg_16_q_c_14, A1=>nx13785); REG_5_reg_q_14 : dff port map ( Q=>reg_5_q_c_14, QB=>nx13893, D=>nx15980, CLK=>CLK); ix13898 : mux21 port map ( Y=>nx13897, A0=>reg_19_q_c_14, A1=> reg_11_q_c_14, S0=>C_MUX2_7_SEL); REG_47_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx13915, D=>nx15720, CLK=> CLK); ix15721 : xnor2 port map ( Y=>nx15720, A0=>nx15688, A1=>nx13905); ix15689 : mux21 port map ( Y=>nx15688, A0=>nx13411, A1=>nx14366, S0=> nx13413); ix13906 : xnor2 port map ( Y=>nx13905, A0=>nx14791, A1=>nx15716); ix15717 : ao21 port map ( Y=>nx15716, A0=>C_MUX2_12_SEL, A1=> reg_15_q_c_14, B0=>nx15692); REG_15_reg_q_14 : dff port map ( Q=>reg_15_q_c_14, QB=>OPEN, D=>nx15706, CLK=>CLK); ix15707 : xnor2 port map ( Y=>nx15706, A0=>nx13910, A1=>nx15704); ix13911 : aoi22 port map ( Y=>nx13910, A0=>nx13655, A1=>PRI_IN_1(13), B0 =>nx14582, B1=>nx14584); ix15705 : xnor2 port map ( Y=>nx15704, A0=>PRI_IN_1(14), A1=>nx14765); ix15693 : nor02 port map ( Y=>nx15692, A0=>C_MUX2_12_SEL, A1=>nx13823); ix15575 : nor02 port map ( Y=>nx15574, A0=>C_MUX2_24_SEL, A1=>nx14831); ix15827 : nor02 port map ( Y=>nx15826, A0=>C_MUX2_13_SEL, A1=>nx13935); ix13936 : mux21 port map ( Y=>nx13935, A0=>reg_13_q_c_14, A1=> reg_11_q_c_14, S0=>C_MUX2_5_SEL); REG_43_reg_q_14 : dff port map ( Q=>reg_43_q_c_14, QB=>OPEN, D=>nx15900, CLK=>CLK); ix15901 : xnor2 port map ( Y=>nx15900, A0=>nx13941, A1=>nx15898); ix13962 : mux21 port map ( Y=>nx13961, A0=>reg_18_q_c_14, A1=>nx15538, S0 =>C_MUX2_19_SEL); REG_39_reg_q_14 : dff port map ( Q=>reg_39_q_c_14, QB=>OPEN, D=>nx16194, CLK=>CLK); ix16191 : xnor2 port map ( Y=>nx16190, A0=>nx13968, A1=>nx16188); ix13969 : aoi22 port map ( Y=>nx13968, A0=>nx15066, A1=>PRI_IN_4(13), B0 =>nx14956, B1=>nx15068); ix16189 : xnor2 port map ( Y=>nx16188, A0=>PRI_IN_4(14), A1=>nx13973); ix13974 : mux21 port map ( Y=>nx13973, A0=>PRI_OUT_5_14_EXMPLR, A1=> reg_22_q_c_14, S0=>C_MUX2_25_SEL); REG_21_reg_q_14 : dff port map ( Q=>PRI_OUT_5_14_EXMPLR, QB=>OPEN, D=> nx16172, CLK=>CLK); ix16173 : xor2 port map ( Y=>nx16172, A0=>nx16084, A1=>nx16170); ix16085 : mux21 port map ( Y=>nx16084, A0=>nx13277, A1=>nx13495, S0=> nx15050); ix16171 : xnor2 port map ( Y=>nx16170, A0=>reg_48_q_c_14, A1=>nx13767); REG_48_reg_q_14 : dff port map ( Q=>reg_48_q_c_14, QB=>OPEN, D=>nx16162, CLK=>CLK); ix16163 : mux21 port map ( Y=>nx16162, A0=>nx13987, A1=>nx13995, S0=> C_MUX2_23_SEL); ix13988 : xnor2 port map ( Y=>nx13987, A0=>nx13989, A1=>nx13993); ix13990 : aoi22 port map ( Y=>nx13989, A0=>reg_40_q_c_13, A1=> reg_13_q_c_13, B0=>nx14972, B1=>nx14974); ix13996 : xnor2 port map ( Y=>nx13995, A0=>nx13997, A1=>nx14001); REG_9_reg_q_14 : dff port map ( Q=>PRI_OUT_4_14_EXMPLR, QB=>OPEN, D=> nx16144, CLK=>CLK); ix16145 : xor2 port map ( Y=>nx16144, A0=>nx14009, A1=>nx14012); ix14010 : aoi22 port map ( Y=>nx14009, A0=>reg_46_q_c_13, A1=> reg_22_q_c_13, B0=>nx15000, B1=>nx15022); REG_46_reg_q_14 : dff port map ( Q=>OPEN, QB=>nx14023, D=>nx16134, CLK=> CLK); ix16135 : xnor2 port map ( Y=>nx16134, A0=>nx14019, A1=>nx16132); ix14020 : aoi22 port map ( Y=>nx14019, A0=>nx13475, A1=>PRI_IN_5(13), B0 =>nx15010, B1=>nx15012); ix16133 : xnor2 port map ( Y=>nx16132, A0=>PRI_IN_5(14), A1=> reg_33_q_c_14); ix16113 : nor02 port map ( Y=>nx16112, A0=>C_MUX2_4_SEL, A1=>nx13796); REG_26_reg_q_15 : dff port map ( Q=>PRI_OUT_9(15), QB=>OPEN, D=>nx17324, CLK=>CLK); ix17325 : xnor2 port map ( Y=>nx17324, A0=>nx16220, A1=>nx14045); ix16221 : mux21 port map ( Y=>nx16220, A0=>reg_33_q_c_14, A1=>nx13536, S0 =>nx16202); ix14046 : xor2 port map ( Y=>nx14045, A0=>reg_33_q_c_15, A1=> reg_39_q_c_15); REG_33_reg_q_15 : dff port map ( Q=>reg_33_q_c_15, QB=>OPEN, D=>nx17166, CLK=>CLK); ix17167 : xnor2 port map ( Y=>nx17166, A0=>nx16228, A1=>nx14055); ix16229 : oai22 port map ( Y=>nx16228, A0=>nx13543, A1=>nx14053, B0=> nx13961, B1=>nx13960); ix14056 : xor2 port map ( Y=>nx14055, A0=>reg_17_q_c_15, A1=>nx14513); REG_17_reg_q_15 : dff port map ( Q=>reg_17_q_c_15, QB=>OPEN, D=>nx17148, CLK=>CLK); ix17149 : xnor2 port map ( Y=>nx17148, A0=>nx16238, A1=>nx14063); ix16239 : mux21 port map ( Y=>nx16238, A0=>nx14761, A1=>nx13551, S0=> nx16026); ix14064 : xnor2 port map ( Y=>nx14063, A0=>reg_29_q_c_15, A1=>nx14795); REG_29_reg_q_15 : dff port map ( Q=>reg_29_q_c_15, QB=>OPEN, D=>nx17138, CLK=>CLK); ix17139 : xnor2 port map ( Y=>nx17138, A0=>nx14069, A1=>nx17136); ix14070 : mux21 port map ( Y=>nx14069, A0=>nx15128, A1=>nx14071, S0=> nx13561); ix17137 : xor2 port map ( Y=>nx17136, A0=>nx14077, A1=>nx16574); ix14078 : mux21 port map ( Y=>nx14077, A0=>reg_20_q_c_15, A1=> reg_17_q_c_15, S0=>C_MUX2_16_SEL); REG_20_reg_q_15 : dff port map ( Q=>reg_20_q_c_15, QB=>OPEN, D=>nx17120, CLK=>CLK); ix17121 : xnor2 port map ( Y=>nx17120, A0=>nx16258, A1=>nx14085); ix16259 : mux21 port map ( Y=>nx16258, A0=>nx14761, A1=>nx13567, S0=> nx15998); ix14086 : xnor2 port map ( Y=>nx14085, A0=>reg_28_q_c_15, A1=>nx14795); REG_28_reg_q_15 : dff port map ( Q=>reg_28_q_c_15, QB=>OPEN, D=>nx17110, CLK=>CLK); ix17111 : xnor2 port map ( Y=>nx17110, A0=>nx16268, A1=>nx14095); ix16269 : oai22 port map ( Y=>nx16268, A0=>nx13573, A1=>nx14093, B0=> PRI_IN_2(14), B1=>nx13893); ix17101 : xnor2 port map ( Y=>nx17100, A0=>nx16278, A1=>nx14105); ix16279 : oai22 port map ( Y=>nx16278, A0=>nx13581, A1=>nx14103, B0=> PRI_IN_3(14), B1=>nx13956); ix17091 : xnor2 port map ( Y=>nx17090, A0=>nx16286, A1=>nx14113); ix16287 : oai22 port map ( Y=>nx16286, A0=>nx13589, A1=>nx13593, B0=> nx13869, B1=>nx13955); ix14114 : xnor2 port map ( Y=>nx14113, A0=>reg_18_q_c_15, A1=> reg_19_q_c_15); REG_18_reg_q_15 : dff port map ( Q=>reg_18_q_c_15, QB=>OPEN, D=>nx17080, CLK=>CLK); ix17081 : xnor2 port map ( Y=>nx17080, A0=>nx16296, A1=>nx14121); ix16297 : mux21 port map ( Y=>nx16296, A0=>reg_7_q_c_14, A1=>nx13599, S0 =>nx15958); ix14122 : xor2 port map ( Y=>nx14121, A0=>PRI_IN_9(15), A1=>reg_7_q_c_15 ); REG_7_reg_q_15 : dff port map ( Q=>reg_7_q_c_15, QB=>OPEN, D=>nx17070, CLK=>CLK); ix17071 : xnor2 port map ( Y=>nx17070, A0=>nx16304, A1=>nx14129); ix16305 : oai22 port map ( Y=>nx16304, A0=>nx13607, A1=>nx13610, B0=> nx13953, B1=>nx13960); ix14130 : xnor2 port map ( Y=>nx14129, A0=>reg_17_q_c_15, A1=> reg_42_q_c_15); REG_42_reg_q_15 : dff port map ( Q=>reg_42_q_c_15, QB=>OPEN, D=>nx17060, CLK=>CLK); ix17061 : xnor2 port map ( Y=>nx17060, A0=>nx14135, A1=>nx17058); ix17059 : xor2 port map ( Y=>nx17058, A0=>reg_40_q_c_15, A1=>nx16836); REG_40_reg_q_15 : dff port map ( Q=>reg_40_q_c_15, QB=>OPEN, D=>nx17050, CLK=>CLK); ix17051 : xnor2 port map ( Y=>nx17050, A0=>nx16322, A1=>nx14149); ix16323 : mux21 port map ( Y=>nx16322, A0=>reg_5_q_c_14, A1=>nx13621, S0 =>nx15928); REG_4_reg_q_15 : dff port map ( Q=>PRI_OUT_3_15_EXMPLR, QB=>OPEN, D=> nx17040, CLK=>CLK); ix17041 : xnor2 port map ( Y=>nx17040, A0=>nx16332, A1=>nx14157); ix16333 : mux21 port map ( Y=>nx16332, A0=>PRI_OUT_3_14_EXMPLR, A1=> nx13627, S0=>nx15918); ix14158 : xor2 port map ( Y=>nx14157, A0=>PRI_OUT_3_15_EXMPLR, A1=> reg_32_q_c_15); REG_32_reg_q_15 : dff port map ( Q=>reg_32_q_c_15, QB=>OPEN, D=>nx17030, CLK=>CLK); REG_24_reg_q_15 : dff port map ( Q=>PRI_OUT_8_15_EXMPLR, QB=>OPEN, D=> nx17000, CLK=>CLK); ix17001 : xnor2 port map ( Y=>nx17000, A0=>nx16348, A1=>nx14175); ix16349 : oai22 port map ( Y=>nx16348, A0=>nx13643, A1=>nx13646, B0=> nx13937, B1=>nx13956); REG_34_reg_q_15 : dff port map ( Q=>reg_34_q_c_15, QB=>nx14107, D=> nx17090, CLK=>CLK); REG_41_reg_q_15 : dff port map ( Q=>reg_41_q_c_15, QB=>OPEN, D=>nx16990, CLK=>CLK); ix16991 : xnor2 port map ( Y=>nx16990, A0=>nx16356, A1=>nx14189); ix16357 : mux21 port map ( Y=>nx16356, A0=>nx13651, A1=>nx14185, S0=> nx13659); ix14190 : xnor2 port map ( Y=>nx14189, A0=>PRI_IN_8(15), A1=>nx6571); ix16987 : ao21 port map ( Y=>nx6571, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_15, B0=>nx16946); REG_8_reg_q_15 : dff port map ( Q=>reg_8_q_c_15, QB=>OPEN, D=>nx16976, CLK=>CLK); ix16977 : xnor2 port map ( Y=>nx16976, A0=>nx16954, A1=>nx14199); ix16955 : mux21 port map ( Y=>nx16954, A0=>nx13665, A1=>nx13924, S0=> nx13669); ix14200 : xnor2 port map ( Y=>nx14199, A0=>PRI_OUT_1_15_EXMPLR, A1=> reg_37_q_c_15); REG_2_reg_q_15 : dff port map ( Q=>PRI_OUT_1_15_EXMPLR, QB=>OPEN, D=> nx16966, CLK=>CLK); ix16967 : xnor2 port map ( Y=>nx16966, A0=>nx16962, A1=>nx14207); ix16963 : oai22 port map ( Y=>nx16962, A0=>nx13674, A1=>nx13677, B0=> nx13917, B1=>nx13929); ix16703 : xnor2 port map ( Y=>nx16702, A0=>nx14213, A1=>nx16700); ix16701 : xnor2 port map ( Y=>nx16700, A0=>reg_37_q_c_15, A1=>nx14795); REG_37_reg_q_15 : dff port map ( Q=>reg_37_q_c_15, QB=>OPEN, D=>nx16890, CLK=>CLK); REG_44_reg_q_15 : dff port map ( Q=>reg_44_q_c_15, QB=>OPEN, D=>nx16880, CLK=>CLK); ix16881 : xnor2 port map ( Y=>nx16880, A0=>nx16400, A1=>nx14235); ix16401 : mux21 port map ( Y=>nx16400, A0=>reg_27_q_c_14, A1=>nx13697, S0 =>nx15758); REG_10_reg_q_15 : dff port map ( Q=>reg_10_q_c_15, QB=>OPEN, D=>nx16870, CLK=>CLK); ix16871 : xnor2 port map ( Y=>nx16870, A0=>nx16408, A1=>nx14241); ix16409 : oai22 port map ( Y=>nx16408, A0=>nx13704, A1=>nx13707, B0=> nx13919, B1=>nx13893); REG_5_reg_q_15 : dff port map ( Q=>reg_5_q_c_15, QB=>nx14097, D=>nx17100, CLK=>CLK); REG_11_reg_q_15 : dff port map ( Q=>reg_11_q_c_15, QB=>OPEN, D=>nx16860, CLK=>CLK); ix16861 : xnor2 port map ( Y=>nx16860, A0=>nx14249, A1=>nx16858); ix14250 : aoi22 port map ( Y=>nx14249, A0=>nx6557, A1=>reg_45_q_c_14, B0 =>nx15296, B1=>nx15738); ix16859 : xor2 port map ( Y=>nx16858, A0=>reg_45_q_c_15, A1=>nx6568); REG_45_reg_q_15 : dff port map ( Q=>reg_45_q_c_15, QB=>OPEN, D=>nx16850, CLK=>CLK); ix16851 : xnor2 port map ( Y=>nx16850, A0=>nx16424, A1=>nx14263); ix16425 : oai22 port map ( Y=>nx16424, A0=>nx13723, A1=>nx13726, B0=> nx13915, B1=>nx13900); REG_27_reg_q_15 : dff port map ( Q=>reg_27_q_c_15, QB=>nx14449, D=> nx16792, CLK=>CLK); ix16793 : xnor2 port map ( Y=>nx16792, A0=>nx16434, A1=>nx14273); REG_13_reg_q_15 : dff port map ( Q=>reg_13_q_c_15, QB=>OPEN, D=>nx16928, CLK=>CLK); ix16929 : xnor2 port map ( Y=>nx16928, A0=>nx16366, A1=>nx14281); ix16367 : mux21 port map ( Y=>nx16366, A0=>reg_35_q_c_14, A1=>nx13736, S0 =>nx15806); REG_1_reg_q_15 : dff port map ( Q=>PRI_OUT_0_15_EXMPLR, QB=>OPEN, D=> nx16918, CLK=>CLK); ix16919 : xnor2 port map ( Y=>nx16918, A0=>nx16374, A1=>nx14291); ix16375 : oai22 port map ( Y=>nx16374, A0=>nx13743, A1=>nx14289, B0=> nx13749, B1=>nx13937); ix14292 : xor2 port map ( Y=>nx14291, A0=>reg_41_q_c_15, A1=>nx14293); ix14294 : mux21 port map ( Y=>nx14293, A0=>reg_25_q_c_15, A1=> PRI_OUT_8_15_EXMPLR, S0=>C_MUX2_8_SEL); REG_25_reg_q_15 : dff port map ( Q=>reg_25_q_c_15, QB=>OPEN, D=>nx16900, CLK=>CLK); ix16901 : xnor2 port map ( Y=>nx16900, A0=>nx14299, A1=>nx16898); ix14300 : aoi22 port map ( Y=>nx14299, A0=>nx14765, A1=>reg_37_q_c_14, B0 =>nx15262, B1=>nx15778); ix16899 : xor2 port map ( Y=>nx16898, A0=>reg_37_q_c_15, A1=>nx6571); REG_35_reg_q_15 : dff port map ( Q=>reg_35_q_c_15, QB=>nx14307, D=> nx16702, CLK=>CLK); ix16783 : xnor2 port map ( Y=>nx16782, A0=>nx16444, A1=>nx14318); ix16445 : mux21 port map ( Y=>nx16444, A0=>nx15650, A1=>nx13764, S0=> nx15660); ix14319 : xor2 port map ( Y=>nx14318, A0=>nx14320, A1=>nx14447); ix14321 : mux21 port map ( Y=>nx14320, A0=>reg_38_q_c_15, A1=> reg_37_q_c_15, S0=>C_MUX2_3_SEL); REG_38_reg_q_15 : dff port map ( Q=>reg_38_q_c_15, QB=>OPEN, D=>nx16756, CLK=>CLK); ix16757 : xnor2 port map ( Y=>nx16756, A0=>nx14325, A1=>nx16754); ix14326 : mux21 port map ( Y=>nx14325, A0=>nx13895, A1=>nx15334, S0=> nx15634); ix16755 : xnor2 port map ( Y=>nx16754, A0=>reg_22_q_c_15, A1=>nx16600); REG_22_reg_q_15 : dff port map ( Q=>reg_22_q_c_15, QB=>OPEN, D=>nx16746, CLK=>CLK); ix16747 : xnor2 port map ( Y=>nx16746, A0=>nx14330, A1=>nx16744); ix14331 : aoi22 port map ( Y=>nx14330, A0=>nx13785, A1=>reg_28_q_c_14, B0 =>nx15344, B1=>nx15624); ix16745 : xor2 port map ( Y=>nx16744, A0=>reg_28_q_c_15, A1=>nx14335); ix14336 : mux21 port map ( Y=>nx14335, A0=>reg_10_q_c_15, A1=>nx6568, S0 =>C_MUX2_14_SEL); ix16739 : mux21 port map ( Y=>nx6568, A0=>nx14339, A1=>nx14097, S0=> C_MUX2_21_SEL); ix14340 : mux21 port map ( Y=>nx14339, A0=>PRI_OUT_7_15_EXMPLR, A1=> reg_17_q_c_15, S0=>C_MUX2_17_SEL); REG_6_reg_q_15 : dff port map ( Q=>PRI_OUT_7_15_EXMPLR, QB=>OPEN, D=> nx16716, CLK=>CLK); ix16717 : xnor2 port map ( Y=>nx16716, A0=>nx14345, A1=>nx16714); ix14346 : mux21 port map ( Y=>nx14345, A0=>nx15592, A1=>nx15356, S0=> nx15594); ix16715 : xnor2 port map ( Y=>nx16714, A0=>nx16658, A1=>nx14434); ix16659 : mux21 port map ( Y=>nx16658, A0=>nx14339, A1=>nx14353, S0=> C_MUX2_15_SEL); ix14354 : mux21 port map ( Y=>nx14353, A0=>reg_12_q_c_15, A1=> reg_16_q_c_15, S0=>C_MUX2_2_SEL); ix16621 : xnor2 port map ( Y=>nx16620, A0=>nx16488, A1=>nx14361); ix16489 : oai22 port map ( Y=>nx16488, A0=>nx13801, A1=>nx13805, B0=> nx13869, B1=>nx13870); REG_19_reg_q_15 : dff port map ( Q=>reg_19_q_c_15, QB=>OPEN, D=>nx16610, CLK=>CLK); ix16611 : xnor2 port map ( Y=>nx16610, A0=>nx14365, A1=>nx16608); REG_31_reg_q_15 : dff port map ( Q=>reg_31_q_c_15, QB=>nx14310, D=> nx16782, CLK=>CLK); ix14378 : mux21 port map ( Y=>nx14377, A0=>nx16600, A1=>nx16582, S0=> C_MUX2_1_SEL); ix16601 : ao21 port map ( Y=>nx16600, A0=>nx6859, A1=>reg_7_q_c_15, B0=> nx16598); ix16599 : nor02 port map ( Y=>nx16598, A0=>nx6859, A1=>nx14383); ix14384 : mux21 port map ( Y=>nx14383, A0=>reg_17_q_c_15, A1=> reg_5_q_c_15, S0=>C_MUX2_18_SEL); ix16583 : ao21 port map ( Y=>nx16582, A0=>C_MUX2_9_SEL, A1=>nx16574, B0=> nx16580); ix16575 : ao21 port map ( Y=>nx16574, A0=>C_MUX2_22_SEL, A1=> reg_14_q_c_15, B0=>nx16510); REG_14_reg_q_15 : dff port map ( Q=>reg_14_q_c_15, QB=>OPEN, D=>nx16564, CLK=>CLK); ix16565 : xnor2 port map ( Y=>nx16564, A0=>nx14389, A1=>nx16562); ix14390 : aoi22 port map ( Y=>nx14389, A0=>nx14391, A1=> PRI_OUT_6_14_EXMPLR, B0=>nx15400, B1=>nx15442); ix14392 : inv02 port map ( Y=>nx14391, A=>PRI_IN_7(14)); ix16563 : xnor2 port map ( Y=>nx16562, A0=>PRI_IN_7(15), A1=> PRI_OUT_6_15_EXMPLR); REG_23_reg_q_15 : dff port map ( Q=>PRI_OUT_6_15_EXMPLR, QB=>OPEN, D=> nx16554, CLK=>CLK); REG_30_reg_q_15 : dff port map ( Q=>reg_30_q_c_15, QB=>OPEN, D=>nx16544, CLK=>CLK); ix16545 : xnor2 port map ( Y=>nx16544, A0=>nx16540, A1=>nx14411); ix16541 : oai22 port map ( Y=>nx16540, A0=>nx13853, A1=>nx14409, B0=> PRI_IN_0(14), B1=>nx13870); REG_12_reg_q_15 : dff port map ( Q=>reg_12_q_c_15, QB=>nx14413, D=> nx16620, CLK=>CLK); ix16511 : nor02 port map ( Y=>nx16510, A0=>C_MUX2_22_SEL, A1=>nx14421); ix14422 : mux21 port map ( Y=>nx14421, A0=>reg_19_q_c_15, A1=> reg_17_q_c_15, S0=>C_MUX2_20_SEL); ix16581 : nor02 port map ( Y=>nx16580, A0=>C_MUX2_9_SEL, A1=>nx14077); REG_16_reg_q_15 : dff port map ( Q=>reg_16_q_c_15, QB=>OPEN, D=>nx16644, CLK=>CLK); ix16645 : xnor2 port map ( Y=>nx16644, A0=>nx16640, A1=>nx14431); ix16641 : mux21 port map ( Y=>nx16640, A0=>reg_20_q_c_14, A1=>nx13873, S0 =>nx15522); ix14432 : xor2 port map ( Y=>nx14431, A0=>reg_20_q_c_15, A1=>nx6568); ix14435 : mux21 port map ( Y=>nx14434, A0=>reg_36_q_c_15, A1=> reg_35_q_c_15, S0=>C_MUX2_11_SEL); REG_36_reg_q_15 : dff port map ( Q=>reg_36_q_c_15, QB=>OPEN, D=>nx16672, CLK=>CLK); ix16673 : xnor2 port map ( Y=>nx16672, A0=>nx16668, A1=>nx14439); ix16669 : mux21 port map ( Y=>nx16668, A0=>nx13884, A1=>reg_16_q_c_14, S0 =>nx13887); ix14440 : xnor2 port map ( Y=>nx14439, A0=>reg_16_q_c_15, A1=>nx14335); ix14448 : mux21 port map ( Y=>nx14447, A0=>reg_19_q_c_15, A1=> reg_11_q_c_15, S0=>C_MUX2_7_SEL); REG_47_reg_q_15 : dff port map ( Q=>reg_47_q_c_15, QB=>OPEN, D=>nx16840, CLK=>CLK); ix16841 : xnor2 port map ( Y=>nx16840, A0=>nx14453, A1=>nx16838); ix14454 : mux21 port map ( Y=>nx14453, A0=>nx15688, A1=>nx14791, S0=> nx13905); ix16839 : xor2 port map ( Y=>nx16838, A0=>nx14377, A1=>nx16836); ix16837 : ao21 port map ( Y=>nx16836, A0=>C_MUX2_12_SEL, A1=> reg_15_q_c_15, B0=>nx16812); REG_15_reg_q_15 : dff port map ( Q=>reg_15_q_c_15, QB=>OPEN, D=>nx16826, CLK=>CLK); ix16827 : xnor2 port map ( Y=>nx16826, A0=>nx16822, A1=>nx14465); ix16823 : mux21 port map ( Y=>nx16822, A0=>nx6563, A1=>nx13910, S0=> nx15704); ix14466 : xor2 port map ( Y=>nx14465, A0=>PRI_IN_1(15), A1=>nx6571); ix16813 : nor02 port map ( Y=>nx16812, A0=>C_MUX2_12_SEL, A1=>nx14383); ix14480 : aoi21 port map ( Y=>nx14479, A0=>C_MUX2_24_SEL, A1=> reg_27_q_c_15, B0=>nx16694); ix16695 : nor02 port map ( Y=>nx16694, A0=>C_MUX2_24_SEL, A1=>nx14795); ix16947 : nor02 port map ( Y=>nx16946, A0=>C_MUX2_13_SEL, A1=>nx14485); ix14486 : mux21 port map ( Y=>nx14485, A0=>reg_13_q_c_15, A1=> reg_11_q_c_15, S0=>C_MUX2_5_SEL); REG_43_reg_q_15 : dff port map ( Q=>reg_43_q_c_15, QB=>OPEN, D=>nx17020, CLK=>CLK); ix17021 : xnor2 port map ( Y=>nx17020, A0=>nx17016, A1=>nx14497); ix17017 : oai22 port map ( Y=>nx17016, A0=>nx13941, A1=>nx14495, B0=> nx15716, B1=>nx13956); ix14514 : mux21 port map ( Y=>nx14513, A0=>reg_18_q_c_15, A1=>nx16658, S0 =>C_MUX2_19_SEL); REG_39_reg_q_15 : dff port map ( Q=>reg_39_q_c_15, QB=>OPEN, D=>nx17314, CLK=>CLK); ix17315 : mux21 port map ( Y=>nx17314, A0=>nx14519, A1=>nx14525, S0=> C_MUX2_10_SEL); ix17183 : xnor2 port map ( Y=>nx17182, A0=>reg_44_q_c_15, A1=>nx14377); ix14526 : xnor2 port map ( Y=>nx14525, A0=>nx17196, A1=>nx17308); ix17197 : mux21 port map ( Y=>nx17196, A0=>nx13973, A1=>nx13968, S0=> nx16188); ix17309 : xnor2 port map ( Y=>nx17308, A0=>PRI_IN_4(15), A1=>nx14531); ix14532 : mux21 port map ( Y=>nx14531, A0=>PRI_OUT_5_15_EXMPLR, A1=> reg_22_q_c_15, S0=>C_MUX2_25_SEL); REG_21_reg_q_15 : dff port map ( Q=>PRI_OUT_5_15_EXMPLR, QB=>OPEN, D=> nx17292, CLK=>CLK); ix17293 : xnor2 port map ( Y=>nx17292, A0=>nx14535, A1=>nx17290); ix14536 : aoi22 port map ( Y=>nx14535, A0=>nx15650, A1=>reg_48_q_c_14, B0 =>nx16084, B1=>nx16170); ix17291 : xnor2 port map ( Y=>nx17290, A0=>reg_48_q_c_15, A1=>nx14320); REG_48_reg_q_15 : dff port map ( Q=>reg_48_q_c_15, QB=>OPEN, D=>nx17282, CLK=>CLK); ix17217 : xnor2 port map ( Y=>nx17216, A0=>nx17212, A1=>nx14546); ix17213 : oai22 port map ( Y=>nx17212, A0=>nx13989, A1=>nx13993, B0=> nx13951, B1=>nx13761); ix14547 : xnor2 port map ( Y=>nx14546, A0=>reg_13_q_c_15, A1=> reg_40_q_c_15); ix14557 : mux21 port map ( Y=>nx14556, A0=>nx6566, A1=> PRI_OUT_4_15_EXMPLR, S0=>C_MUX2_4_SEL); REG_9_reg_q_15 : dff port map ( Q=>PRI_OUT_4_15_EXMPLR, QB=>OPEN, D=> nx17264, CLK=>CLK); ix17265 : xnor2 port map ( Y=>nx17264, A0=>nx17240, A1=>nx14563); ix17241 : oai22 port map ( Y=>nx17240, A0=>nx14009, A1=>nx14012, B0=> nx14023, B1=>nx13895); ix14564 : xnor2 port map ( Y=>nx14563, A0=>reg_22_q_c_15, A1=> reg_46_q_c_15); REG_46_reg_q_15 : dff port map ( Q=>reg_46_q_c_15, QB=>OPEN, D=>nx17254, CLK=>CLK); ix17255 : xnor2 port map ( Y=>nx17254, A0=>nx17250, A1=>nx14571); ix17251 : mux21 port map ( Y=>nx17250, A0=>reg_33_q_c_14, A1=>nx14019, S0 =>nx16132); ix14572 : xor2 port map ( Y=>nx14571, A0=>PRI_IN_5(15), A1=>reg_33_q_c_15 ); REG_3_reg_q_0 : dff port map ( Q=>PRI_OUT_2(0), QB=>OPEN, D=>nx17338, CLK =>CLK); REG_3_reg_q_1 : dff port map ( Q=>PRI_OUT_2(1), QB=>OPEN, D=>nx17348, CLK =>CLK); ix17349 : xor2 port map ( Y=>nx17348, A0=>nx14587, A1=>nx14589); REG_3_reg_q_2 : dff port map ( Q=>PRI_OUT_2(2), QB=>OPEN, D=>nx17366, CLK =>CLK); ix17367 : xor2 port map ( Y=>nx17366, A0=>nx14595, A1=>nx14599); ix14596 : aoi32 port map ( Y=>nx14595, A0=>reg_31_q_c_0, A1=>reg_42_q_c_0, A2=>nx17346, B0=>reg_42_q_c_1, B1=>reg_31_q_c_1); REG_3_reg_q_3 : dff port map ( Q=>PRI_OUT_2(3), QB=>OPEN, D=>nx17384, CLK =>CLK); ix17385 : xnor2 port map ( Y=>nx17384, A0=>nx17380, A1=>nx14605); ix17381 : oai22 port map ( Y=>nx17380, A0=>nx14595, A1=>nx14599, B0=> nx7831, B1=>nx7779); REG_3_reg_q_4 : dff port map ( Q=>PRI_OUT_2(4), QB=>OPEN, D=>nx17402, CLK =>CLK); ix17403 : xor2 port map ( Y=>nx17402, A0=>nx14611, A1=>nx14614); ix14612 : aoi22 port map ( Y=>nx14611, A0=>reg_42_q_c_3, A1=>reg_31_q_c_3, B0=>nx17380, B1=>nx17382); REG_3_reg_q_5 : dff port map ( Q=>PRI_OUT_2(5), QB=>OPEN, D=>nx17420, CLK =>CLK); ix17421 : xnor2 port map ( Y=>nx17420, A0=>nx17416, A1=>nx14621); ix17417 : oai22 port map ( Y=>nx17416, A0=>nx14611, A1=>nx14614, B0=> nx8867, B1=>nx8813); REG_3_reg_q_6 : dff port map ( Q=>PRI_OUT_2(6), QB=>OPEN, D=>nx17438, CLK =>CLK); ix17439 : xor2 port map ( Y=>nx17438, A0=>nx14626, A1=>nx14629); ix14627 : aoi22 port map ( Y=>nx14626, A0=>reg_42_q_c_5, A1=>reg_31_q_c_5, B0=>nx17416, B1=>nx17418); REG_3_reg_q_7 : dff port map ( Q=>PRI_OUT_2(7), QB=>OPEN, D=>nx17456, CLK =>CLK); ix17457 : xnor2 port map ( Y=>nx17456, A0=>nx17452, A1=>nx14635); ix17453 : oai22 port map ( Y=>nx17452, A0=>nx14626, A1=>nx14629, B0=> nx9897, B1=>nx9843); REG_3_reg_q_8 : dff port map ( Q=>PRI_OUT_2(8), QB=>OPEN, D=>nx17474, CLK =>CLK); ix17475 : xor2 port map ( Y=>nx17474, A0=>nx14641, A1=>nx14644); ix14642 : aoi22 port map ( Y=>nx14641, A0=>reg_42_q_c_7, A1=>reg_31_q_c_7, B0=>nx17452, B1=>nx17454); REG_3_reg_q_9 : dff port map ( Q=>PRI_OUT_2(9), QB=>OPEN, D=>nx17492, CLK =>CLK); ix17493 : xnor2 port map ( Y=>nx17492, A0=>nx17488, A1=>nx14651); ix17489 : oai22 port map ( Y=>nx17488, A0=>nx14641, A1=>nx14644, B0=> nx10911, B1=>nx10857); REG_3_reg_q_10 : dff port map ( Q=>PRI_OUT_2(10), QB=>OPEN, D=>nx17510, CLK=>CLK); ix17511 : xor2 port map ( Y=>nx17510, A0=>nx14656, A1=>nx14659); ix14657 : aoi22 port map ( Y=>nx14656, A0=>reg_42_q_c_9, A1=>reg_31_q_c_9, B0=>nx17488, B1=>nx17490); REG_3_reg_q_11 : dff port map ( Q=>PRI_OUT_2(11), QB=>OPEN, D=>nx17528, CLK=>CLK); ix17529 : xnor2 port map ( Y=>nx17528, A0=>nx17524, A1=>nx14665); ix17525 : oai22 port map ( Y=>nx17524, A0=>nx14656, A1=>nx14659, B0=> nx11923, B1=>nx11863); REG_3_reg_q_12 : dff port map ( Q=>PRI_OUT_2(12), QB=>OPEN, D=>nx17546, CLK=>CLK); ix17547 : xor2 port map ( Y=>nx17546, A0=>nx14671, A1=>nx14675); ix14672 : aoi22 port map ( Y=>nx14671, A0=>reg_42_q_c_11, A1=> reg_31_q_c_11, B0=>nx17524, B1=>nx17526); REG_3_reg_q_13 : dff port map ( Q=>PRI_OUT_2(13), QB=>OPEN, D=>nx17564, CLK=>CLK); ix17565 : xnor2 port map ( Y=>nx17564, A0=>nx17560, A1=>nx14682); ix17561 : oai22 port map ( Y=>nx17560, A0=>nx14671, A1=>nx14675, B0=> nx12930, B1=>nx12873); REG_3_reg_q_14 : dff port map ( Q=>PRI_OUT_2(14), QB=>OPEN, D=>nx17582, CLK=>CLK); ix17583 : xor2 port map ( Y=>nx17582, A0=>nx14687, A1=>nx14691); ix14688 : aoi22 port map ( Y=>nx14687, A0=>reg_42_q_c_13, A1=> reg_31_q_c_13, B0=>nx17560, B1=>nx17562); REG_3_reg_q_15 : dff port map ( Q=>PRI_OUT_2(15), QB=>OPEN, D=>nx17600, CLK=>CLK); ix17601 : xnor2 port map ( Y=>nx17600, A0=>nx17596, A1=>nx14697); ix17597 : oai22 port map ( Y=>nx17596, A0=>nx14687, A1=>nx14691, B0=> nx13953, B1=>nx13899); ix17563 : inv02 port map ( Y=>nx17562, A=>nx14682); ix17527 : inv02 port map ( Y=>nx17526, A=>nx14665); ix17491 : inv02 port map ( Y=>nx17490, A=>nx14651); ix17455 : inv02 port map ( Y=>nx17454, A=>nx14635); ix17419 : inv02 port map ( Y=>nx17418, A=>nx14621); ix17383 : inv02 port map ( Y=>nx17382, A=>nx14605); ix17347 : inv02 port map ( Y=>nx17346, A=>nx14589); ix16655 : inv02 port map ( Y=>nx6566, A=>nx14353); ix14523 : inv02 port map ( Y=>nx14522, A=>nx16062); ix14054 : inv02 port map ( Y=>nx14053, A=>nx16044); ix14094 : inv02 port map ( Y=>nx14093, A=>nx15988); ix14104 : inv02 port map ( Y=>nx14103, A=>nx15978); ix15939 : inv02 port map ( Y=>nx15938, A=>nx13617); ix14496 : inv02 port map ( Y=>nx14495, A=>nx15898); ix14290 : inv02 port map ( Y=>nx14289, A=>nx15796); ix15779 : inv02 port map ( Y=>nx15778, A=>nx13755); ix15739 : inv02 port map ( Y=>nx15738, A=>nx13717); ix14186 : inv02 port map ( Y=>nx14185, A=>nx6563); ix15651 : inv02 port map ( Y=>nx15650, A=>nx13767); ix15625 : inv02 port map ( Y=>nx15624, A=>nx13783); ix15593 : inv02 port map ( Y=>nx15592, A=>nx13879); ix15581 : inv02 port map ( Y=>nx15580, A=>nx13684); ix15489 : inv02 port map ( Y=>nx15488, A=>nx13815); ix14072 : inv02 port map ( Y=>nx14071, A=>nx15454); ix14410 : inv02 port map ( Y=>nx14409, A=>nx15422); ix15067 : inv02 port map ( Y=>nx15066, A=>nx13491); ix15037 : inv02 port map ( Y=>nx15036, A=>nx13505); ix15023 : inv02 port map ( Y=>nx15022, A=>nx13513); ix14975 : inv02 port map ( Y=>nx14974, A=>nx13527); ix14923 : inv02 port map ( Y=>nx14922, A=>nx13473); ix14849 : inv02 port map ( Y=>nx14848, A=>nx13097); ix14829 : inv02 port map ( Y=>nx14828, A=>nx13111); ix14759 : inv02 port map ( Y=>nx14758, A=>nx13153); ix14749 : inv02 port map ( Y=>nx14748, A=>nx13165); ix14735 : inv02 port map ( Y=>nx14734, A=>nx13175); ix14725 : inv02 port map ( Y=>nx14724, A=>nx13183); ix14675 : inv02 port map ( Y=>nx14674, A=>nx13254); ix14629 : inv02 port map ( Y=>nx14628, A=>nx13210); ix14609 : inv02 port map ( Y=>nx14608, A=>nx13229); ix13616 : inv02 port map ( Y=>nx13615, A=>nx14596); ix13656 : inv02 port map ( Y=>nx13655, A=>nx6552); ix13438 : inv02 port map ( Y=>nx13437, A=>nx6551); ix14503 : inv02 port map ( Y=>nx6549, A=>nx13295); ix13715 : inv02 port map ( Y=>nx13714, A=>nx6547); ix14379 : inv02 port map ( Y=>nx14378, A=>nx13320); ix14367 : inv02 port map ( Y=>nx14366, A=>nx13337); ix13484 : inv02 port map ( Y=>nx13483, A=>nx13822); ix13038 : inv02 port map ( Y=>nx13037, A=>nx13804); ix13078 : inv02 port map ( Y=>nx13077, A=>nx13748); ix13088 : inv02 port map ( Y=>nx13087, A=>nx13738); ix13699 : inv02 port map ( Y=>nx13698, A=>nx12591); ix13454 : inv02 port map ( Y=>nx13453, A=>nx13658); ix13252 : inv02 port map ( Y=>nx13251, A=>nx13556); ix13539 : inv02 port map ( Y=>nx13538, A=>nx12733); ix13499 : inv02 port map ( Y=>nx13498, A=>nx12687); ix13162 : inv02 port map ( Y=>nx13161, A=>nx6543); ix13411 : inv02 port map ( Y=>nx13410, A=>nx12745); ix13385 : inv02 port map ( Y=>nx13384, A=>nx12763); ix13353 : inv02 port map ( Y=>nx13352, A=>nx12852); ix13341 : inv02 port map ( Y=>nx13340, A=>nx12653); ix13249 : inv02 port map ( Y=>nx13248, A=>nx12797); ix13056 : inv02 port map ( Y=>nx13055, A=>nx13214); ix13368 : inv02 port map ( Y=>nx13367, A=>nx13182); ix12827 : inv02 port map ( Y=>nx12826, A=>nx12459); ix12797 : inv02 port map ( Y=>nx12796, A=>nx12479); ix12783 : inv02 port map ( Y=>nx12782, A=>nx12489); ix12735 : inv02 port map ( Y=>nx12734, A=>nx12503); ix12683 : inv02 port map ( Y=>nx12682, A=>nx12443); ix12609 : inv02 port map ( Y=>nx12608, A=>nx12081); ix12589 : inv02 port map ( Y=>nx12588, A=>nx12093); ix12549 : inv02 port map ( Y=>nx12548, A=>nx12125); ix12519 : inv02 port map ( Y=>nx12518, A=>nx12133); ix12509 : inv02 port map ( Y=>nx12508, A=>nx12143); ix12495 : inv02 port map ( Y=>nx12494, A=>nx12149); ix12485 : inv02 port map ( Y=>nx12484, A=>nx12157); ix12435 : inv02 port map ( Y=>nx12434, A=>nx12233); ix12389 : inv02 port map ( Y=>nx12388, A=>nx12189); ix12369 : inv02 port map ( Y=>nx12368, A=>nx12209); ix12590 : inv02 port map ( Y=>nx12589, A=>nx12356); ix12627 : inv02 port map ( Y=>nx12626, A=>nx6534); ix12410 : inv02 port map ( Y=>nx12409, A=>nx6533); ix12263 : inv02 port map ( Y=>nx6532, A=>nx12275); ix12684 : inv02 port map ( Y=>nx12683, A=>nx6531); ix12139 : inv02 port map ( Y=>nx12138, A=>nx12297); ix12127 : inv02 port map ( Y=>nx12126, A=>nx12313); ix12453 : inv02 port map ( Y=>nx12452, A=>nx11582); ix12030 : inv02 port map ( Y=>nx12029, A=>nx11564); ix12069 : inv02 port map ( Y=>nx12068, A=>nx11508); ix12076 : inv02 port map ( Y=>nx12075, A=>nx11498); ix11459 : inv02 port map ( Y=>nx11458, A=>nx11553); ix12425 : inv02 port map ( Y=>nx12424, A=>nx11418); ix12230 : inv02 port map ( Y=>nx12229, A=>nx11316); ix11299 : inv02 port map ( Y=>nx11298, A=>nx11703); ix11259 : inv02 port map ( Y=>nx11258, A=>nx11663); ix12140 : inv02 port map ( Y=>nx12139, A=>nx6523); ix11171 : inv02 port map ( Y=>nx11170, A=>nx11717); ix11145 : inv02 port map ( Y=>nx11144, A=>nx11730); ix11113 : inv02 port map ( Y=>nx11112, A=>nx11841); ix11101 : inv02 port map ( Y=>nx11100, A=>nx11627); ix11009 : inv02 port map ( Y=>nx11008, A=>nx11773); ix12048 : inv02 port map ( Y=>nx12047, A=>nx10974); ix12346 : inv02 port map ( Y=>nx12345, A=>nx10942); ix10587 : inv02 port map ( Y=>nx10586, A=>nx11429); ix10557 : inv02 port map ( Y=>nx10556, A=>nx11444); ix10543 : inv02 port map ( Y=>nx10542, A=>nx11451); ix10495 : inv02 port map ( Y=>nx10494, A=>nx11466); ix10443 : inv02 port map ( Y=>nx10442, A=>nx11411); ix10369 : inv02 port map ( Y=>nx10368, A=>nx11059); ix10349 : inv02 port map ( Y=>nx10348, A=>nx11075); ix10309 : inv02 port map ( Y=>nx10308, A=>nx11107); ix10279 : inv02 port map ( Y=>nx10278, A=>nx11113); ix10269 : inv02 port map ( Y=>nx10268, A=>nx11123); ix10255 : inv02 port map ( Y=>nx10254, A=>nx11131); ix10245 : inv02 port map ( Y=>nx10244, A=>nx11137); ix10195 : inv02 port map ( Y=>nx10194, A=>nx11207); ix10169 : inv02 port map ( Y=>nx10168, A=>nx11153); ix10149 : inv02 port map ( Y=>nx10148, A=>nx11164); ix10129 : inv02 port map ( Y=>nx10128, A=>nx11185); ix11552 : inv02 port map ( Y=>nx11551, A=>nx10116); ix11594 : inv02 port map ( Y=>nx11593, A=>nx6509); ix11383 : inv02 port map ( Y=>nx11382, A=>nx6507); ix10023 : inv02 port map ( Y=>nx6505, A=>nx11249); ix11661 : inv02 port map ( Y=>nx11660, A=>nx6503); ix9899 : inv02 port map ( Y=>nx9898, A=>nx11271); ix9887 : inv02 port map ( Y=>nx9886, A=>nx11285); ix11422 : inv02 port map ( Y=>nx11421, A=>nx9342); ix11012 : inv02 port map ( Y=>nx11011, A=>nx9324); ix11044 : inv02 port map ( Y=>nx11043, A=>nx9268); ix11051 : inv02 port map ( Y=>nx11050, A=>nx9258); ix9219 : inv02 port map ( Y=>nx9218, A=>nx10540); ix11397 : inv02 port map ( Y=>nx11396, A=>nx9178); ix11204 : inv02 port map ( Y=>nx11202, A=>nx9076); ix9059 : inv02 port map ( Y=>nx9058, A=>nx10695); ix9019 : inv02 port map ( Y=>nx9018, A=>nx10647); ix11121 : inv02 port map ( Y=>nx11120, A=>nx6495); ix8931 : inv02 port map ( Y=>nx8930, A=>nx10707); ix8905 : inv02 port map ( Y=>nx8904, A=>nx10727); ix8873 : inv02 port map ( Y=>nx8872, A=>nx10836); ix8861 : inv02 port map ( Y=>nx8860, A=>nx10608); ix8769 : inv02 port map ( Y=>nx8768, A=>nx10769); ix11024 : inv02 port map ( Y=>nx11023, A=>nx8734); ix11314 : inv02 port map ( Y=>nx11313, A=>nx8702); ix8347 : inv02 port map ( Y=>nx8346, A=>nx10399); ix8317 : inv02 port map ( Y=>nx8316, A=>nx10413); ix8303 : inv02 port map ( Y=>nx8302, A=>nx10422); ix8255 : inv02 port map ( Y=>nx8254, A=>nx10436); ix8203 : inv02 port map ( Y=>nx8202, A=>nx10382); ix8129 : inv02 port map ( Y=>nx8128, A=>nx10039); ix8109 : inv02 port map ( Y=>nx8108, A=>nx10049); ix8069 : inv02 port map ( Y=>nx8068, A=>nx10078); ix8039 : inv02 port map ( Y=>nx8038, A=>nx10083); ix8029 : inv02 port map ( Y=>nx8028, A=>nx10095); ix8015 : inv02 port map ( Y=>nx8014, A=>nx10105); ix8005 : inv02 port map ( Y=>nx8004, A=>nx10111); ix7955 : inv02 port map ( Y=>nx7954, A=>nx10176); ix7909 : inv02 port map ( Y=>nx7908, A=>nx10137); ix7889 : inv02 port map ( Y=>nx7888, A=>nx10155); ix10539 : inv02 port map ( Y=>nx10538, A=>nx7876); ix10579 : inv02 port map ( Y=>nx10578, A=>nx6486); ix10350 : inv02 port map ( Y=>nx10349, A=>nx6485); ix7783 : inv02 port map ( Y=>nx6483, A=>nx10214); ix10644 : inv02 port map ( Y=>nx10643, A=>nx6481); ix7659 : inv02 port map ( Y=>nx7658, A=>nx10239); ix7647 : inv02 port map ( Y=>nx7646, A=>nx10255); ix10392 : inv02 port map ( Y=>nx10391, A=>nx7102); ix9990 : inv02 port map ( Y=>nx9989, A=>nx7084); ix10024 : inv02 port map ( Y=>nx10023, A=>nx7028); ix10032 : inv02 port map ( Y=>nx10031, A=>nx7018); ix6979 : inv02 port map ( Y=>nx6978, A=>nx9517); ix10365 : inv02 port map ( Y=>nx10364, A=>nx6938); ix10174 : inv02 port map ( Y=>nx10173, A=>nx6836); ix6819 : inv02 port map ( Y=>nx6818, A=>nx9685); ix6779 : inv02 port map ( Y=>nx6778, A=>nx9637); ix10092 : inv02 port map ( Y=>nx10091, A=>nx6477); ix6691 : inv02 port map ( Y=>nx6690, A=>nx9699); ix6665 : inv02 port map ( Y=>nx6664, A=>nx9719); ix6633 : inv02 port map ( Y=>nx6632, A=>nx9823); ix6621 : inv02 port map ( Y=>nx6620, A=>nx9597); ix6529 : inv02 port map ( Y=>nx6528, A=>nx9761); ix10005 : inv02 port map ( Y=>nx10004, A=>nx6494); ix10286 : inv02 port map ( Y=>nx10285, A=>nx6462); ix6107 : inv02 port map ( Y=>nx6106, A=>nx9373); ix6077 : inv02 port map ( Y=>nx6076, A=>nx9393); ix6063 : inv02 port map ( Y=>nx6062, A=>nx9403); ix6015 : inv02 port map ( Y=>nx6014, A=>nx9421); ix5963 : inv02 port map ( Y=>nx5962, A=>nx9353); ix5889 : inv02 port map ( Y=>nx5888, A=>nx9009); ix5869 : inv02 port map ( Y=>nx5868, A=>nx9019); ix5829 : inv02 port map ( Y=>nx5828, A=>nx9046); ix5799 : inv02 port map ( Y=>nx5798, A=>nx9053); ix5789 : inv02 port map ( Y=>nx5788, A=>nx9063); ix5775 : inv02 port map ( Y=>nx5774, A=>nx9069); ix5765 : inv02 port map ( Y=>nx5764, A=>nx9077); ix5715 : inv02 port map ( Y=>nx5714, A=>nx9149); ix5669 : inv02 port map ( Y=>nx5668, A=>nx9105); ix5649 : inv02 port map ( Y=>nx5648, A=>nx9127); ix9516 : inv02 port map ( Y=>nx9515, A=>nx15465); ix9564 : inv02 port map ( Y=>nx9563, A=>nx15466); ix9318 : inv02 port map ( Y=>nx9317, A=>nx6467); ix5543 : inv02 port map ( Y=>nx6466, A=>nx9189); ix9634 : inv02 port map ( Y=>nx9633, A=>nx6465); ix5419 : inv02 port map ( Y=>nx5418, A=>nx9211); ix5407 : inv02 port map ( Y=>nx5406, A=>nx9225_XX0_XREP83); ix5353 : inv02 port map ( Y=>nx5352, A=>nx9247); ix9364 : inv02 port map ( Y=>nx9363, A=>nx4862); ix8960 : inv02 port map ( Y=>nx8959, A=>nx4844); ix8994 : inv02 port map ( Y=>nx8993, A=>nx4788); ix9004 : inv02 port map ( Y=>nx9003, A=>nx4778); ix4739 : inv02 port map ( Y=>nx4738, A=>nx8503); ix9333 : inv02 port map ( Y=>nx9332, A=>nx4698); ix9146 : inv02 port map ( Y=>nx9145, A=>nx4596); ix4579 : inv02 port map ( Y=>nx4578, A=>nx8671); ix4539 : inv02 port map ( Y=>nx4538, A=>nx8623); ix9060 : inv02 port map ( Y=>nx9059, A=>nx6457); ix4451 : inv02 port map ( Y=>nx4450, A=>nx8683); ix4425 : inv02 port map ( Y=>nx4424, A=>nx8703); ix4393 : inv02 port map ( Y=>nx4392, A=>nx8792); ix4381 : inv02 port map ( Y=>nx4380, A=>nx8583); ix4289 : inv02 port map ( Y=>nx4288, A=>nx8735); ix8976 : inv02 port map ( Y=>nx8975, A=>nx4254); ix9255 : inv02 port map ( Y=>nx9254, A=>nx4222); ix3867 : inv02 port map ( Y=>nx3866, A=>nx8353); ix3837 : inv02 port map ( Y=>nx3836, A=>nx8368); ix3823 : inv02 port map ( Y=>nx3822, A=>nx8375); ix3775 : inv02 port map ( Y=>nx3774, A=>nx8395); ix3723 : inv02 port map ( Y=>nx3722, A=>nx8334); ix3649 : inv02 port map ( Y=>nx3648, A=>nx7969); ix3629 : inv02 port map ( Y=>nx3628, A=>nx7979); ix3589 : inv02 port map ( Y=>nx3588, A=>nx8013); ix3559 : inv02 port map ( Y=>nx3558, A=>nx8020); ix3549 : inv02 port map ( Y=>nx3548, A=>nx8029); ix3535 : inv02 port map ( Y=>nx3534, A=>nx8036); ix3525 : inv02 port map ( Y=>nx3524, A=>nx8043); ix3475 : inv02 port map ( Y=>nx3474, A=>nx8111); ix3449 : inv02 port map ( Y=>nx3448, A=>nx8061); ix3429 : inv02 port map ( Y=>nx3428, A=>nx8071); ix3409 : inv02 port map ( Y=>nx3408, A=>nx8089); ix8502 : inv02 port map ( Y=>nx8501, A=>nx3396_XX0_XREP42); ix8550 : inv02 port map ( Y=>nx8549, A=>nx6447); ix8300 : inv02 port map ( Y=>nx8299, A=>nx6445); ix3303 : inv02 port map ( Y=>nx6444, A=>nx8146); ix8620 : inv02 port map ( Y=>nx8619, A=>nx6443); ix3179 : inv02 port map ( Y=>nx3178, A=>nx8169); ix3167 : inv02 port map ( Y=>nx3166, A=>nx8187_XX0_XREP61); ix8344 : inv02 port map ( Y=>nx8343, A=>nx2622); ix7925 : inv02 port map ( Y=>nx7924, A=>nx2604); ix7954 : inv02 port map ( Y=>nx7953, A=>nx2548); ix7963 : inv02 port map ( Y=>nx7962, A=>nx2538); ix2499 : inv02 port map ( Y=>nx2498, A=>nx7457); ix8316 : inv02 port map ( Y=>nx8315, A=>nx2458); ix8108 : inv02 port map ( Y=>nx8107, A=>nx2356); ix2339 : inv02 port map ( Y=>nx2338, A=>nx7627); ix2299 : inv02 port map ( Y=>nx2298, A=>nx7583); ix8026 : inv02 port map ( Y=>nx8025, A=>nx6437); ix2211 : inv02 port map ( Y=>nx2210, A=>nx7639); ix2153 : inv02 port map ( Y=>nx2152, A=>nx7758); ix2141 : inv02 port map ( Y=>nx2140, A=>nx7541); ix2049 : inv02 port map ( Y=>nx2048, A=>nx7697); ix7938 : inv02 port map ( Y=>nx7937, A=>nx2014); ix8218 : inv02 port map ( Y=>nx8217, A=>nx1982); ix1627 : inv02 port map ( Y=>nx1626, A=>nx7292); ix1583 : inv02 port map ( Y=>nx1582, A=>nx7327); ix1561 : inv02 port map ( Y=>nx1560, A=>nx7311); ix1541 : inv02 port map ( Y=>nx1540, A=>nx7272); ix1467 : inv02 port map ( Y=>nx1466, A=>nx6955); ix1447 : inv02 port map ( Y=>nx1446, A=>nx6966); ix1387 : inv02 port map ( Y=>nx1386, A=>nx6995); ix1377 : inv02 port map ( Y=>nx1376, A=>nx7006); ix1363 : inv02 port map ( Y=>nx1362, A=>nx7015); ix1353 : inv02 port map ( Y=>nx1352, A=>nx7021); ix1319 : inv02 port map ( Y=>nx1318, A=>nx7075); ix1293 : inv02 port map ( Y=>nx1292, A=>nx7035); ix1273 : inv02 port map ( Y=>nx1272, A=>nx7046); ix1253 : inv02 port map ( Y=>nx1252, A=>nx7061); ix7456 : inv02 port map ( Y=>nx7455, A=>nx1240_XX0_XREP13); ix7508 : inv02 port map ( Y=>nx7507, A=>nx15459); ix7240 : inv02 port map ( Y=>nx7239, A=>nx6425); ix1071 : inv02 port map ( Y=>nx1070, A=>nx7143); ix1059 : inv02 port map ( Y=>nx1058, A=>nx7151_XX0_XREP19); ix959 : inv02 port map ( Y=>nx958, A=>nx6912); ix6910 : inv02 port map ( Y=>nx6909, A=>nx940); ix937 : inv02 port map ( Y=>nx936, A=>nx7289); ix865 : inv02 port map ( Y=>nx864, A=>nx6890); ix797 : inv02 port map ( Y=>nx796, A=>nx6844); ix753 : inv02 port map ( Y=>nx752, A=>nx6840); ix685 : inv02 port map ( Y=>nx684, A=>nx6833); ix669 : inv02 port map ( Y=>nx668, A=>nx6964); ix639 : inv02 port map ( Y=>nx638, A=>nx6826); ix559 : inv02 port map ( Y=>nx558, A=>nx6999); ix499 : inv02 port map ( Y=>nx498, A=>nx6807); ix431 : inv02 port map ( Y=>nx430, A=>nx6802); ix415 : inv02 port map ( Y=>nx414, A=>nx7044); ix349 : inv02 port map ( Y=>nx348, A=>nx6793); ix6641 : inv02 port map ( Y=>nx6640, A=>nx6413); ix297 : inv02 port map ( Y=>nx296, A=>nx6679); ix7669 : inv02 port map ( Y=>nx7668, A=>nx220); ix6768 : inv02 port map ( Y=>nx6767, A=>nx6412); ix7124 : inv02 port map ( Y=>nx7123, A=>nx170); ix775 : inv02 port map ( Y=>nx6409, A=>nx6596); REG_13_reg_q_0_rep_1 : dff port map ( Q=>nx14707, QB=>OPEN, D=>nx506, CLK =>CLK); REG_37_reg_q_0_rep_1 : dff port map ( Q=>nx14709, QB=>OPEN, D=>nx452, CLK =>CLK); REG_17_reg_q_1_rep_1 : dff port map ( Q=>nx14711, QB=>OPEN, D=>nx1526, CLK=>CLK); ix14712 : inv02 port map ( Y=>nx14713, A=>nx7807); ix14714 : inv02 port map ( Y=>nx14715, A=>nx14819); REG_17_reg_q_3_rep_1 : dff port map ( Q=>nx14719, QB=>OPEN, D=>nx3708, CLK=>CLK); ix14720 : inv02 port map ( Y=>nx14721, A=>nx8843); ix14722 : inv02 port map ( Y=>nx14723, A=>nx14821); ix14724 : ao21 port map ( Y=>nx14725, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_4, B0=>nx4626); REG_17_reg_q_5_rep_1 : dff port map ( Q=>nx14727, QB=>OPEN, D=>nx5948, CLK=>CLK); ix14728 : inv02 port map ( Y=>nx14729, A=>nx9873); ix14730 : inv02 port map ( Y=>nx14731, A=>nx14823); ix14732 : ao21 port map ( Y=>nx14733, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_6, B0=>nx6866); REG_17_reg_q_7_rep_1 : dff port map ( Q=>nx14735, QB=>OPEN, D=>nx8188, CLK=>CLK); ix14736 : inv02 port map ( Y=>nx14737, A=>nx10887); ix14738 : inv02 port map ( Y=>nx14739, A=>nx14825); ix14740 : ao21 port map ( Y=>nx14741, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_8, B0=>nx9106); REG_17_reg_q_9_rep_1 : dff port map ( Q=>nx14743, QB=>OPEN, D=>nx10428, CLK=>CLK); ix14744 : inv02 port map ( Y=>nx14745, A=>nx11897); ix14746 : inv02 port map ( Y=>nx14747, A=>nx14827); ix14748 : ao21 port map ( Y=>nx14749, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_10, B0=>nx11346); REG_17_reg_q_11_rep_1 : dff port map ( Q=>nx14751, QB=>OPEN, D=>nx12668, CLK=>CLK); ix14752 : inv02 port map ( Y=>nx14753, A=>nx12907); ix14754 : inv02 port map ( Y=>nx14755, A=>nx14829); ix14756 : ao21 port map ( Y=>nx14757, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_12, B0=>nx13586); REG_17_reg_q_13_rep_1 : dff port map ( Q=>nx14759, QB=>OPEN, D=>nx14908, CLK=>CLK); ix14760 : inv02 port map ( Y=>nx14761, A=>nx13927); ix14762 : inv02 port map ( Y=>nx14763, A=>nx14831); ix14764 : ao21 port map ( Y=>nx14765, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_14, B0=>nx15826); ix14768 : buf02 port map ( Y=>nx14769, A=>nx7699); ix14772 : buf02 port map ( Y=>nx14773, A=>nx8737); ix14776 : buf02 port map ( Y=>nx14777, A=>nx9763); ix14780 : buf02 port map ( Y=>nx14781, A=>nx10771); ix14782 : buf02 port map ( Y=>nx14783, A=>nx11775); ix14784 : buf02 port map ( Y=>nx14785, A=>nx11775); ix14786 : buf02 port map ( Y=>nx14787, A=>nx12799); ix14788 : buf02 port map ( Y=>nx14789, A=>nx12799); ix14790 : buf02 port map ( Y=>nx14791, A=>nx13817); ix14792 : buf02 port map ( Y=>nx14793, A=>nx13817); ix14794 : buf02 port map ( Y=>nx14795, A=>nx14479); ix827 : xor2 port map ( Y=>nx826, A0=>nx6719, A1=>nx6847); ix707 : xor2 port map ( Y=>nx706, A0=>reg_18_q_c_0, A1=>reg_19_q_c_0); ix661 : xor2 port map ( Y=>nx660, A0=>reg_40_q_c_0, A1=>nx366); ix579 : xnor2 port map ( Y=>nx578, A0=>nx6607, A1=>reg_41_q_c_0); ix565 : xor2 port map ( Y=>nx564, A0=>PRI_IN_8(0), A1=>nx6413); ix489 : xor2 port map ( Y=>nx488, A0=>nx6637, A1=>nx6651); ix467 : xor2 port map ( Y=>nx466, A0=>nx14709, A1=>nx6413); ix453 : xor2 port map ( Y=>nx452, A0=>PRI_IN_6(0), A1=>reg_44_q_c_0); ix407 : xnor2 port map ( Y=>nx406, A0=>nx6799, A1=>nx15872); ix393 : xnor2 port map ( Y=>nx392, A0=>nx6785, A1=>reg_47_q_c_0); ix135 : xnor2 port map ( Y=>nx134, A0=>nx15458, A1=>reg_19_q_c_0); ix209 : xor2 port map ( Y=>nx208, A0=>nx14709, A1=>nx6412); ix547 : xor2 port map ( Y=>nx546, A0=>PRI_OUT_1_0_EXMPLR, A1=> reg_37_q_c_0); ix533 : xnor2 port map ( Y=>nx532, A0=>nx6773, A1=>reg_45_q_c_0); ix6853 : and02 port map ( Y=>nx6852, A0=>nx6707, A1=>nx6659); ix887 : xnor2 port map ( Y=>nx886, A0=>nx6683, A1=>reg_46_q_c_0); ix1643 : xor2 port map ( Y=>nx1642, A0=>nx7275, A1=>reg_39_q_c_1); ix1497 : xor2 port map ( Y=>nx1496, A0=>nx7267, A1=>nx6425); ix1487 : xor2 port map ( Y=>nx1486, A0=>PRI_IN_2(1), A1=>nx15908); ix1457 : xor2 port map ( Y=>nx1456, A0=>PRI_IN_9(1), A1=>nx7155); ix6965 : or02 port map ( Y=>nx6964, A0=>nx6719, A1=>nx6619); ix1427 : xnor2 port map ( Y=>nx1426, A0=>nx7259, A1=>nx15908); ix1417 : xor2 port map ( Y=>nx1416, A0=>nx7259, A1=>reg_32_q_c_1); ix6994 : or02 port map ( Y=>nx6993, A0=>nx6607, A1=>nx6637); ix7016 : xor2 port map ( Y=>nx7015, A0=>PRI_OUT_1_1_EXMPLR, A1=>nx7236); ix7020 : or02 port map ( Y=>nx7019, A0=>nx6773, A1=>nx6799); ix7022 : xnor2 port map ( Y=>nx7021, A0=>nx7241, A1=>nx15906); ix7028 : xor2 port map ( Y=>nx7027, A0=>nx7236, A1=>nx6425); ix1283 : xor2 port map ( Y=>nx1282, A0=>reg_10_q_c_1, A1=>nx7213); ix7045 : or02 port map ( Y=>nx7044, A0=>nx6603, A1=>nx6667); ix7047 : xor2 port map ( Y=>nx7046, A0=>nx15908, A1=>reg_11_q_c_1); ix7062 : xor2 port map ( Y=>nx7061, A0=>nx7213, A1=>reg_47_q_c_1); ix1215 : xnor2 port map ( Y=>nx1214, A0=>nx7087, A1=>nx7211); ix1331 : xor2 port map ( Y=>nx1330, A0=>PRI_OUT_0_1_EXMPLR, A1=>nx7241); ix7142 : or02 port map ( Y=>nx7141, A0=>nx15458, A1=>nx6703); ix7150 : xor2 port map ( Y=>nx7149, A0=>nx7211, A1=>nx7151); ix1085 : xor2 port map ( Y=>nx1084, A0=>nx7269, A1=>nx6421); ix7312 : xnor2 port map ( Y=>nx7311, A0=>nx7087, A1=>nx7261); ix1573 : xor2 port map ( Y=>nx1572, A0=>PRI_IN_5(1), A1=>nx7275); ix2605 : xor2 port map ( Y=>nx2604, A0=>nx7838, A1=>nx7839); ix2587 : xor2 port map ( Y=>nx2586, A0=>reg_29_q_c_2, A1=>nx14819); ix2559 : xor2 port map ( Y=>nx2558, A0=>reg_28_q_c_2, A1=>nx14819); ix2549 : xor2 port map ( Y=>nx2548, A0=>PRI_IN_2(2), A1=>nx7770); ix2539 : xor2 port map ( Y=>nx2538, A0=>PRI_IN_3(2), A1=>nx7834); ix7426 : xnor2 port map ( Y=>nx7425, A0=>nx7833, A1=>nx7748); ix2519 : xor2 port map ( Y=>nx2518, A0=>PRI_IN_9(2), A1=>nx7703); ix7448 : xnor2 port map ( Y=>nx7447, A0=>nx7838, A1=>nx7831); ix7458 : xor2 port map ( Y=>nx7457, A0=>nx7829, A1=>nx2276_XX0_XREP23); ix2489 : xor2 port map ( Y=>nx2488, A0=>PRI_OUT_3_2_EXMPLR, A1=>nx7770); ix7496 : xnor2 port map ( Y=>nx7495, A0=>nx7834, A1=>nx7817); ix7524 : xor2 port map ( Y=>nx7523, A0=>PRI_OUT_1_2_EXMPLR, A1=>nx7804); ix7534 : xnor2 port map ( Y=>nx7533, A0=>nx7809, A1=>nx7800); ix7542 : xnor2 port map ( Y=>nx7541, A0=>nx7804, A1=>nx14819); ix7552 : xor2 port map ( Y=>nx7551, A0=>PRI_IN_6(2), A1=>nx7803); ix2319 : xor2 port map ( Y=>nx2318, A0=>reg_10_q_c_2, A1=>nx7781); ix7572 : xnor2 port map ( Y=>nx7571, A0=>nx7770, A1=>nx7801); ix7584 : xor2 port map ( Y=>nx7583, A0=>nx7800, A1=>nx6433); ix7592 : xnor2 port map ( Y=>nx7591, A0=>nx7781, A1=>nx7799); ix2231 : xnor2 port map ( Y=>nx2230, A0=>nx7631, A1=>nx7779); ix2367 : xor2 port map ( Y=>nx2366, A0=>PRI_OUT_0_2_EXMPLR, A1=>nx7809); ix2357 : xor2 port map ( Y=>nx2356, A0=>nx7817, A1=>nx7619); ix7628 : xor2 port map ( Y=>nx7627, A0=>nx7804, A1=>nx14717_XX0_XREP27); ix2195 : xor2 port map ( Y=>nx2194, A0=>nx7773, A1=>nx2040); ix7686 : xnor2 port map ( Y=>nx7685, A0=>nx15461, A1=>nx7748); ix7698 : xor2 port map ( Y=>nx7697, A0=>nx7779, A1=>nx14767); ix1983 : xor2 port map ( Y=>nx1982, A0=>PRI_IN_0(2), A1=>nx7749); ix2139 : aoi21 port map ( Y=>nx7807, A0=>C_MUX2_24_SEL, A1=>reg_27_q_c_2, B0=>nx2134); ix2459 : xor2 port map ( Y=>nx2458, A0=>nx7834, A1=>nx2276_XX0_XREP23); ix7873 : xnor2 port map ( Y=>nx7872, A0=>nx7631, A1=>nx7829); ix7890 : xnor2 port map ( Y=>nx7889, A0=>nx7773, A1=>nx7899); ix2623 : xor2 port map ( Y=>nx2622, A0=>nx7803, A1=>nx14767_XX0_XREP31); ix3883 : xor2 port map ( Y=>nx3882, A0=>nx8336, A1=>reg_39_q_c_3); ix3679 : xor2 port map ( Y=>nx3678, A0=>nx8328, A1=>nx6445); ix3669 : xor2 port map ( Y=>nx3668, A0=>PRI_IN_2(3), A1=>nx8257); ix3639 : xor2 port map ( Y=>nx3638, A0=>PRI_IN_9(3), A1=>nx8191); ix7992 : xor2 port map ( Y=>nx7991, A0=>nx8324, A1=>nx3396_XX0_XREP42); ix3609 : xnor2 port map ( Y=>nx3608, A0=>nx8323, A1=>nx8257); ix3599 : xor2 port map ( Y=>nx3598, A0=>nx8323, A1=>reg_32_q_c_3); ix8037 : xor2 port map ( Y=>nx8036, A0=>PRI_OUT_1_3_EXMPLR, A1=>nx8296); ix8044 : xnor2 port map ( Y=>nx8043, A0=>nx8301, A1=>nx8289); ix8052 : xor2 port map ( Y=>nx8051, A0=>nx8296, A1=>nx6445); ix3439 : xor2 port map ( Y=>nx3438, A0=>reg_10_q_c_3, A1=>nx8267); ix8072 : xor2 port map ( Y=>nx8071, A0=>nx8257, A1=>reg_11_q_c_3); ix8084 : xor2 port map ( Y=>nx8083, A0=>nx8289, A1=>nx6443); ix8090 : xor2 port map ( Y=>nx8089, A0=>nx8267, A1=>reg_47_q_c_3); ix2995 : ao22 port map ( Y=>nx2994, A0=>nx7631, A1=>reg_31_q_c_2, B0=> nx14803, B1=>nx2230); ix14802 : inv02 port map ( Y=>nx14803, A=>nx7597); ix3351 : xnor2 port map ( Y=>nx3350, A0=>nx8123, A1=>nx8265); ix3487 : xor2 port map ( Y=>nx3486, A0=>PRI_OUT_0_3_EXMPLR, A1=>nx8301); ix8120 : xor2 port map ( Y=>nx8119, A0=>nx8296, A1=>nx6447_XX0_XREP48); ix8145 : xor2 port map ( Y=>nx8144, A0=>nx8328, A1=>nx8146); ix8185 : xor2 port map ( Y=>nx8184, A0=>nx8265, A1=>nx8187); ix3203 : xor2 port map ( Y=>nx3202, A0=>nx8329, A1=>nx6443); ix8252 : xor2 port map ( Y=>nx8251, A0=>nx8241, A1=>nx8146); ix3813 : xor2 port map ( Y=>nx3812, A0=>PRI_IN_5(3), A1=>nx8336); ix8396 : xnor2 port map ( Y=>nx8395, A0=>nx8123, A1=>nx8324); ix4845 : xor2 port map ( Y=>nx4844, A0=>nx8877, A1=>nx8878); ix4827 : xor2 port map ( Y=>nx4826, A0=>reg_29_q_c_4, A1=>nx14821); ix4799 : xor2 port map ( Y=>nx4798, A0=>reg_28_q_c_4, A1=>nx14821); ix4789 : xor2 port map ( Y=>nx4788, A0=>PRI_IN_2(4), A1=>nx8806); ix4779 : xor2 port map ( Y=>nx4778, A0=>PRI_IN_3(4), A1=>nx8869); ix8476 : xnor2 port map ( Y=>nx8475, A0=>nx8868, A1=>nx8784); ix4759 : xor2 port map ( Y=>nx4758, A0=>PRI_IN_9(4), A1=>nx8741); ix8494 : xnor2 port map ( Y=>nx8493, A0=>nx8877, A1=>nx8867); ix8504 : xor2 port map ( Y=>nx8503, A0=>nx8866, A1=>nx4516_XX0_XREP63); ix4729 : xor2 port map ( Y=>nx4728, A0=>PRI_OUT_3_4_EXMPLR, A1=>nx8806); ix8540 : xnor2 port map ( Y=>nx8539, A0=>nx8869, A1=>nx8853); ix8566 : xor2 port map ( Y=>nx8565, A0=>PRI_OUT_1_4_EXMPLR, A1=>nx8840); ix8576 : xnor2 port map ( Y=>nx8575, A0=>nx8845, A1=>nx8836); ix8584 : xnor2 port map ( Y=>nx8583, A0=>nx8840, A1=>nx14821); ix8594 : xor2 port map ( Y=>nx8593, A0=>PRI_IN_6(4), A1=>nx8839); ix4559 : xor2 port map ( Y=>nx4558, A0=>reg_10_q_c_4, A1=>nx8814); ix8612 : xnor2 port map ( Y=>nx8611, A0=>nx8806, A1=>nx8837); ix8624 : xor2 port map ( Y=>nx8623, A0=>nx8836, A1=>nx6453); ix8634 : xnor2 port map ( Y=>nx8633, A0=>nx8814, A1=>nx8835); ix4471 : xnor2 port map ( Y=>nx4470, A0=>nx8675, A1=>nx8813); ix4607 : xor2 port map ( Y=>nx4606, A0=>PRI_OUT_0_4_EXMPLR, A1=>nx8845); ix4597 : xor2 port map ( Y=>nx4596, A0=>nx8853, A1=>nx8663); ix8672 : xor2 port map ( Y=>nx8671, A0=>nx8840, A1=>nx14725); ix4435 : xor2 port map ( Y=>nx4434, A0=>nx8808, A1=>nx4280); ix8726 : xnor2 port map ( Y=>nx8725, A0=>nx8785, A1=>nx8784); ix8736 : xor2 port map ( Y=>nx8735, A0=>nx8813, A1=>nx14771_XX0_XREP67); ix4223 : xor2 port map ( Y=>nx4222, A0=>PRI_IN_0(4), A1=>nx8785); ix4379 : aoi21 port map ( Y=>nx8843, A0=>C_MUX2_24_SEL, A1=>reg_27_q_c_4, B0=>nx4374); ix4699 : xor2 port map ( Y=>nx4698, A0=>nx8869, A1=>nx4516); ix8910 : xnor2 port map ( Y=>nx8909, A0=>nx8675, A1=>nx8866); ix8926 : xnor2 port map ( Y=>nx8925, A0=>nx8808, A1=>nx8935); ix4863 : xor2 port map ( Y=>nx4862, A0=>nx8839, A1=>nx14771_XX0_XREP67); ix6123 : xor2 port map ( Y=>nx6122, A0=>nx9355, A1=>reg_39_q_c_5); ix5919 : xor2 port map ( Y=>nx5918, A0=>nx9347, A1=>nx6467); ix5909 : xor2 port map ( Y=>nx5908, A0=>PRI_IN_2(5), A1=>nx9285); ix5879 : xor2 port map ( Y=>nx5878, A0=>PRI_IN_9(5), A1=>nx9229); ix9030 : xor2 port map ( Y=>nx9029, A0=>nx9341, A1=>nx5636); ix5849 : xnor2 port map ( Y=>nx5848, A0=>nx9339, A1=>nx9285); ix5839 : xor2 port map ( Y=>nx5838, A0=>nx9339, A1=>reg_32_q_c_5); ix9070 : xor2 port map ( Y=>nx9069, A0=>PRI_OUT_1_5_EXMPLR, A1=>nx9314); ix9078 : xnor2 port map ( Y=>nx9077, A0=>nx9319, A1=>nx9310); ix9086 : xor2 port map ( Y=>nx9085, A0=>nx9314, A1=>nx6467); ix5679 : xor2 port map ( Y=>nx5678, A0=>reg_10_q_c_5, A1=>nx9293); ix9106 : xor2 port map ( Y=>nx9105, A0=>nx9285, A1=>reg_11_q_c_5); ix9120 : xor2 port map ( Y=>nx9119, A0=>nx9310, A1=>nx6465); ix9128 : xor2 port map ( Y=>nx9127, A0=>nx9293, A1=>reg_47_q_c_5); ix5235 : ao22 port map ( Y=>nx5234, A0=>nx8675, A1=>reg_31_q_c_4, B0=> nx14805, B1=>nx4470); ix14804 : inv02 port map ( Y=>nx14805, A=>nx8639); ix5591 : xnor2 port map ( Y=>nx5590, A0=>nx9162, A1=>nx9291); ix5727 : xor2 port map ( Y=>nx5726, A0=>PRI_OUT_0_5_EXMPLR, A1=>nx9319); ix9158 : xor2 port map ( Y=>nx9157, A0=>nx9314, A1=>nx6468); ix9187 : xor2 port map ( Y=>nx9186, A0=>nx9347, A1=>nx9189); ix9224 : xor2 port map ( Y=>nx9223, A0=>nx9291, A1=>nx9225); ix5443 : xor2 port map ( Y=>nx5442, A0=>nx9349, A1=>nx6465); ix9282 : xor2 port map ( Y=>nx9281, A0=>nx9274, A1=>nx9189); ix6053 : xor2 port map ( Y=>nx6052, A0=>PRI_IN_5(5), A1=>nx9355); ix9422 : xnor2 port map ( Y=>nx9421, A0=>nx9162, A1=>nx9341); ix7085 : xor2 port map ( Y=>nx7084, A0=>nx9905, A1=>nx9906); ix7067 : xor2 port map ( Y=>nx7066, A0=>reg_29_q_c_6, A1=>nx14823); ix7039 : xor2 port map ( Y=>nx7038, A0=>reg_28_q_c_6, A1=>nx14823); ix7029 : xor2 port map ( Y=>nx7028, A0=>PRI_IN_2(6), A1=>nx9836); ix7019 : xor2 port map ( Y=>nx7018, A0=>PRI_IN_3(6), A1=>nx9901); ix9491 : xnor2 port map ( Y=>nx9490, A0=>nx9899, A1=>nx9811); ix6999 : xor2 port map ( Y=>nx6998, A0=>PRI_IN_9(6), A1=>nx9767); ix9508 : xnor2 port map ( Y=>nx9507, A0=>nx9905, A1=>nx9897); ix9518 : xor2 port map ( Y=>nx9517, A0=>nx9896, A1=>nx15467); ix6969 : xor2 port map ( Y=>nx6968, A0=>PRI_OUT_3_6_EXMPLR, A1=>nx9836); ix9554 : xnor2 port map ( Y=>nx9553, A0=>nx9901, A1=>nx9883); ix9580 : xor2 port map ( Y=>nx9579, A0=>PRI_OUT_1_6_EXMPLR, A1=>nx9869); ix9590 : xnor2 port map ( Y=>nx9589, A0=>nx9875, A1=>nx9863); ix9598 : xnor2 port map ( Y=>nx9597, A0=>nx9869, A1=>nx14823); ix6799 : xor2 port map ( Y=>nx6798, A0=>reg_10_q_c_6, A1=>nx9845); ix9626 : xnor2 port map ( Y=>nx9625, A0=>nx9836, A1=>nx9865); ix9638 : xor2 port map ( Y=>nx9637, A0=>nx9863, A1=>nx6473); ix9648 : xnor2 port map ( Y=>nx9647, A0=>nx9845, A1=>nx9861); ix6711 : xnor2 port map ( Y=>nx6710, A0=>nx9690, A1=>nx9843); ix6847 : xor2 port map ( Y=>nx6846, A0=>PRI_OUT_0_6_EXMPLR, A1=>nx9875); ix6837 : xor2 port map ( Y=>nx6836, A0=>nx9883, A1=>nx9677); ix9686 : xor2 port map ( Y=>nx9685, A0=>nx9869, A1=>nx14733); ix6675 : xor2 port map ( Y=>nx6674, A0=>nx9838, A1=>nx6520); ix9748 : xnor2 port map ( Y=>nx9747, A0=>nx9812, A1=>nx9811); ix9762 : xor2 port map ( Y=>nx9761, A0=>nx9843, A1=>nx14775); ix6463 : xor2 port map ( Y=>nx6462, A0=>PRI_IN_0(6), A1=>nx9812); ix6619 : aoi21 port map ( Y=>nx9873, A0=>C_MUX2_24_SEL, A1=>reg_27_q_c_6, B0=>nx6614); ix6939 : xor2 port map ( Y=>nx6938, A0=>nx9901, A1=>nx15467); ix9938 : xnor2 port map ( Y=>nx9937, A0=>nx9690, A1=>nx9896); ix9957 : xnor2 port map ( Y=>nx9956, A0=>nx9838, A1=>nx9963); ix7103 : xor2 port map ( Y=>nx7102, A0=>nx9867, A1=>nx14775_XX0_XREP101); ix8363 : xor2 port map ( Y=>nx8362, A0=>nx10384, A1=>reg_39_q_c_7); ix8159 : xor2 port map ( Y=>nx8158, A0=>nx10376, A1=>nx6485); ix8149 : xor2 port map ( Y=>nx8148, A0=>PRI_IN_2(7), A1=>nx10317); ix8119 : xor2 port map ( Y=>nx8118, A0=>PRI_IN_9(7), A1=>nx10259); ix10062 : xor2 port map ( Y=>nx10061, A0=>nx10372, A1=>nx7876); ix8089 : xnor2 port map ( Y=>nx8088, A0=>nx10371, A1=>nx10317); ix8079 : xor2 port map ( Y=>nx8078, A0=>nx10371, A1=>reg_32_q_c_7); ix10106 : xor2 port map ( Y=>nx10105, A0=>PRI_OUT_1_7_EXMPLR, A1=>nx10346 ); ix10112 : xnor2 port map ( Y=>nx10111, A0=>nx10351, A1=>nx10342); ix10120 : xor2 port map ( Y=>nx10119, A0=>nx10346, A1=>nx6485); ix7919 : xor2 port map ( Y=>nx7918, A0=>reg_10_q_c_7, A1=>nx10325); ix10138 : xor2 port map ( Y=>nx10137, A0=>nx10317, A1=>reg_11_q_c_7); ix10150 : xor2 port map ( Y=>nx10149, A0=>nx10342, A1=>nx6481); ix10156 : xor2 port map ( Y=>nx10155, A0=>nx10325, A1=>reg_47_q_c_7); ix7475 : ao22 port map ( Y=>nx7474, A0=>nx9690, A1=>reg_31_q_c_6, B0=> nx14807, B1=>nx6710); ix14806 : inv02 port map ( Y=>nx14807, A=>nx9653); ix7831 : xnor2 port map ( Y=>nx7830, A0=>nx10188, A1=>nx10324); ix7967 : xor2 port map ( Y=>nx7966, A0=>PRI_OUT_0_7_EXMPLR, A1=>nx10351); ix10185 : xor2 port map ( Y=>nx10184, A0=>nx10346, A1=>nx6486); ix10213 : xor2 port map ( Y=>nx10212, A0=>nx10376, A1=>nx10214); ix10254 : xor2 port map ( Y=>nx10253, A0=>nx10324, A1=>nx10255); ix7683 : xor2 port map ( Y=>nx7682, A0=>nx10377, A1=>nx6481); ix10314 : xor2 port map ( Y=>nx10313, A0=>nx10305, A1=>nx10214); ix8293 : xor2 port map ( Y=>nx8292, A0=>PRI_IN_5(7), A1=>nx10384); ix10437 : xnor2 port map ( Y=>nx10436, A0=>nx10188, A1=>nx10372); ix9325 : xor2 port map ( Y=>nx9324, A0=>nx10919, A1=>nx10921); ix9307 : xor2 port map ( Y=>nx9306, A0=>reg_29_q_c_8, A1=>nx14825); ix9279 : xor2 port map ( Y=>nx9278, A0=>reg_28_q_c_8, A1=>nx14825); ix9269 : xor2 port map ( Y=>nx9268, A0=>PRI_IN_2(8), A1=>nx10849); ix9259 : xor2 port map ( Y=>nx9258, A0=>PRI_IN_3(8), A1=>nx10913); ix10516 : xnor2 port map ( Y=>nx10515, A0=>nx10912, A1=>nx10825); ix9239 : xor2 port map ( Y=>nx9238, A0=>PRI_IN_9(8), A1=>nx10775); ix10532 : xnor2 port map ( Y=>nx10531, A0=>nx10919, A1=>nx10911); ix10541 : xor2 port map ( Y=>nx10540, A0=>nx10909, A1=>nx8996); ix9209 : xor2 port map ( Y=>nx9208, A0=>PRI_OUT_3_8_EXMPLR, A1=>nx10849); ix10570 : xnor2 port map ( Y=>nx10569, A0=>nx10913, A1=>nx10895); ix10594 : xor2 port map ( Y=>nx10593, A0=>PRI_OUT_1_8_EXMPLR, A1=>nx10883 ); ix10602 : xnor2 port map ( Y=>nx10601, A0=>nx10889, A1=>nx10878); ix10609 : xnor2 port map ( Y=>nx10608, A0=>nx10883, A1=>nx14825); ix9039 : xor2 port map ( Y=>nx9038, A0=>reg_10_q_c_8, A1=>nx10858); ix10636 : xnor2 port map ( Y=>nx10635, A0=>nx10849, A1=>nx10879); ix10648 : xor2 port map ( Y=>nx10647, A0=>nx10878, A1=>nx6490); ix10658 : xnor2 port map ( Y=>nx10657, A0=>nx10858, A1=>nx10877); ix8951 : xnor2 port map ( Y=>nx8950, A0=>nx10699, A1=>nx10857); ix9087 : xor2 port map ( Y=>nx9086, A0=>PRI_OUT_0_8_EXMPLR, A1=>nx10889); ix9077 : xor2 port map ( Y=>nx9076, A0=>nx10895, A1=>nx10687); ix10696 : xor2 port map ( Y=>nx10695, A0=>nx10883, A1=>nx14741); ix8915 : xor2 port map ( Y=>nx8914, A0=>nx10851, A1=>nx8760); ix10756 : xnor2 port map ( Y=>nx10755, A0=>nx10826, A1=>nx10825); ix10770 : xor2 port map ( Y=>nx10769, A0=>nx10857, A1=>nx14779); ix8703 : xor2 port map ( Y=>nx8702, A0=>PRI_IN_0(8), A1=>nx10826); ix8859 : aoi21 port map ( Y=>nx10887, A0=>C_MUX2_24_SEL, A1=>reg_27_q_c_8, B0=>nx8854); ix9179 : xor2 port map ( Y=>nx9178, A0=>nx10913, A1=>nx8996); ix10956 : xnor2 port map ( Y=>nx10955, A0=>nx10699, A1=>nx10909); ix10974 : xnor2 port map ( Y=>nx10973, A0=>nx10851, A1=>nx10983); ix9343 : xor2 port map ( Y=>nx9342, A0=>nx10882, A1=>nx14779); ix10603 : xor2 port map ( Y=>nx10602, A0=>nx11413, A1=>reg_39_q_c_9); ix10399 : xor2 port map ( Y=>nx10398, A0=>nx11407, A1=>nx6507); ix10389 : xor2 port map ( Y=>nx10388, A0=>PRI_IN_2(9), A1=>nx11344); ix10359 : xor2 port map ( Y=>nx10358, A0=>PRI_IN_9(9), A1=>nx11289); ix11086 : xor2 port map ( Y=>nx11085, A0=>nx11403, A1=>nx10116); ix10329 : xnor2 port map ( Y=>nx10328, A0=>nx11402, A1=>nx11344); ix10319 : xor2 port map ( Y=>nx10318, A0=>nx11402, A1=>reg_32_q_c_9); ix11132 : xor2 port map ( Y=>nx11131, A0=>PRI_OUT_1_9_EXMPLR, A1=>nx11379 ); ix11138 : xnor2 port map ( Y=>nx11137, A0=>nx11385, A1=>nx11373); ix11148 : xor2 port map ( Y=>nx11147, A0=>nx11379, A1=>nx6507); ix10159 : xor2 port map ( Y=>nx10158, A0=>reg_10_q_c_9, A1=>nx11353); ix11165 : xor2 port map ( Y=>nx11164, A0=>nx11344, A1=>reg_11_q_c_9); ix11178 : xor2 port map ( Y=>nx11177, A0=>nx11373, A1=>nx6503); ix11186 : xor2 port map ( Y=>nx11185, A0=>nx11353, A1=>reg_47_q_c_9); ix9715 : ao22 port map ( Y=>nx9714, A0=>nx10699, A1=>reg_31_q_c_8, B0=> nx14809, B1=>nx8950); ix14808 : inv02 port map ( Y=>nx14809, A=>nx10663); ix10071 : xnor2 port map ( Y=>nx10070, A0=>nx11223, A1=>nx11351); ix10207 : xor2 port map ( Y=>nx10206, A0=>PRI_OUT_0_9_EXMPLR, A1=>nx11385 ); ix11218 : xor2 port map ( Y=>nx11217, A0=>nx11379, A1=>nx6509); ix11248 : xor2 port map ( Y=>nx11247, A0=>nx11407, A1=>nx11249); ix11284 : xor2 port map ( Y=>nx11283, A0=>nx11351, A1=>nx11285); ix9923 : xor2 port map ( Y=>nx9922, A0=>nx11408, A1=>nx6503); ix11340 : xor2 port map ( Y=>nx11339, A0=>nx11333, A1=>nx11249); ix10533 : xor2 port map ( Y=>nx10532, A0=>PRI_IN_5(9), A1=>nx11413); ix11467 : xnor2 port map ( Y=>nx11466, A0=>nx11223, A1=>nx11403); ix11565 : xor2 port map ( Y=>nx11564, A0=>nx11933, A1=>nx11935); ix11547 : xor2 port map ( Y=>nx11546, A0=>reg_29_q_c_10, A1=>nx14827); ix11519 : xor2 port map ( Y=>nx11518, A0=>reg_28_q_c_10, A1=>nx14827); ix11509 : xor2 port map ( Y=>nx11508, A0=>PRI_IN_2(10), A1=>nx11854); ix11499 : xor2 port map ( Y=>nx11498, A0=>PRI_IN_3(10), A1=>nx11927); ix11529 : xnor2 port map ( Y=>nx11528, A0=>nx11925, A1=>nx11829); ix11479 : xor2 port map ( Y=>nx11478, A0=>PRI_IN_9(10), A1=>nx11779); ix11545 : xnor2 port map ( Y=>nx11544, A0=>nx11933, A1=>nx11923); ix11554 : xor2 port map ( Y=>nx11553, A0=>nx11922, A1=>nx11236); ix11449 : xor2 port map ( Y=>nx11448, A0=>PRI_OUT_3_10_EXMPLR, A1=> nx11854); ix11586 : xnor2 port map ( Y=>nx11585, A0=>nx11927, A1=>nx11907); ix11610 : xor2 port map ( Y=>nx11609, A0=>PRI_OUT_1_10_EXMPLR, A1=> nx11893); ix11620 : xnor2 port map ( Y=>nx11619, A0=>nx11899, A1=>nx11887); ix11628 : xnor2 port map ( Y=>nx11627, A0=>nx11893, A1=>nx14827); ix11279 : xor2 port map ( Y=>nx11278, A0=>reg_10_q_c_10, A1=>nx11865); ix11656 : xnor2 port map ( Y=>nx11655, A0=>nx11854, A1=>nx11888); ix11664 : xor2 port map ( Y=>nx11663, A0=>nx11887, A1=>nx6517); ix11672 : xnor2 port map ( Y=>nx11671, A0=>nx11865, A1=>nx11885); ix11191 : xnor2 port map ( Y=>nx11190, A0=>nx11709, A1=>nx11863); ix11327 : xor2 port map ( Y=>nx11326, A0=>PRI_OUT_0_10_EXMPLR, A1=> nx11899); ix11317 : xor2 port map ( Y=>nx11316, A0=>nx11907, A1=>nx11697); ix11704 : xor2 port map ( Y=>nx11703, A0=>nx11893, A1=>nx14749); ix11155 : xor2 port map ( Y=>nx11154, A0=>nx11857, A1=>nx11000); ix11760 : xnor2 port map ( Y=>nx11759, A0=>nx11831, A1=>nx11829); ix11774 : xor2 port map ( Y=>nx11773, A0=>nx11863, A1=>nx14783); ix10943 : xor2 port map ( Y=>nx10942, A0=>PRI_IN_0(10), A1=>nx11831); ix11099 : aoi21 port map ( Y=>nx11897, A0=>C_MUX2_24_SEL, A1=> reg_27_q_c_10, B0=>nx11094); ix11419 : xor2 port map ( Y=>nx11418, A0=>nx11927, A1=>nx11236); ix11972 : xnor2 port map ( Y=>nx11971, A0=>nx11709, A1=>nx11922); ix11992 : xnor2 port map ( Y=>nx11991, A0=>nx11857, A1=>nx12001); ix11583 : xor2 port map ( Y=>nx11582, A0=>nx11891, A1=>nx14783); ix12843 : xor2 port map ( Y=>nx12842, A0=>nx12445, A1=>reg_39_q_c_11); ix12639 : xor2 port map ( Y=>nx12638, A0=>nx12439, A1=>nx6533); ix12629 : xor2 port map ( Y=>nx12628, A0=>PRI_IN_2(11), A1=>nx12377); ix12599 : xor2 port map ( Y=>nx12598, A0=>PRI_IN_9(11), A1=>nx12316); ix12106 : xor2 port map ( Y=>nx12105, A0=>nx12431, A1=>nx12356); ix12569 : xnor2 port map ( Y=>nx12568, A0=>nx12429, A1=>nx12377); ix12559 : xor2 port map ( Y=>nx12558, A0=>nx12429, A1=>reg_32_q_c_11); ix12150 : xor2 port map ( Y=>nx12149, A0=>PRI_OUT_1_11_EXMPLR, A1=> nx12406); ix12158 : xnor2 port map ( Y=>nx12157, A0=>nx12411, A1=>nx12402); ix12168 : xor2 port map ( Y=>nx12167, A0=>nx12406, A1=>nx6533); ix12399 : xor2 port map ( Y=>nx12398, A0=>reg_10_q_c_11, A1=>nx12385); ix12190 : xor2 port map ( Y=>nx12189, A0=>nx12377, A1=>reg_11_q_c_11); ix12202 : xor2 port map ( Y=>nx12201, A0=>nx12402, A1=>nx6531); ix12210 : xor2 port map ( Y=>nx12209, A0=>nx12385, A1=>reg_47_q_c_11); ix11955 : ao22 port map ( Y=>nx11954, A0=>nx11709, A1=>reg_31_q_c_10, B0 =>nx14811, B1=>nx11190); ix14810 : inv02 port map ( Y=>nx14811, A=>nx11677); ix12311 : xnor2 port map ( Y=>nx12310, A0=>nx12247, A1=>nx12384); ix12447 : xor2 port map ( Y=>nx12446, A0=>PRI_OUT_0_11_EXMPLR, A1=> nx12411); ix12243 : xor2 port map ( Y=>nx12242, A0=>nx12406, A1=>nx6534); ix12274 : xor2 port map ( Y=>nx12273, A0=>nx12439, A1=>nx12275); ix12312 : xor2 port map ( Y=>nx12311, A0=>nx12384, A1=>nx12313); ix12163 : xor2 port map ( Y=>nx12162, A0=>nx12440, A1=>nx6531); ix12374 : xor2 port map ( Y=>nx12373, A0=>nx12365, A1=>nx12275); ix12773 : xor2 port map ( Y=>nx12772, A0=>PRI_IN_5(11), A1=>nx12445); ix12504 : xnor2 port map ( Y=>nx12503, A0=>nx12247, A1=>nx12431); ix13805 : xor2 port map ( Y=>nx13804, A0=>nx12939, A1=>nx12941); ix13787 : xor2 port map ( Y=>nx13786, A0=>reg_29_q_c_12, A1=>nx14829); ix13759 : xor2 port map ( Y=>nx13758, A0=>reg_28_q_c_12, A1=>nx14829); ix13749 : xor2 port map ( Y=>nx13748, A0=>PRI_IN_2(12), A1=>nx12867); ix13739 : xor2 port map ( Y=>nx13738, A0=>PRI_IN_3(12), A1=>nx12933); ix12570 : xnor2 port map ( Y=>nx12569, A0=>nx12931, A1=>nx12843); ix13719 : xor2 port map ( Y=>nx13718, A0=>PRI_IN_9(12), A1=>nx12803); ix12584 : xnor2 port map ( Y=>nx12583, A0=>nx12939, A1=>nx12930); ix12592 : xor2 port map ( Y=>nx12591, A0=>nx12929, A1=>nx13476); ix13689 : xor2 port map ( Y=>nx13688, A0=>PRI_OUT_3_12_EXMPLR, A1=> nx12867); ix12618 : xnor2 port map ( Y=>nx12617, A0=>nx12933, A1=>nx12915); ix12640 : xor2 port map ( Y=>nx12639, A0=>PRI_OUT_1_12_EXMPLR, A1=> nx12902); ix12648 : xnor2 port map ( Y=>nx12647, A0=>nx12909, A1=>nx12897); ix12654 : xnor2 port map ( Y=>nx12653, A0=>nx12902, A1=>nx14829); ix13519 : xor2 port map ( Y=>nx13518, A0=>reg_10_q_c_12, A1=>nx12875); ix12677 : xnor2 port map ( Y=>nx12676, A0=>nx12867, A1=>nx12899); ix12688 : xor2 port map ( Y=>nx12687, A0=>nx12897, A1=>nx6539); ix12696 : xnor2 port map ( Y=>nx12694, A0=>nx12875, A1=>nx12895); ix13431 : xnor2 port map ( Y=>nx13430, A0=>nx12738, A1=>nx12873); ix13567 : xor2 port map ( Y=>nx13566, A0=>PRI_OUT_0_12_EXMPLR, A1=> nx12909); ix13557 : xor2 port map ( Y=>nx13556, A0=>nx12915, A1=>nx12725); ix12734 : xor2 port map ( Y=>nx12733, A0=>nx12902, A1=>nx14757); ix13395 : xor2 port map ( Y=>nx13394, A0=>nx12869, A1=>nx13240); ix12788 : xnor2 port map ( Y=>nx12787, A0=>nx12845, A1=>nx12843); ix12798 : xor2 port map ( Y=>nx12797, A0=>nx12873, A1=>nx15915); ix13183 : xor2 port map ( Y=>nx13182, A0=>PRI_IN_0(12), A1=>nx12845); ix13339 : aoi21 port map ( Y=>nx12907, A0=>C_MUX2_24_SEL, A1=> reg_27_q_c_12, B0=>nx13334); ix13659 : xor2 port map ( Y=>nx13658, A0=>nx12933, A1=>nx13476); ix12978 : xnor2 port map ( Y=>nx12977, A0=>nx12738, A1=>nx12929); ix12998 : xnor2 port map ( Y=>nx12997, A0=>nx12869, A1=>nx13007); ix13823 : xor2 port map ( Y=>nx13822, A0=>nx12901, A1=>nx15916); ix15083 : xor2 port map ( Y=>nx15082, A0=>nx13475, A1=>reg_39_q_c_13); ix14879 : xor2 port map ( Y=>nx14878, A0=>nx13469, A1=>nx6551); ix14869 : xor2 port map ( Y=>nx14868, A0=>PRI_IN_2(13), A1=>nx13399); ix14839 : xor2 port map ( Y=>nx14838, A0=>PRI_IN_9(13), A1=>nx13341); ix13124 : xor2 port map ( Y=>nx13123, A0=>nx13463, A1=>nx14596); ix14809 : xnor2 port map ( Y=>nx14808, A0=>nx13461, A1=>nx13399); ix14799 : xor2 port map ( Y=>nx14798, A0=>nx13461, A1=>reg_32_q_c_13); ix13176 : xor2 port map ( Y=>nx13175, A0=>PRI_OUT_1_13_EXMPLR, A1=> nx13434); ix13184 : xnor2 port map ( Y=>nx13183, A0=>nx13439, A1=>nx13428); ix13192 : xor2 port map ( Y=>nx13191, A0=>nx13434, A1=>nx6551); ix14639 : xor2 port map ( Y=>nx14638, A0=>reg_10_q_c_13, A1=>nx13407); ix13211 : xor2 port map ( Y=>nx13210, A0=>nx13399, A1=>reg_11_q_c_13); ix13224 : xor2 port map ( Y=>nx13223, A0=>nx13428, A1=>nx6547); ix13230 : xor2 port map ( Y=>nx13229, A0=>nx13407, A1=>reg_47_q_c_13); ix14195 : ao22 port map ( Y=>nx14194, A0=>nx12738, A1=>reg_31_q_c_12, B0 =>nx14813, B1=>nx13430); ix14812 : inv02 port map ( Y=>nx14813, A=>nx12701); ix14551 : xnor2 port map ( Y=>nx14550, A0=>nx13267, A1=>nx13405); ix14687 : xor2 port map ( Y=>nx14686, A0=>PRI_OUT_0_13_EXMPLR, A1=> nx13439); ix13264 : xor2 port map ( Y=>nx13263, A0=>nx13434, A1=>nx6552); ix13294 : xor2 port map ( Y=>nx13293, A0=>nx13469, A1=>nx13295); ix13336 : xor2 port map ( Y=>nx13335, A0=>nx13405, A1=>nx13337); ix14403 : xor2 port map ( Y=>nx14402, A0=>nx13470, A1=>nx6547); ix13396 : xor2 port map ( Y=>nx13395, A0=>nx13389, A1=>nx13295); ix15013 : xor2 port map ( Y=>nx15012, A0=>PRI_IN_5(13), A1=>nx13475); ix13528 : xnor2 port map ( Y=>nx13527, A0=>nx13267, A1=>nx13463); ix16045 : xor2 port map ( Y=>nx16044, A0=>nx13960, A1=>nx13961); ix16027 : xor2 port map ( Y=>nx16026, A0=>reg_29_q_c_14, A1=>nx14831); ix15999 : xor2 port map ( Y=>nx15998, A0=>reg_28_q_c_14, A1=>nx14831); ix15989 : xor2 port map ( Y=>nx15988, A0=>PRI_IN_2(14), A1=>nx13893); ix15979 : xor2 port map ( Y=>nx15978, A0=>PRI_IN_3(14), A1=>nx13956); ix13594 : xnor2 port map ( Y=>nx13593, A0=>nx13955, A1=>nx13869); ix15959 : xor2 port map ( Y=>nx15958, A0=>PRI_IN_9(14), A1=>nx13821); ix13611 : xnor2 port map ( Y=>nx13610, A0=>nx13960, A1=>nx13953); ix13618 : xor2 port map ( Y=>nx13617, A0=>nx13951, A1=>nx15716); ix15929 : xor2 port map ( Y=>nx15928, A0=>PRI_OUT_3_14_EXMPLR, A1=> nx13893); ix13647 : xnor2 port map ( Y=>nx13646, A0=>nx13956, A1=>nx13937); ix13670 : xor2 port map ( Y=>nx13669, A0=>PRI_OUT_1_14_EXMPLR, A1=> nx13924); ix13678 : xnor2 port map ( Y=>nx13677, A0=>nx13929, A1=>nx13917); ix13685 : xnor2 port map ( Y=>nx13684, A0=>nx13924, A1=>nx14831); ix15759 : xor2 port map ( Y=>nx15758, A0=>reg_10_q_c_14, A1=>nx13900); ix13708 : xnor2 port map ( Y=>nx13707, A0=>nx13893, A1=>nx13919); ix13718 : xor2 port map ( Y=>nx13717, A0=>nx13917, A1=>nx6557); ix13727 : xnor2 port map ( Y=>nx13726, A0=>nx13900, A1=>nx13915); ix15671 : xnor2 port map ( Y=>nx15670, A0=>nx13761, A1=>nx13899); ix15807 : xor2 port map ( Y=>nx15806, A0=>PRI_OUT_0_14_EXMPLR, A1=> nx13929); ix15797 : xor2 port map ( Y=>nx15796, A0=>nx13937, A1=>nx13749); ix13756 : xor2 port map ( Y=>nx13755, A0=>nx13924, A1=>nx14765); ix15635 : xor2 port map ( Y=>nx15634, A0=>nx13895, A1=>nx15480); ix13806 : xnor2 port map ( Y=>nx13805, A0=>nx13870, A1=>nx13869); ix13816 : xor2 port map ( Y=>nx13815, A0=>nx13899, A1=>nx14791); ix15423 : xor2 port map ( Y=>nx15422, A0=>PRI_IN_0(14), A1=>nx13870); ix15579 : aoi21 port map ( Y=>nx13927, A0=>C_MUX2_24_SEL, A1=> reg_27_q_c_14, B0=>nx15574); ix15899 : xor2 port map ( Y=>nx15898, A0=>nx13956, A1=>nx15716); ix13994 : xnor2 port map ( Y=>nx13993, A0=>nx13761, A1=>nx13951); ix14014 : xnor2 port map ( Y=>nx14012, A0=>nx13895, A1=>nx14023); ix16063 : xor2 port map ( Y=>nx16062, A0=>nx15921, A1=>nx14791); ix14096 : xor2 port map ( Y=>nx14095, A0=>PRI_IN_2(15), A1=>reg_5_q_c_15 ); ix14106 : xor2 port map ( Y=>nx14105, A0=>PRI_IN_3(15), A1=>reg_34_q_c_15 ); ix14150 : xor2 port map ( Y=>nx14149, A0=>PRI_OUT_3_15_EXMPLR, A1=> reg_5_q_c_15); ix14176 : xor2 port map ( Y=>nx14175, A0=>nx14107, A1=>reg_41_q_c_15); ix14208 : xor2 port map ( Y=>nx14207, A0=>nx14307, A1=>reg_45_q_c_15); ix14236 : xor2 port map ( Y=>nx14235, A0=>reg_10_q_c_15, A1=> reg_27_q_c_15); ix14242 : xor2 port map ( Y=>nx14241, A0=>nx14097, A1=>reg_11_q_c_15); ix14264 : xor2 port map ( Y=>nx14263, A0=>nx14449, A1=>reg_47_q_c_15); ix16435 : ao22 port map ( Y=>nx16434, A0=>nx13761, A1=>reg_31_q_c_14, B0 =>nx14815, B1=>nx15670); ix14814 : inv02 port map ( Y=>nx14815, A=>nx13731); ix14274 : xor2 port map ( Y=>nx14273, A0=>reg_13_q_c_15, A1=> reg_31_q_c_15); ix14282 : xor2 port map ( Y=>nx14281, A0=>PRI_OUT_0_15_EXMPLR, A1=> reg_35_q_c_15); ix14362 : xor2 port map ( Y=>nx14361, A0=>nx14413, A1=>reg_19_q_c_15); ix16609 : xnor2 port map ( Y=>nx16608, A0=>nx14310, A1=>nx14377); ix14412 : xor2 port map ( Y=>nx14411, A0=>PRI_IN_0(15), A1=>reg_12_q_c_15 ); ix14498 : xnor2 port map ( Y=>nx14497, A0=>nx14107, A1=>nx16836); ix17339 : xnor2 port map ( Y=>nx17338, A0=>nx6675, A1=>reg_42_q_c_0); ix14588 : or02 port map ( Y=>nx14587, A0=>nx6675, A1=>nx6619); ix14590 : xor2 port map ( Y=>nx14589, A0=>nx7211, A1=>reg_42_q_c_1); ix14600 : xnor2 port map ( Y=>nx14599, A0=>nx7779, A1=>nx7831); ix14606 : xor2 port map ( Y=>nx14605, A0=>nx8265, A1=>reg_42_q_c_3); ix14615 : xnor2 port map ( Y=>nx14614, A0=>nx8813, A1=>nx8867); ix14622 : xor2 port map ( Y=>nx14621, A0=>nx9291, A1=>reg_42_q_c_5); ix14630 : xnor2 port map ( Y=>nx14629, A0=>nx9843, A1=>nx9897); ix14636 : xor2 port map ( Y=>nx14635, A0=>nx10324, A1=>reg_42_q_c_7); ix14645 : xnor2 port map ( Y=>nx14644, A0=>nx10857, A1=>nx10911); ix14652 : xor2 port map ( Y=>nx14651, A0=>nx11351, A1=>reg_42_q_c_9); ix14660 : xnor2 port map ( Y=>nx14659, A0=>nx11863, A1=>nx11923); ix14666 : xor2 port map ( Y=>nx14665, A0=>nx12384, A1=>reg_42_q_c_11); ix14676 : xnor2 port map ( Y=>nx14675, A0=>nx12873, A1=>nx12930); ix14683 : xor2 port map ( Y=>nx14682, A0=>nx13405, A1=>reg_42_q_c_13); ix14692 : xnor2 port map ( Y=>nx14691, A0=>nx13899, A1=>nx13953); ix14698 : xor2 port map ( Y=>nx14697, A0=>nx14310, A1=>reg_42_q_c_15); REG_5_reg_q_0_rep_1 : dff port map ( Q=>OPEN, QB=>nx14817, D=>nx724, CLK =>CLK); ix14818 : inv02 port map ( Y=>nx14819, A=>nx14713); ix14820 : inv02 port map ( Y=>nx14821, A=>nx14721); ix14822 : inv02 port map ( Y=>nx14823, A=>nx14729); ix14824 : inv02 port map ( Y=>nx14825, A=>nx14737); ix14826 : inv02 port map ( Y=>nx14827, A=>nx14745); ix14828 : inv02 port map ( Y=>nx14829, A=>nx14753); ix14830 : inv02 port map ( Y=>nx14831, A=>nx14761); ix367 : ao21 port map ( Y=>nx366, A0=>C_MUX2_12_SEL, A1=>reg_15_q_c_0, B0 =>nx344); REG_15_reg_q_0 : dff port map ( Q=>reg_15_q_c_0, QB=>OPEN, D=>nx356, CLK =>CLK); ix345 : nor02 port map ( Y=>nx344, A0=>C_MUX2_12_SEL, A1=>nx6711); ix367_0_XREP1 : ao21 port map ( Y=>nx366_XX0_XREP1, A0=>C_MUX2_12_SEL, A1 =>reg_15_q_c_0, B0=>nx344); ix557 : ao21 port map ( Y=>nx6413, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_0, B0 =>nx524); REG_8_reg_q_0 : dff port map ( Q=>reg_8_q_c_0, QB=>OPEN, D=>nx546, CLK=> CLK); ix525 : nor02 port map ( Y=>nx524, A0=>C_MUX2_13_SEL, A1=>nx7004); ix557_0_XREP5 : ao21 port map ( Y=>nx6413_XX0_XREP5, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_0, B0=>nx524); ix6708 : mux21 port map ( Y=>nx6707, A0=>nx102, A1=>nx84, S0=> C_MUX2_1_SEL); ix103 : mux21 port map ( Y=>nx102, A0=>nx6615, A1=>nx6711, S0=> C_MUX2_6_SEL); ix85 : mux21 port map ( Y=>nx84, A0=>nx6596, A1=>nx6716, S0=>C_MUX2_9_SEL ); ix6708_0_XREP7 : mux21 port map ( Y=>nx6707_XX0_XREP7, A0=>nx102, A1=> nx84, S0=>C_MUX2_1_SEL); REG_12_reg_q_0 : dff port map ( Q=>reg_12_q_c_0, QB=>nx6733, D=>nx134, CLK=>CLK); ix1241 : ao21 port map ( Y=>nx1240, A0=>C_MUX2_12_SEL, A1=>reg_15_q_c_1, B0=>nx1226); REG_15_reg_q_1 : dff port map ( Q=>reg_15_q_c_1, QB=>OPEN, D=>nx1230, CLK =>CLK); ix1227 : nor02 port map ( Y=>nx1226, A0=>C_MUX2_12_SEL, A1=>nx7157); REG_8_reg_q_1 : dff port map ( Q=>reg_8_q_c_1, QB=>OPEN, D=>nx1364, CLK=> CLK); ix1351 : nor02 port map ( Y=>nx1350, A0=>C_MUX2_13_SEL, A1=>nx7247); ix7152 : mux21 port map ( Y=>nx7151, A0=>nx1052, A1=>nx1034, S0=> C_MUX2_1_SEL); ix1053 : mux21 port map ( Y=>nx1052, A0=>nx7155, A1=>nx7157, S0=> C_MUX2_6_SEL); ix7152_0_XREP19 : mux21 port map ( Y=>nx7151_XX0_XREP19, A0=>nx1052, A1=> nx1034, S0=>C_MUX2_1_SEL); ix2277 : ao21 port map ( Y=>nx2276, A0=>C_MUX2_12_SEL, A1=>reg_15_q_c_2, B0=>nx2252); REG_15_reg_q_2 : dff port map ( Q=>reg_15_q_c_2, QB=>OPEN, D=>nx2266, CLK =>CLK); ix2253 : nor02 port map ( Y=>nx2252, A0=>C_MUX2_12_SEL, A1=>nx7705); ix2277_0_XREP23 : ao21 port map ( Y=>nx2276_XX0_XREP23, A0=>C_MUX2_12_SEL, A1=>reg_15_q_c_2, B0=>nx2252); ix14716 : ao21 port map ( Y=>nx14717, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_2, B0=>nx2386); REG_8_reg_q_2 : dff port map ( Q=>reg_8_q_c_2, QB=>OPEN, D=>nx2416, CLK=> CLK); ix2387 : nor02 port map ( Y=>nx2386, A0=>C_MUX2_13_SEL, A1=>nx7815); ix14716_0_XREP27 : ao21 port map ( Y=>nx14717_XX0_XREP27, A0=> C_MUX2_13_SEL, A1=>reg_8_q_c_2, B0=>nx2386); REG_12_reg_q_2 : dff port map ( Q=>reg_12_q_c_2, QB=>nx7749, D=>nx2060, CLK=>CLK); ix2061 : xor2 port map ( Y=>nx2060, A0=>nx7681, A1=>nx7685); ix14766 : buf02 port map ( Y=>nx14767, A=>nx7699); ix7700 : mux21 port map ( Y=>nx7699, A0=>nx2040, A1=>nx2022, S0=> C_MUX2_1_SEL); ix14766_0_XREP31 : buf02 port map ( Y=>nx14767_XX0_XREP31, A=>nx7699); REG_12_reg_q_3 : dff port map ( Q=>reg_12_q_c_3, QB=>OPEN, D=>nx3180, CLK =>CLK); ix3181 : xnor2 port map ( Y=>nx3180, A0=>nx3048, A1=>nx8169); ix3397 : ao21 port map ( Y=>nx3396, A0=>C_MUX2_12_SEL, A1=>reg_15_q_c_3, B0=>nx3372); REG_15_reg_q_3 : dff port map ( Q=>reg_15_q_c_3, QB=>OPEN, D=>nx3386, CLK =>CLK); ix3373 : nor02 port map ( Y=>nx3372, A0=>C_MUX2_12_SEL, A1=>nx8193); ix3397_0_XREP42 : ao21 port map ( Y=>nx3396_XX0_XREP42, A0=>C_MUX2_12_SEL, A1=>reg_15_q_c_3, B0=>nx3372); ix3547 : ao21 port map ( Y=>nx6447, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_3, B0=>nx3506); REG_8_reg_q_3 : dff port map ( Q=>reg_8_q_c_3, QB=>OPEN, D=>nx3536, CLK=> CLK); ix3507 : nor02 port map ( Y=>nx3506, A0=>C_MUX2_13_SEL, A1=>nx8307); ix3547_0_XREP48 : ao21 port map ( Y=>nx6447_XX0_XREP48, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_3, B0=>nx3506); ix8188 : mux21 port map ( Y=>nx8187, A0=>nx3160, A1=>nx3142, S0=> C_MUX2_1_SEL); ix3161 : mux21 port map ( Y=>nx3160, A0=>nx8191, A1=>nx8193, S0=> C_MUX2_6_SEL); ix3143 : ao21 port map ( Y=>nx3142, A0=>C_MUX2_9_SEL, A1=>nx3134, B0=> nx3140); ix8188_0_XREP61 : mux21 port map ( Y=>nx8187_XX0_XREP61, A0=>nx3160, A1=> nx3142, S0=>C_MUX2_1_SEL); ix4517 : ao21 port map ( Y=>nx4516, A0=>C_MUX2_12_SEL, A1=>reg_15_q_c_4, B0=>nx4492); REG_15_reg_q_4 : dff port map ( Q=>reg_15_q_c_4, QB=>OPEN, D=>nx4506, CLK =>CLK); ix4493 : nor02 port map ( Y=>nx4492, A0=>C_MUX2_12_SEL, A1=>nx8743); ix4517_0_XREP63 : ao21 port map ( Y=>nx4516_XX0_XREP63, A0=>C_MUX2_12_SEL, A1=>reg_15_q_c_4, B0=>nx4492); ix14770 : buf02 port map ( Y=>nx14771, A=>nx8737); ix8738 : mux21 port map ( Y=>nx8737, A0=>nx4280, A1=>nx4262, S0=> C_MUX2_1_SEL); ix14770_0_XREP67 : buf02 port map ( Y=>nx14771_XX0_XREP67, A=>nx8737); REG_12_reg_q_5 : dff port map ( Q=>reg_12_q_c_5, QB=>OPEN, D=>nx5420, CLK =>CLK); ix5421 : xnor2 port map ( Y=>nx5420, A0=>nx5288, A1=>nx9211); ix5637 : ao21 port map ( Y=>nx5636, A0=>C_MUX2_12_SEL, A1=>reg_15_q_c_5, B0=>nx5612); REG_15_reg_q_5 : dff port map ( Q=>reg_15_q_c_5, QB=>OPEN, D=>nx5626, CLK =>CLK); ix5613 : nor02 port map ( Y=>nx5612, A0=>C_MUX2_12_SEL, A1=>nx9231); ix5787 : ao21 port map ( Y=>nx6468, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_5, B0=>nx5746); REG_8_reg_q_5 : dff port map ( Q=>reg_8_q_c_5, QB=>OPEN, D=>nx5776, CLK=> CLK); ix5747 : nor02 port map ( Y=>nx5746, A0=>C_MUX2_13_SEL, A1=>nx9325); ix9226 : mux21 port map ( Y=>nx9225, A0=>nx5400, A1=>nx5382, S0=> C_MUX2_1_SEL); ix5401 : mux21 port map ( Y=>nx5400, A0=>nx9229, A1=>nx9231, S0=> C_MUX2_6_SEL); ix5383 : ao21 port map ( Y=>nx5382, A0=>C_MUX2_9_SEL, A1=>nx5374, B0=> nx5380); ix9226_0_XREP83 : mux21 port map ( Y=>nx9225_XX0_XREP83, A0=>nx5400, A1=> nx5382, S0=>C_MUX2_1_SEL); ix6757 : ao21 port map ( Y=>nx6756, A0=>C_MUX2_12_SEL, A1=>reg_15_q_c_6, B0=>nx6732); REG_15_reg_q_6 : dff port map ( Q=>reg_15_q_c_6, QB=>OPEN, D=>nx6746, CLK =>CLK); ix6733 : nor02 port map ( Y=>nx6732, A0=>C_MUX2_12_SEL, A1=>nx9769); ix14774 : buf02 port map ( Y=>nx14775, A=>nx9763); ix9764 : mux21 port map ( Y=>nx9763, A0=>nx6520, A1=>nx6502, S0=> C_MUX2_1_SEL); ix14774_0_XREP101 : buf02 port map ( Y=>nx14775_XX0_XREP101, A=>nx9763); REG_12_reg_q_7 : dff port map ( Q=>reg_12_q_c_7, QB=>OPEN, D=>nx7660, CLK =>CLK); ix7661 : xnor2 port map ( Y=>nx7660, A0=>nx7528, A1=>nx10239); ix14778 : buf02 port map ( Y=>nx14779, A=>nx10771); ix10772 : mux21 port map ( Y=>nx10771, A0=>nx8760, A1=>nx8742, S0=> C_MUX2_1_SEL); ix15923 : buf04 port map ( Y=>nx15458, A=>nx6733); ix15924 : buf04 port map ( Y=>nx15459, A=>nx6427); ix15925 : buf04 port map ( Y=>nx15461, A=>nx7749); ix15926 : buf04 port map ( Y=>nx15463, A=>reg_12_q_c_3); ix15927 : buf04 port map ( Y=>nx15464, A=>reg_12_q_c_5); ix15928 : buf04 port map ( Y=>nx15465, A=>nx5636); ix15930 : buf04 port map ( Y=>nx15466, A=>nx6468); ix15932 : buf04 port map ( Y=>nx15467, A=>nx6756); ix15933 : buf04 port map ( Y=>nx15468, A=>reg_12_q_c_7); ix15934 : and02 port map ( Y=>nx15469, A0=>C_MUX2_4_SEL, A1=> PRI_OUT_4_14_EXMPLR); ix15935 : inv02 port map ( Y=>nx15470, A=>reg_36_q_c_14); ix15936 : or03 port map ( Y=>nx15471, A0=>nx15469, A1=>nx15470, A2=> nx16112); ix15937 : oai21 port map ( Y=>nx15472, A0=>nx15469, A1=>nx16112, B0=> nx15470); ix15938 : aoi21 port map ( Y=>nx15473, A0=>nx15471, A1=>nx15472, B0=> nx13997); ix15940 : aoi21 port map ( Y=>nx15474, A0=>C_MUX2_4_SEL, A1=> PRI_OUT_4_14_EXMPLR, B0=>nx16112); ix15942 : nor02 port map ( Y=>nx15475, A0=>nx15470, A1=>nx15474); nx6895_EXMPLR : inv02 port map ( Y=>nx6895, A=>C_MUX2_23_SEL); ix15943 : inv02 port map ( Y=>nx15476, A=>reg_36_q_c_15); ix15944 : inv02 port map ( Y=>nx15477, A=>nx14556); ix15945 : aoi22 port map ( Y=>nx15478, A0=>reg_36_q_c_15, A1=>nx14556, B0 =>nx15476, B1=>nx15477); ix15946 : or03 port map ( Y=>nx15479, A0=>nx15475, A1=>nx6895, A2=> nx15478); ix15947 : aoi221 port map ( Y=>nx15481, A0=>nx15476, A1=>nx15477, B0=> reg_36_q_c_15, B1=>nx14556, C0=>nx6895); ix15948 : aoi222 port map ( Y=>nx15482, A0=>nx17216, A1=>nx6895, B0=> nx15475, B1=>nx15481, C0=>nx15473, C1=>nx15481); nx17282_EXMPLR : oai21 port map ( Y=>nx17282, A0=>nx15473, A1=>nx15479, B0=>nx15482); nx14001_EXMPLR : oai32 port map ( Y=>nx14001, A0=>nx15469, A1=> reg_36_q_c_14, A2=>nx16112, B0=>nx15470, B1=>nx15474); ix15949 : inv01 port map ( Y=>nx15483, A=>C_MUX2_4_SEL); ix15950 : inv01 port map ( Y=>nx15484, A=>nx7135); ix15952 : oai22 port map ( Y=>nx15485, A0=>nx15496, A1=> PRI_OUT_4_1_EXMPLR, B0=>nx15484, B1=>C_MUX2_4_SEL); ix15953 : inv01 port map ( Y=>nx15486, A=>reg_36_q_c_1); ix15954 : inv01 port map ( Y=>nx15487, A=>nx6697); nx7315_EXMPLR : oai221 port map ( Y=>nx7315, A0=>nx15496, A1=> PRI_OUT_4_0_EXMPLR, B0=>nx15487, B1=>C_MUX2_4_SEL, C0=>reg_36_q_c_0); ix15955 : oai221 port map ( Y=>nx15489, A0=>nx15496, A1=> PRI_OUT_4_1_EXMPLR, B0=>nx15484, B1=>C_MUX2_4_SEL, C0=>reg_36_q_c_1); nx7877_EXMPLR : inv02 port map ( Y=>nx7877, A=>nx15499); ix15956 : inv02 port map ( Y=>nx15491, A=>reg_36_q_c_0); ix15957 : inv02 port map ( Y=>nx15492, A=>PRI_OUT_4_0_EXMPLR); nx900_EXMPLR : oai33 port map ( Y=>nx900, A0=>nx15491, A1=>C_MUX2_4_SEL, A2=>nx6697, B0=>nx15491, B1=>nx15497, B2=>nx15492); ix15958 : inv02 port map ( Y=>nx15493, A=>PRI_OUT_4_1_EXMPLR); ix15960 : aoi22 port map ( Y=>nx15494, A0=>C_MUX2_4_SEL, A1=>nx15493, B0 =>nx7135, B1=>nx15497); ix15962 : aoi22 port map ( Y=>nx15495, A0=>nx15497, A1=>nx15484, B0=> C_MUX2_4_SEL, B1=>PRI_OUT_4_1_EXMPLR); nx7317_EXMPLR : oai22 port map ( Y=>nx7317, A0=>nx15494, A1=>reg_36_q_c_1, B0=>nx15486, B1=>nx15495); nx896_EXMPLR : oai22 port map ( Y=>nx896, A0=>nx15497, A1=>nx15492, B0=> C_MUX2_4_SEL, B1=>nx6697); ix15963 : buf04 port map ( Y=>nx15496, A=>nx15483); ix15964 : buf04 port map ( Y=>nx15497, A=>nx15483); ix15965 : ao22 port map ( Y=>nx15498, A0=>nx15485, A1=>nx15486, B0=> nx7315, B1=>nx15489); ix15966 : aoi22 port map ( Y=>nx15499, A0=>nx15485, A1=>nx15486, B0=> nx7315, B1=>nx15489); ix15967 : inv02 port map ( Y=>nx15501, A=>nx13914); ix15968 : inv02 port map ( Y=>nx15502, A=>reg_36_q_c_12); ix15969 : inv01 port map ( Y=>nx15503, A=>C_MUX2_20_SEL); ix15970 : aoi22 port map ( Y=>nx15504, A0=>reg_19_q_c_2, A1=>nx15503, B0 =>reg_17_q_c_2, B1=>C_MUX2_20_SEL); ix15972 : inv01 port map ( Y=>nx15505, A=>C_MUX2_9_SEL); ix15973 : inv01 port map ( Y=>nx15506, A=>reg_14_q_c_2); ix15974 : inv01 port map ( Y=>nx15507, A=>C_MUX2_22_SEL); nx2022_EXMPLR : oai332 port map ( Y=>nx2022, A0=>nx15504, A1=>nx15505, A2 =>C_MUX2_22_SEL, B0=>nx15506, B1=>nx15505, B2=>nx15507, C0=> C_MUX2_9_SEL, C1=>nx7385); nx2014_EXMPLR : oai22 port map ( Y=>nx2014, A0=>nx15507, A1=>nx15506, B0 =>nx15504, B1=>C_MUX2_22_SEL); ix15975 : inv02 port map ( Y=>nx15508, A=>nx12702); ix15976 : nor02 port map ( Y=>nx15509, A0=>nx15508, A1=>nx13483); ix15977 : inv01 port map ( Y=>nx15510, A=>nx12126); ix15978 : inv02 port map ( Y=>nx15511, A=>reg_44_q_c_11); ix15980 : oai32 port map ( Y=>nx15512, A0=>nx13483, A1=>nx15510, A2=> nx15511, B0=>nx14789, B1=>nx12901); ix15982 : inv02 port map ( Y=>nx15513, A=>nx14942); ix15983 : or03 port map ( Y=>nx15514, A0=>nx15513, A1=>C_MUX2_10_SEL, A2 =>nx16062); ix15984 : nor02 port map ( Y=>nx15515, A0=>C_MUX2_10_SEL, A1=>nx16062); ix15985 : and02 port map ( Y=>nx15516, A0=>nx14366, A1=>nx15917); ix15986 : nand02 port map ( Y=>nx15517, A0=>nx14366, A1=>nx15917); ix15987 : inv02 port map ( Y=>nx15518, A=>C_MUX2_10_SEL); ix15988 : and03 port map ( Y=>nx15519, A0=>nx15517, A1=>nx16062, A2=> nx15518); ix15990 : aoi222 port map ( Y=>nx15520, A0=>nx15515, A1=>nx15516, B0=> nx15513, B1=>nx15519, C0=>nx15696, C1=>nx15519); ix15992 : ao21 port map ( Y=>nx15521, A0=>nx14366, A1=>nx15919, B0=> nx14942); ix15993 : inv02 port map ( Y=>nx15523, A=>nx14366); ix15994 : inv02 port map ( Y=>nx15525, A=>nx15919); nx14940_EXMPLR : inv01 port map ( Y=>nx14940, A=>nx15696); ix15995 : and02 port map ( Y=>nx15526, A0=>nx12126, A1=>reg_44_q_c_11); nx13017_EXMPLR : oai22 port map ( Y=>nx13017, A0=>nx15526, A1=>nx12700, B0=>nx15526, B1=>nx12702); ix15996 : inv01 port map ( Y=>nx15527, A=>C_MUX2_20_SEL); ix15997 : aoi22 port map ( Y=>nx15528, A0=>reg_19_q_c_1, A1=>nx15527, B0 =>nx15904, B1=>C_MUX2_20_SEL); ix15998 : inv01 port map ( Y=>nx15529, A=>C_MUX2_9_SEL); ix16000 : inv01 port map ( Y=>nx15530, A=>reg_14_q_c_1); ix16002 : inv01 port map ( Y=>nx15531, A=>C_MUX2_22_SEL); nx1034_EXMPLR : oai332 port map ( Y=>nx1034, A0=>nx15528, A1=>nx15529, A2 =>C_MUX2_22_SEL, B0=>nx15530, B1=>nx15529, B2=>nx15531, C0=> C_MUX2_9_SEL, C1=>nx6935); nx1026_EXMPLR : oai22 port map ( Y=>nx1026, A0=>nx15531, A1=>nx15530, B0 =>nx15528, B1=>C_MUX2_22_SEL); ix16003 : inv01 port map ( Y=>nx15532, A=>nx8917); ix16004 : and02 port map ( Y=>nx15533, A0=>nx3836, A1=>nx15532); nx6026_EXMPLR : ao32 port map ( Y=>nx6026, A0=>nx15532, A1=>nx3834, A2=> reg_36_q_c_3, B0=>nx3788, B1=>nx15533); ix16005 : and02 port map ( Y=>nx15534, A0=>nx3834, A1=>reg_36_q_c_3); nx8913_EXMPLR : oai22 port map ( Y=>nx8913, A0=>nx15534, A1=>nx3788, B0=> nx15534, B1=>nx3836); ix16006 : inv02 port map ( Y=>nx15535, A=>C_MUX2_2_SEL); ix16007 : inv02 port map ( Y=>nx15536, A=>reg_30_q_c_13); ix16008 : aoi221 port map ( Y=>nx15537, A0=>C_MUX2_2_SEL, A1=> reg_16_q_c_13, B0=>reg_12_q_c_13, B1=>nx15535, C0=>nx15536); ix16009 : inv02 port map ( Y=>nx15539, A=>reg_30_q_c_12); nx12779_EXMPLR : oai22 port map ( Y=>nx12779, A0=>C_MUX2_2_SEL, A1=> reg_12_q_c_12, B0=>nx15535, B1=>reg_16_q_c_12); ix16010 : inv02 port map ( Y=>nx15540, A=>nx12779); ix16011 : nor02 port map ( Y=>nx15541, A0=>nx15539, A1=>nx15540); ix16012 : inv02 port map ( Y=>nx15542, A=>nx13361); nx13839_EXMPLR : oai32 port map ( Y=>nx13839, A0=>nx15537, A1=>nx15541, A2=>nx14288, B0=>nx15542, B1=>nx15537); ix16013 : inv01 port map ( Y=>nx15543, A=>nx13839); ix16014 : inv02 port map ( Y=>nx15544, A=>reg_30_q_c_15); ix16015 : inv02 port map ( Y=>nx15545, A=>nx14353); ix16016 : aoi22 port map ( Y=>nx15546, A0=>reg_30_q_c_15, A1=>nx14353, B0 =>nx15544, B1=>nx15545); nx14403_EXMPLR : inv02 port map ( Y=>nx14403, A=>nx15546); nx13796_EXMPLR : oai22 port map ( Y=>nx13796, A0=>C_MUX2_2_SEL, A1=> reg_12_q_c_14, B0=>nx15535, B1=>reg_16_q_c_14); ix16017 : ao22 port map ( Y=>nx15547, A0=>reg_12_q_c_14, A1=>nx15535, B0 =>reg_16_q_c_14, B1=>C_MUX2_2_SEL); ix16018 : inv02 port map ( Y=>nx15548, A=>reg_30_q_c_14); ix16020 : aoi22 port map ( Y=>nx15549, A0=>reg_30_q_c_14, A1=>nx13796, B0 =>nx15547, B1=>nx15548); ix16021 : and02 port map ( Y=>nx15550, A0=>nx14403, A1=>nx15549); ix16022 : inv02 port map ( Y=>nx15551, A=>reg_12_q_c_14); ix16023 : inv02 port map ( Y=>nx15553, A=>reg_16_q_c_14); ix16024 : aoi22 port map ( Y=>nx15554, A0=>nx15535, A1=>nx15551, B0=> C_MUX2_2_SEL, B1=>nx15553); ix16025 : aoi22 port map ( Y=>nx15555, A0=>nx15546, A1=>nx15548, B0=> nx15546, B1=>nx15554); ix16026 : oai322 port map ( Y=>nx15556, A0=>nx15546, A1=>nx15548, A2=> nx15554, B0=>nx15549, B1=>nx15555, C0=>nx15543, C1=>nx15555); nx16554_EXMPLR : ao21 port map ( Y=>nx16554, A0=>nx15543, A1=>nx15550, B0 =>nx15556); ix16028 : inv01 port map ( Y=>nx15557, A=>nx15543); nx13847_EXMPLR : inv02 port map ( Y=>nx13847, A=>nx15549); nx13315_EXMPLR : oai22 port map ( Y=>nx13315, A0=>C_MUX2_2_SEL, A1=> reg_12_q_c_13, B0=>nx15535, B1=>reg_16_q_c_13); nx14290_EXMPLR : or02 port map ( Y=>nx14290, A0=>nx15541, A1=>nx14288); ix16030 : inv02 port map ( Y=>nx15558, A=>nx15540); ix16031 : inv02 port map ( Y=>nx15559, A=>nx15540); ix16032 : inv02 port map ( Y=>nx15560, A=>nx15540); ix16033 : inv02 port map ( Y=>nx15561, A=>nx11674); ix16034 : inv02 port map ( Y=>nx15562, A=>reg_36_q_c_10); nx12748_EXMPLR : oai22 port map ( Y=>nx12748, A0=>nx15561, A1=>nx15562, B0=>nx11975, B1=>nx11979); ix16035 : inv01 port map ( Y=>nx15563, A=>reg_16_q_c_0); ix16036 : inv01 port map ( Y=>nx15564, A=>reg_16_q_c_1); ix16037 : oai21 port map ( Y=>nx15565, A0=>nx15563, A1=>reg_30_q_c_0, B0 =>nx15564); ix16038 : inv01 port map ( Y=>nx15566, A=>reg_30_q_c_1); ix16039 : inv01 port map ( Y=>nx15567, A=>reg_12_q_c_0); ix16040 : oai21 port map ( Y=>nx15568, A0=>nx15567, A1=>reg_30_q_c_0, B0 =>reg_30_q_c_1); ix16041 : inv01 port map ( Y=>nx15569, A=>C_MUX2_2_SEL); ix16042 : and02 port map ( Y=>nx15571, A0=>nx15912, A1=>nx15578); ix16043 : oai44 port map ( Y=>nx15572, A0=>nx15564, A1=>reg_30_q_c_0, A2 =>nx15578, A3=>nx15563, B0=>nx15567, B1=>reg_30_q_c_0, B2=> C_MUX2_2_SEL, B3=>reg_30_q_c_1); nx7719_EXMPLR : inv02 port map ( Y=>nx7719, A=>nx15639); nx7135_EXMPLR : oai22 port map ( Y=>nx7135, A0=>C_MUX2_2_SEL, A1=>nx15912, B0=>nx15578, B1=>reg_16_q_c_1); ix16044 : aoi22 port map ( Y=>nx15573, A0=>reg_12_q_c_0, A1=>nx15579, B0 =>C_MUX2_2_SEL, B1=>reg_16_q_c_0); nx6739_EXMPLR : nor02 port map ( Y=>nx6739, A0=>nx15573, A1=>reg_30_q_c_0 ); ix16046 : aoi22 port map ( Y=>nx15575, A0=>nx15912, A1=>nx15579, B0=> reg_16_q_c_1, B1=>C_MUX2_2_SEL); ix16048 : inv02 port map ( Y=>nx15576, A=>nx15912); ix16049 : aoi22 port map ( Y=>nx15577, A0=>nx15579, A1=>nx15576, B0=> C_MUX2_2_SEL, B1=>nx15564); nx7171_EXMPLR : oai22 port map ( Y=>nx7171, A0=>nx15575, A1=>reg_30_q_c_1, B0=>nx15566, B1=>nx15577); nx6697_EXMPLR : oai22 port map ( Y=>nx6697, A0=>C_MUX2_2_SEL, A1=> reg_12_q_c_0, B0=>nx15579, B1=>reg_16_q_c_0); ix16050 : buf04 port map ( Y=>nx15578, A=>nx15569); ix16051 : buf04 port map ( Y=>nx15579, A=>nx15569); ix16052 : and02 port map ( Y=>nx15581, A0=>nx15919, A1=>PRI_IN_6(13)); ix16053 : and02 port map ( Y=>nx15583, A0=>reg_44_q_c_12, A1=> PRI_IN_6(12)); ix16054 : inv02 port map ( Y=>nx15584, A=>nx13199); ix16055 : inv02 port map ( Y=>nx15585, A=>PRI_IN_6(15)); ix16056 : inv02 port map ( Y=>nx15586, A=>reg_44_q_c_15); ix16057 : aoi222 port map ( Y=>nx15587, A0=>PRI_IN_6(15), A1=> reg_44_q_c_15, B0=>nx15585, B1=>nx15586, C0=>reg_44_q_c_14, C1=> PRI_IN_6(14)); ix16058 : nand02 port map ( Y=>nx15588, A0=>nx15919, A1=>PRI_IN_6(13)); ix16059 : inv02 port map ( Y=>nx15589, A=>nx15921); ix16060 : inv02 port map ( Y=>nx15590, A=>PRI_IN_6(14)); ix16061 : aoi22 port map ( Y=>nx15591, A0=>PRI_IN_6(15), A1=> reg_44_q_c_15, B0=>nx15585, B1=>nx15586); ix16062 : aoi221 port map ( Y=>nx15593, A0=>PRI_IN_6(14), A1=>nx15589, B0 =>nx15921, B1=>nx15590, C0=>nx15591); ix16064 : aoi22 port map ( Y=>nx15595, A0=>nx15921, A1=>nx15590, B0=> PRI_IN_6(14), B1=>nx15589); ix16065 : and02 port map ( Y=>nx15597, A0=>reg_44_q_c_14, A1=> PRI_IN_6(14)); nx14227_EXMPLR : oai22 port map ( Y=>nx14227, A0=>nx15585, A1=>nx15586, B0=>PRI_IN_6(15), B1=>reg_44_q_c_15); ix16066 : nand02 port map ( Y=>nx15598, A0=>reg_44_q_c_14, A1=> PRI_IN_6(14)); ix16067 : oai32 port map ( Y=>nx15599, A0=>nx15595, A1=>nx15597, A2=> nx14227, B0=>nx15591, B1=>nx15598); nx16890_EXMPLR : ao221 port map ( Y=>nx16890, A0=>nx15794, A1=>nx15587, B0=>nx15775, B1=>nx15593, C0=>nx15599); nx14150_EXMPLR : or02 port map ( Y=>nx14150, A0=>nx15583, A1=>nx15797); ix16068 : inv02 port map ( Y=>nx15600, A=>C_MUX2_2_SEL); ix16069 : inv02 port map ( Y=>nx15601, A=>reg_30_q_c_10); ix16070 : oai221 port map ( Y=>nx15602, A0=>C_MUX2_2_SEL, A1=> reg_12_q_c_10, B0=>nx15627, B1=>reg_16_q_c_10, C0=>nx15601); ix16071 : inv02 port map ( Y=>nx15603, A=>reg_30_q_c_9); ix16072 : aoi221 port map ( Y=>nx15604, A0=>C_MUX2_2_SEL, A1=> reg_16_q_c_9, B0=>reg_12_q_c_9, B1=>nx15627, C0=>nx15603); ix16073 : inv02 port map ( Y=>nx15605, A=>nx11307); ix16074 : inv02 port map ( Y=>nx15606, A=>reg_16_q_c_10); ix16075 : inv02 port map ( Y=>nx15607, A=>reg_12_q_c_10); ix16076 : aoi22 port map ( Y=>nx15608, A0=>C_MUX2_2_SEL, A1=>nx15606, B0 =>nx15627, B1=>nx15607); ix16077 : nor02 port map ( Y=>nx15609, A0=>nx15601, A1=>nx15608); ix16078 : inv02 port map ( Y=>nx15610, A=>reg_30_q_c_12); ix16079 : inv02 port map ( Y=>nx15611, A=>nx15559); ix16080 : aoi22 port map ( Y=>nx15612, A0=>nx15559, A1=>nx15610, B0=> reg_30_q_c_12, B1=>nx15611); ix16081 : nor02 port map ( Y=>nx15613, A0=>C_MUX2_2_SEL, A1=>nx15914); ix16082 : nor02 port map ( Y=>nx15614, A0=>nx15627, A1=>reg_16_q_c_11); ix16083 : aoi22 port map ( Y=>nx15615, A0=>reg_30_q_c_11, A1=>nx15613, B0 =>reg_30_q_c_11, B1=>nx15614); ix16084 : inv02 port map ( Y=>nx15616, A=>reg_16_q_c_11); ix16086 : inv02 port map ( Y=>nx15617, A=>nx15914); ix16087 : oai221 port map ( Y=>nx15618, A0=>nx15616, A1=>nx15627, B0=> nx15617, B1=>C_MUX2_2_SEL, C0=>reg_30_q_c_11); ix16088 : inv02 port map ( Y=>nx15619, A=>nx12337); ix16089 : inv02 port map ( Y=>nx15620, A=>reg_30_q_c_11); ix16090 : aoi221 port map ( Y=>nx15621, A0=>reg_16_q_c_11, A1=> C_MUX2_2_SEL, B0=>nx15914, B1=>nx15628, C0=>nx15620); ix16091 : nor02 port map ( Y=>nx15622, A0=>nx15619, A1=>nx15621); nx12817_EXMPLR : ao21 port map ( Y=>nx12817, A0=>nx15618, A1=>nx15683, B0 =>nx15622); nx12823_EXMPLR : oai22 port map ( Y=>nx12823, A0=>nx15610, A1=>nx15611, B0=>reg_30_q_c_12, B1=>nx15559); nx12291_EXMPLR : oai22 port map ( Y=>nx12291, A0=>C_MUX2_2_SEL, A1=> nx15914, B0=>nx15628, B1=>reg_16_q_c_11); nx12050_EXMPLR : inv01 port map ( Y=>nx12050, A=>nx15683); nx11749_EXMPLR : oai22 port map ( Y=>nx11749, A0=>nx15628, A1=> reg_16_q_c_10, B0=>C_MUX2_2_SEL, B1=>reg_12_q_c_10); ix16092 : inv01 port map ( Y=>nx15623, A=>nx15684); ix16093 : aoi22 port map ( Y=>nx15625, A0=>reg_12_q_c_10, A1=>nx15628, B0 =>C_MUX2_2_SEL, B1=>reg_16_q_c_10); nx11807_EXMPLR : oai22 port map ( Y=>nx11807, A0=>nx15625, A1=> reg_30_q_c_10, B0=>nx15601, B1=>nx15608); nx11265_EXMPLR : oai22 port map ( Y=>nx11265, A0=>C_MUX2_2_SEL, A1=> reg_12_q_c_9, B0=>nx15628, B1=>reg_16_q_c_9); ix16094 : buf04 port map ( Y=>nx15627, A=>nx15600); ix16095 : buf04 port map ( Y=>nx15628, A=>nx15600); ix16096 : inv01 port map ( Y=>nx15629, A=>reg_16_q_c_3); ix16097 : inv01 port map ( Y=>nx15630, A=>C_MUX2_2_SEL); ix16098 : inv01 port map ( Y=>nx15631, A=>reg_12_q_c_3); ix16099 : oai221 port map ( Y=>nx15632, A0=>nx15629, A1=>nx15653, B0=> nx15631, B1=>C_MUX2_2_SEL, C0=>reg_30_q_c_3); ix16100 : inv01 port map ( Y=>nx15633, A=>reg_30_q_c_2); ix16101 : oai221 port map ( Y=>nx15635, A0=>C_MUX2_2_SEL, A1=> reg_12_q_c_2, B0=>nx15653, B1=>reg_16_q_c_2, C0=>nx15633); ix16102 : oai22 port map ( Y=>nx15637, A0=>nx16382, A1=>nx15568, B0=> nx15571, B1=>nx16382); ix16103 : nand03 port map ( Y=>nx15638, A0=>nx15565, A1=>C_MUX2_2_SEL, A2 =>nx15566); ix16104 : and02 port map ( Y=>nx15639, A0=>nx15637, A1=>nx15638); ix16105 : inv01 port map ( Y=>nx15640, A=>reg_16_q_c_2); ix16106 : inv01 port map ( Y=>nx15641, A=>reg_12_q_c_2); ix16107 : aoi22 port map ( Y=>nx15642, A0=>C_MUX2_2_SEL, A1=>nx15640, B0 =>nx15653, B1=>nx15641); ix16108 : nor02 port map ( Y=>nx15643, A0=>nx15633, A1=>nx15642); ix16109 : aoi21 port map ( Y=>nx15644, A0=>nx15635, A1=>nx15639, B0=> nx15643); ix16110 : inv01 port map ( Y=>nx15645, A=>nx8211); ix16111 : inv01 port map ( Y=>nx15646, A=>reg_30_q_c_3); ix16112 : aoi221 port map ( Y=>nx15647, A0=>reg_16_q_c_3, A1=> C_MUX2_2_SEL, B0=>reg_12_q_c_3, B1=>nx15654, C0=>nx15646); ix16114 : nor02 port map ( Y=>nx15648, A0=>nx15645, A1=>nx15647); ix16115 : aoi21 port map ( Y=>nx15649, A0=>nx15632, A1=>nx15644, B0=> nx15648); nx8757_EXMPLR : inv02 port map ( Y=>nx8757, A=>nx15649); ix16116 : inv01 port map ( Y=>nx15651, A=>nx15649); nx8164_EXMPLR : oai22 port map ( Y=>nx8164, A0=>C_MUX2_2_SEL, A1=> reg_12_q_c_3, B0=>nx15654, B1=>reg_16_q_c_3); nx3090_EXMPLR : inv02 port map ( Y=>nx3090, A=>nx15644); nx7675_EXMPLR : oai22 port map ( Y=>nx7675, A0=>nx15654, A1=>reg_16_q_c_2, B0=>C_MUX2_2_SEL, B1=>reg_12_q_c_2); ix16117 : aoi22 port map ( Y=>nx15652, A0=>reg_12_q_c_2, A1=>nx15654, B0 =>C_MUX2_2_SEL, B1=>reg_16_q_c_2); nx7727_EXMPLR : oai22 port map ( Y=>nx7727, A0=>nx15652, A1=>reg_30_q_c_2, B0=>nx15633, B1=>nx15642); ix16118 : buf04 port map ( Y=>nx15653, A=>nx15630); ix16119 : buf04 port map ( Y=>nx15654, A=>nx15630); ix16120 : and02 port map ( Y=>nx15655, A0=>reg_43_q_c_13, A1=> PRI_OUT_8_13_EXMPLR); ix16121 : and02 port map ( Y=>nx15656, A0=>reg_43_q_c_12, A1=> PRI_OUT_8_12_EXMPLR); ix16122 : inv02 port map ( Y=>nx15657, A=>nx13147); nx13634_EXMPLR : oai32 port map ( Y=>nx13634, A0=>nx14098, A1=>nx15655, A2=>nx15656, B0=>nx15657, B1=>nx15655); ix16123 : inv02 port map ( Y=>nx15658, A=>PRI_OUT_8_15_EXMPLR); ix16124 : inv02 port map ( Y=>nx15659, A=>reg_43_q_c_15); ix16125 : aoi22 port map ( Y=>nx15661, A0=>PRI_OUT_8_15_EXMPLR, A1=> reg_43_q_c_15, B0=>nx15658, B1=>nx15659); ix16126 : nor02 port map ( Y=>nx15663, A0=>reg_43_q_c_14, A1=> PRI_OUT_8_14_EXMPLR); ix16127 : nand02 port map ( Y=>nx15664, A0=>reg_43_q_c_13, A1=> PRI_OUT_8_13_EXMPLR); ix16128 : aoi221 port map ( Y=>nx15665, A0=>reg_43_q_c_13, A1=> PRI_OUT_8_13_EXMPLR, B0=>reg_43_q_c_12, B1=>PRI_OUT_8_12_EXMPLR, C0=> nx14098); ix16129 : aoi21 port map ( Y=>nx15666, A0=>nx13147, A1=>nx15664, B0=> nx15665); ix16130 : inv02 port map ( Y=>nx15667, A=>reg_43_q_c_14); ix16131 : inv02 port map ( Y=>nx15668, A=>PRI_OUT_8_14_EXMPLR); ix16132 : oai21 port map ( Y=>nx15669, A0=>nx15667, A1=>nx15668, B0=> nx15661); nx14167_EXMPLR : inv02 port map ( Y=>nx14167, A=>nx15661); ix16134 : and02 port map ( Y=>nx15671, A0=>reg_43_q_c_14, A1=> PRI_OUT_8_14_EXMPLR); ix16136 : aoi22 port map ( Y=>nx15673, A0=>nx15661, A1=>nx15663, B0=> nx14167, B1=>nx15671); nx17030_EXMPLR : oai321 port map ( Y=>nx17030, A0=>nx13634, A1=>nx15661, A2=>nx15663, B0=>nx15666, B1=>nx15669, C0=>nx15673); nx13637_EXMPLR : oai22 port map ( Y=>nx13637, A0=>nx15667, A1=>nx15668, B0=>reg_43_q_c_14, B1=>PRI_OUT_8_14_EXMPLR); nx14100_EXMPLR : or02 port map ( Y=>nx14100, A0=>nx15656, A1=>nx14098); ix16137 : inv02 port map ( Y=>nx15674, A=>nx15604); ix16138 : nand02 port map ( Y=>nx15675, A0=>nx15615, A1=>nx15674); ix16139 : nor02 port map ( Y=>nx15676, A0=>nx15609, A1=>nx15675); ix16140 : inv02 port map ( Y=>nx15677, A=>nx15605); ix16141 : inv02 port map ( Y=>nx15678, A=>nx12337); ix16142 : oai21 port map ( Y=>nx15679, A0=>nx15609, A1=>nx15602, B0=> nx15678); ix16143 : ao221 port map ( Y=>nx15680, A0=>nx15676, A1=>nx15677, B0=> nx15615, B1=>nx15679, C0=>nx15612); nx14288_EXMPLR : aoi21 port map ( Y=>nx14288, A0=>nx15721, A1=>nx15676, B0=>nx15680); ix16144 : inv02 port map ( Y=>nx15681, A=>nx15609); ix16146 : nand03 port map ( Y=>nx15682, A0=>nx15677, A1=>nx15681, A2=> nx15674); ix16147 : oai321 port map ( Y=>nx15683, A0=>nx15722, A1=>nx15609, A2=> nx15604, B0=>nx15609, B1=>nx15602, C0=>nx15682); ix16148 : ao21 port map ( Y=>nx15684, A0=>nx15723, A1=>nx15605, B0=> nx15604); ix16149 : inv01 port map ( Y=>nx15685, A=>nx15512); ix16150 : oai21 port map ( Y=>nx15686, A0=>nx15523, A1=>nx15525, B0=> nx15685); ix16151 : oai221 port map ( Y=>nx15687, A0=>nx12700, A1=>nx15686, B0=> nx15686, B1=>nx15509, C0=>nx15521); ix16152 : inv01 port map ( Y=>nx15689, A=>nx15687); nx14033_EXMPLR : inv01 port map ( Y=>nx14033, A=>nx15689); ix16153 : inv02 port map ( Y=>nx15690, A=>nx17182); ix16154 : oai21 port map ( Y=>nx15691, A0=>nx14793, A1=>nx15922, B0=> nx15690); ix16155 : nor02 port map ( Y=>nx15693, A0=>nx14793, A1=>nx15922); ix16156 : nor02 port map ( Y=>nx15694, A0=>nx15693, A1=>nx17182); ix16157 : aoi22 port map ( Y=>nx15695, A0=>nx14522, A1=>nx15694, B0=> nx17182, B1=>nx15693); nx14519_EXMPLR : oai321 port map ( Y=>nx14519, A0=>nx14033, A1=>nx15690, A2=>nx14522, B0=>nx15689, B1=>nx15691, C0=>nx15695); ix16158 : oai22 port map ( Y=>nx15696, A0=>nx12700, A1=>nx15512, B0=> nx15512, B1=>nx15509); ix16159 : inv01 port map ( Y=>nx15697, A=>nx9945); ix16160 : and02 port map ( Y=>nx15698, A0=>nx6076, A1=>nx15697); nx8266_EXMPLR : ao32 port map ( Y=>nx8266, A0=>nx15697, A1=>nx6074, A2=> reg_36_q_c_5, B0=>nx6028, B1=>nx15698); ix16161 : and02 port map ( Y=>nx15699, A0=>nx6074, A1=>reg_36_q_c_5); nx9941_EXMPLR : oai22 port map ( Y=>nx9941, A0=>nx15699, A1=>nx6028, B0=> nx15699, B1=>nx6076); ix16162 : inv02 port map ( Y=>nx15700, A=>C_MUX2_2_SEL); ix16164 : inv02 port map ( Y=>nx15701, A=>reg_30_q_c_8); ix16165 : oai221 port map ( Y=>nx15702, A0=>C_MUX2_2_SEL, A1=> reg_12_q_c_8, B0=>nx15728, B1=>reg_16_q_c_8, C0=>nx15701); ix16166 : inv02 port map ( Y=>nx15703, A=>reg_30_q_c_7); ix16167 : aoi221 port map ( Y=>nx15705, A0=>C_MUX2_2_SEL, A1=> reg_16_q_c_7, B0=>reg_12_q_c_7, B1=>nx15728, C0=>nx15703); ix16168 : inv02 port map ( Y=>nx15707, A=>reg_30_q_c_6); ix16169 : inv01 port map ( Y=>nx15708, A=>reg_16_q_c_6); ix16170 : inv02 port map ( Y=>nx15709, A=>reg_12_q_c_6); ix16172 : aoi22 port map ( Y=>nx15710, A0=>C_MUX2_2_SEL, A1=>nx15708, B0 =>nx15728, B1=>nx15709); ix16174 : nor02 port map ( Y=>nx15711, A0=>nx15707, A1=>nx15710); ix16175 : aoi21 port map ( Y=>nx15712, A0=>nx15707, A1=>nx15710, B0=> nx9785); ix16176 : inv01 port map ( Y=>nx15713, A=>nx10279); nx10795_EXMPLR : oai32 port map ( Y=>nx10795, A0=>nx15705, A1=>nx15711, A2=>nx15712, B0=>nx15713, B1=>nx15705); ix16177 : inv01 port map ( Y=>nx15714, A=>nx10795); ix16178 : inv02 port map ( Y=>nx15715, A=>reg_12_q_c_8); ix16179 : inv02 port map ( Y=>nx15717, A=>reg_16_q_c_8); ix16180 : aoi22 port map ( Y=>nx15718, A0=>nx15728, A1=>nx15715, B0=> C_MUX2_2_SEL, B1=>nx15717); ix16181 : nor02 port map ( Y=>nx15719, A0=>nx15701, A1=>nx15718); ix16182 : aoi21 port map ( Y=>nx15721, A0=>nx15702, A1=>nx15714, B0=> nx15719); nx9810_EXMPLR : inv01 port map ( Y=>nx9810, A=>nx15721); ix16183 : inv01 port map ( Y=>nx15722, A=>nx15721); ix16184 : inv01 port map ( Y=>nx15723, A=>nx15721); nx10745_EXMPLR : oai22 port map ( Y=>nx10745, A0=>C_MUX2_2_SEL, A1=> reg_12_q_c_8, B0=>nx15729, B1=>reg_16_q_c_8); ix16185 : inv01 port map ( Y=>nx15724, A=>nx15714); ix16186 : aoi22 port map ( Y=>nx15725, A0=>reg_12_q_c_8, A1=>nx15729, B0 =>reg_16_q_c_8, B1=>C_MUX2_2_SEL); nx10803_EXMPLR : oai22 port map ( Y=>nx10803, A0=>nx15725, A1=> reg_30_q_c_8, B0=>nx15701, B1=>nx15718); nx10231_EXMPLR : oai22 port map ( Y=>nx10231, A0=>C_MUX2_2_SEL, A1=> reg_12_q_c_7, B0=>nx15729, B1=>reg_16_q_c_7); ix16187 : and02 port map ( Y=>nx15726, A0=>nx15707, A1=>nx15710); nx7570_EXMPLR : oai22 port map ( Y=>nx7570, A0=>nx15726, A1=>nx9785, B0=> nx15707, B1=>nx15710); nx9737_EXMPLR : oai22 port map ( Y=>nx9737, A0=>nx15729, A1=>reg_16_q_c_6, B0=>C_MUX2_2_SEL, B1=>reg_12_q_c_6); ix16188 : aoi22 port map ( Y=>nx15727, A0=>reg_12_q_c_6, A1=>nx15729, B0 =>C_MUX2_2_SEL, B1=>reg_16_q_c_6); nx9791_EXMPLR : oai22 port map ( Y=>nx9791, A0=>nx15727, A1=>reg_30_q_c_6, B0=>nx15707, B1=>nx15710); ix16190 : buf04 port map ( Y=>nx15728, A=>nx15700); ix16192 : buf04 port map ( Y=>nx15729, A=>nx15700); ix16193 : inv01 port map ( Y=>nx15731, A=>nx6433); ix16194 : inv01 port map ( Y=>nx15732, A=>C_MUX2_14_SEL); ix16195 : inv01 port map ( Y=>nx15733, A=>reg_10_q_c_2); ix16196 : oai221 port map ( Y=>nx15734, A0=>nx15731, A1=>nx15757, B0=> nx15733, B1=>C_MUX2_14_SEL, C0=>reg_28_q_c_2); ix16197 : ao22 port map ( Y=>nx15735, A0=>C_MUX2_21_SEL, A1=>nx15908, B0 =>nx7115, B1=>nx15901); ix16198 : inv01 port map ( Y=>nx15736, A=>nx7267); ix16199 : inv01 port map ( Y=>nx15737, A=>reg_10_q_c_1); ix16200 : inv01 port map ( Y=>nx15739, A=>reg_28_q_c_0); ix16201 : aoi33 port map ( Y=>nx15741, A0=>nx15873, A1=>C_MUX2_14_SEL, A2 =>nx15739, B0=>reg_10_q_c_0, B1=>nx15757, B2=>nx15739); ix16202 : inv01 port map ( Y=>nx15742, A=>nx15909); ix16204 : oai22 port map ( Y=>nx15743, A0=>nx15742, A1=>nx7267, B0=> nx15736, B1=>nx15909); ix16206 : inv01 port map ( Y=>nx15744, A=>nx7115); ix16207 : oai22 port map ( Y=>nx15745, A0=>nx15744, A1=>nx7267, B0=> nx15736, B1=>nx7115); ix16208 : oai22 port map ( Y=>nx15746, A0=>nx15736, A1=>nx15737, B0=> reg_10_q_c_1, B1=>nx7267); ix16209 : aoi332 port map ( Y=>nx15747, A0=>nx15743, A1=>C_MUX2_14_SEL, A2=>C_MUX2_21_SEL, B0=>nx15745, B1=>C_MUX2_14_SEL, B2=>nx15901, C0=> nx15746, C1=>nx15757); ix16210 : aoi332 port map ( Y=>nx15748, A0=>nx15735, A1=>C_MUX2_14_SEL, A2=>nx15736, B0=>nx15737, B1=>nx15757, B2=>nx15736, C0=>nx15741, C1=> nx15747); ix16211 : aoi22 port map ( Y=>nx15749, A0=>nx15734, A1=>nx15748, B0=> nx7657, B1=>nx15734); nx8141_EXMPLR : inv02 port map ( Y=>nx8141, A=>nx15749); ix16212 : inv01 port map ( Y=>nx15751, A=>nx15749); nx7659_EXMPLR : oai22 port map ( Y=>nx7659, A0=>C_MUX2_14_SEL, A1=> reg_10_q_c_2, B0=>nx15759, B1=>nx6433); nx1904_EXMPLR : inv02 port map ( Y=>nx1904, A=>nx15748); nx6775_EXMPLR : inv02 port map ( Y=>nx6775, A=>nx15741); ix16213 : inv02 port map ( Y=>nx15752, A=>nx15741); nx7109_EXMPLR : inv02 port map ( Y=>nx7109, A=>nx15747); ix16214 : inv01 port map ( Y=>nx15753, A=>reg_10_q_c_0); ix16215 : aoi332 port map ( Y=>nx15754, A0=>C_MUX2_14_SEL, A1=>nx15899, A2=>C_MUX2_21_SEL, B0=>C_MUX2_14_SEL, B1=>nx6691, B2=>nx15901, C0=> nx15759, C1=>nx15753); nx6687_EXMPLR : inv02 port map ( Y=>nx6687, A=>nx15754); ix16216 : inv02 port map ( Y=>nx15755, A=>nx15754); ix16217 : inv01 port map ( Y=>nx15756, A=>nx15754); nx7111_EXMPLR : oai332 port map ( Y=>nx7111, A0=>nx15759, A1=>nx15744, A2 =>C_MUX2_21_SEL, B0=>nx15759, B1=>nx15901, B2=>nx15742, C0=> C_MUX2_14_SEL, C1=>reg_10_q_c_1); ix16218 : buf04 port map ( Y=>nx15757, A=>nx15732); ix16219 : buf04 port map ( Y=>nx15759, A=>nx15732); ix16220 : inv02 port map ( Y=>nx15761, A=>nx9434); ix16222 : inv02 port map ( Y=>nx15762, A=>reg_36_q_c_8); nx10508_EXMPLR : oai22 port map ( Y=>nx10508, A0=>nx15761, A1=>nx15762, B0=>nx10959, B1=>nx10963); ix16223 : inv02 port map ( Y=>nx15763, A=>reg_44_q_c_11); ix16224 : inv02 port map ( Y=>nx15764, A=>PRI_IN_6(11)); ix16225 : nand02 port map ( Y=>nx15765, A0=>reg_44_q_c_10, A1=> PRI_IN_6(10)); ix16226 : oai22 port map ( Y=>nx15766, A0=>nx15763, A1=>nx15764, B0=> nx12173, B1=>nx15765); ix16227 : inv02 port map ( Y=>nx15767, A=>PRI_IN_6(12)); ix16228 : inv02 port map ( Y=>nx15768, A=>nx12901); ix16230 : oai22 port map ( Y=>nx15769, A0=>nx15767, A1=>nx15768, B0=> PRI_IN_6(12), B1=>nx12901); ix16231 : aoi22 port map ( Y=>nx15771, A0=>PRI_IN_6(12), A1=>nx12901, B0 =>nx15767, B1=>nx15768); ix16232 : nor02 port map ( Y=>nx15772, A0=>nx15771, A1=>nx12173); ix16233 : and02 port map ( Y=>nx15773, A0=>nx13199, A1=>nx15588); ix16234 : aoi22 port map ( Y=>nx15774, A0=>nx15919, A1=>PRI_IN_6(13), B0 =>reg_44_q_c_12, B1=>PRI_IN_6(12)); ix16235 : oai22 port map ( Y=>nx15775, A0=>NOT_nx14148, A1=>nx15773, B0=> nx15774, B1=>nx15773); ix16236 : and02 port map ( Y=>nx15776, A0=>reg_44_q_c_11, A1=> PRI_IN_6(11)); ix16237 : and02 port map ( Y=>nx15777, A0=>reg_44_q_c_10, A1=> PRI_IN_6(10)); ix16238 : inv02 port map ( Y=>nx15779, A=>nx12173); nx12659_EXMPLR : oai32 port map ( Y=>nx12659, A0=>nx11908, A1=>nx15776, A2=>nx15777, B0=>nx15779, B1=>nx15776); nx12662_EXMPLR : oai22 port map ( Y=>nx12662, A0=>nx15768, A1=> PRI_IN_6(12), B0=>nx15767, B1=>nx12901); nx11910_EXMPLR : or02 port map ( Y=>nx11910, A0=>nx15777, A1=>nx11908); ix16240 : oai22 port map ( Y=>nx15781, A0=>nx15589, A1=>PRI_IN_6(14), B0 =>nx15590, B1=>nx15922); ix16241 : inv02 port map ( Y=>nx15782, A=>PRI_IN_6(10)); ix16242 : inv02 port map ( Y=>nx15783, A=>nx11891); ix16243 : aoi22 port map ( Y=>nx15784, A0=>PRI_IN_6(10), A1=>nx11891, B0 =>nx15782, B1=>nx15783); nx11908_EXMPLR : nor02 port map ( Y=>nx11908, A0=>nx15784, A1=>nx11633); ix16244 : and02 port map ( Y=>nx15785, A0=>nx15766, A1=>nx15769); ix16245 : or02 port map ( Y=>nx15786, A0=>nx15581, A1=>nx15583); ix16246 : aoi21 port map ( Y=>nx15787, A0=>nx15766, A1=>nx15769, B0=> nx15786); ix16247 : inv02 port map ( Y=>nx15788, A=>nx15787); ix16248 : inv02 port map ( Y=>nx15789, A=>nx15590); ix16249 : inv02 port map ( Y=>nx15790, A=>nx15922); ix16250 : inv02 port map ( Y=>nx15791, A=>nx15589); ix16251 : inv02 port map ( Y=>nx15792, A=>PRI_IN_6(14)); ix16252 : aoi221 port map ( Y=>nx15793, A0=>nx15789, A1=>nx15790, B0=> nx15791, B1=>nx15792, C0=>NOT_nx13689); nx15770_EXMPLR : ao21 port map ( Y=>nx15770, A0=>nx15781, A1=>NOT_nx13689, B0=>nx15793); ix16253 : inv01 port map ( Y=>nx15794, A=>NOT_nx13689); ix16254 : oai22 port map ( Y=>nx15795, A0=>nx11908, A1=>nx15785, B0=> nx15785, B1=>nx15772); ix16255 : inv01 port map ( Y=>nx15797, A=>nx15795); NOT_nx14148_EXMPLR : inv01 port map ( Y=>NOT_nx14148, A=>nx15797); nx11637_EXMPLR : oai22 port map ( Y=>nx11637, A0=>nx15783, A1=> PRI_IN_6(10), B0=>nx15782, B1=>nx11891); ix16256 : and02 port map ( Y=>nx15799, A0=>C_MUX2_12_SEL, A1=> reg_15_q_c_1); ix16257 : inv01 port map ( Y=>nx15800, A=>nx7261); ix16258 : aoi21 port map ( Y=>nx15801, A0=>C_MUX2_12_SEL, A1=> reg_15_q_c_1, B0=>nx1226); nx6973_EXMPLR : oai32 port map ( Y=>nx6973, A0=>nx15799, A1=>nx15800, A2 =>nx1226, B0=>nx15801, B1=>nx7261); nx1240_XX0_XREP13_EXMPLR : ao21 port map ( Y=>nx1240_XX0_XREP13, A0=> C_MUX2_12_SEL, A1=>reg_15_q_c_1, B0=>nx1226); ix16260 : nand02 port map ( Y=>nx15802, A0=>nx14791, A1=>reg_31_q_c_14); ix16261 : inv02 port map ( Y=>nx15803, A=>nx13335); ix16262 : inv01 port map ( Y=>nx15804, A=>nx12311); ix16263 : and04 port map ( Y=>nx15805, A0=>nx13248, A1=>nx15803, A2=> nx11008, A3=>nx15804); ix16264 : and02 port map ( Y=>nx15807, A0=>nx14783, A1=>reg_31_q_c_10); ix16265 : inv02 port map ( Y=>nx15809, A=>nx12126); ix16266 : inv02 port map ( Y=>nx15810, A=>nx12384); ix16267 : aoi22 port map ( Y=>nx15811, A0=>nx15804, A1=>nx15807, B0=> nx15809, B1=>nx15810); ix16268 : inv02 port map ( Y=>nx15812, A=>nx13248); ix16270 : inv02 port map ( Y=>nx15813, A=>nx15916); ix16271 : inv02 port map ( Y=>nx15814, A=>reg_31_q_c_12); ix16272 : oai332 port map ( Y=>nx15815, A0=>nx15811, A1=>nx15812, A2=> nx13335, B0=>nx13335, B1=>nx15813, B2=>nx15814, C0=>nx14366, C1=> nx13405); ix16273 : aoi21 port map ( Y=>nx15816, A0=>nx10898, A1=>nx15805, B0=> nx15815); ix16274 : aoi21 port map ( Y=>nx15817, A0=>nx14791, A1=>reg_31_q_c_14, B0 =>nx15488); nx14365_EXMPLR : ao21 port map ( Y=>nx14365, A0=>nx15802, A1=>nx15816, B0 =>nx15817); nx15378_EXMPLR : inv01 port map ( Y=>nx15378, A=>nx15816); ix16275 : nand02 port map ( Y=>nx15818, A0=>nx15916, A1=>reg_31_q_c_12); ix16276 : and02 port map ( Y=>nx15819, A0=>nx11008, A1=>nx15804); ix16277 : aoi222 port map ( Y=>nx15820, A0=>nx15804, A1=>nx15807, B0=> nx15809, B1=>nx15810, C0=>nx10898, C1=>nx15819); ix16278 : aoi21 port map ( Y=>nx15821, A0=>nx15916, A1=>reg_31_q_c_12, B0 =>nx13248); nx13325_EXMPLR : ao21 port map ( Y=>nx13325, A0=>nx15818, A1=>nx15820, B0 =>nx15821); nx13138_EXMPLR : inv01 port map ( Y=>nx13138, A=>nx15820); nx12303_EXMPLR : oai22 port map ( Y=>nx12303, A0=>nx15807, A1=>nx10898, B0=>nx15807, B1=>nx11008); ix16280 : nand03 port map ( Y=>nx15822, A0=>reg_43_q_c_0, A1=> PRI_OUT_8_0_EXMPLR, A2=>PRI_OUT_8_1_EXMPLR); ix16281 : and02 port map ( Y=>nx15823, A0=>PRI_OUT_8_0_EXMPLR, A1=> reg_43_q_c_0); ix16282 : oai21 port map ( Y=>nx15824, A0=>nx15823, A1=> PRI_OUT_8_1_EXMPLR, B0=>reg_43_q_c_1); ix16283 : inv01 port map ( Y=>nx15825, A=>PRI_OUT_8_2_EXMPLR); ix16284 : inv01 port map ( Y=>nx15827, A=>reg_43_q_c_2); ix16285 : aoi22 port map ( Y=>nx15828, A0=>reg_43_q_c_2, A1=>nx15825, B0 =>PRI_OUT_8_2_EXMPLR, B1=>nx15827); nx2898_EXMPLR : aoi21 port map ( Y=>nx2898, A0=>nx15822, A1=>nx15824, B0 =>nx15828); ix16286 : and02 port map ( Y=>nx15829, A0=>reg_43_q_c_1, A1=>nx15905); nx7481_EXMPLR : oai22 port map ( Y=>nx7481, A0=>nx15905, A1=>reg_43_q_c_1, B0=>nx15823, B1=>nx15829); nx7485_EXMPLR : oai22 port map ( Y=>nx7485, A0=>nx15825, A1=>nx15827, B0 =>PRI_OUT_8_2_EXMPLR, B1=>reg_43_q_c_2); ix16288 : inv02 port map ( Y=>nx15830, A=>reg_43_q_c_1); ix16289 : inv02 port map ( Y=>nx15831, A=>nx15905); nx6987_EXMPLR : oai22 port map ( Y=>nx6987, A0=>nx15830, A1=>nx15831, B0 =>nx15905, B1=>reg_43_q_c_1); ix16290 : inv02 port map ( Y=>nx15832, A=>PRI_IN_6(6)); ix16291 : inv02 port map ( Y=>nx15833, A=>nx9867); ix16292 : oai22 port map ( Y=>nx15834, A0=>nx15832, A1=>nx15833, B0=> PRI_IN_6(6), B1=>nx9867); ix16293 : aoi22 port map ( Y=>nx15835, A0=>PRI_IN_6(6), A1=>nx9867, B0=> nx15832, B1=>nx15833); ix16294 : nor02 port map ( Y=>nx15836, A0=>nx15835, A1=>nx9093); ix16295 : aoi322 port map ( Y=>nx15837, A0=>nx15834, A1=>reg_44_q_c_5, A2 =>PRI_IN_6(5), B0=>reg_44_q_c_6, B1=>PRI_IN_6(6), C0=>nx5190, C1=> nx15836); ix16296 : inv02 port map ( Y=>nx15838, A=>PRI_IN_6(8)); ix16298 : inv02 port map ( Y=>nx15839, A=>nx10882); ix16299 : aoi22 port map ( Y=>nx15840, A0=>PRI_IN_6(8), A1=>nx10882, B0=> nx15838, B1=>nx15839); ix16300 : inv02 port map ( Y=>nx15841, A=>reg_44_q_c_7); ix16301 : inv02 port map ( Y=>nx15842, A=>PRI_IN_6(7)); nx9668_EXMPLR : oai33 port map ( Y=>nx9668, A0=>nx15837, A1=>nx15840, A2 =>nx10126, B0=>nx15840, B1=>nx15841, B2=>nx15842); ix16302 : nand02 port map ( Y=>nx15843, A0=>reg_44_q_c_7, A1=>PRI_IN_6(7) ); ix16303 : inv02 port map ( Y=>nx15844, A=>nx10126); ix16304 : aoi21 port map ( Y=>nx15845, A0=>reg_44_q_c_7, A1=>PRI_IN_6(7), B0=>nx15844); nx10612_EXMPLR : ao21 port map ( Y=>nx10612, A0=>nx15843, A1=>nx15837, B0 =>nx15845); nx10617_EXMPLR : oai22 port map ( Y=>nx10617, A0=>nx15839, A1=> PRI_IN_6(8), B0=>nx15838, B1=>nx10882); nx7430_EXMPLR : inv02 port map ( Y=>nx7430, A=>nx15837); ix16306 : and02 port map ( Y=>nx15847, A0=>reg_44_q_c_5, A1=>PRI_IN_6(5) ); ix16307 : inv02 port map ( Y=>nx15848, A=>nx9093); nx9603_EXMPLR : oai22 port map ( Y=>nx9603, A0=>nx15847, A1=>nx5190, B0=> nx15848, B1=>nx15847); nx9607_EXMPLR : oai22 port map ( Y=>nx9607, A0=>nx15833, A1=>PRI_IN_6(6), B0=>nx15832, B1=>nx9867); ix16308 : inv01 port map ( Y=>nx15849, A=>nx15904); ix16309 : inv01 port map ( Y=>nx15850, A=>nx15906); ix16310 : oai22 port map ( Y=>nx15851, A0=>nx15849, A1=>nx15907, B0=> nx15850, B1=>nx15904); ix16311 : inv01 port map ( Y=>nx15852, A=>C_MUX2_21_SEL); ix16312 : inv01 port map ( Y=>nx15853, A=>PRI_OUT_7_1_EXMPLR); ix16313 : oai22 port map ( Y=>nx15854, A0=>nx15853, A1=>nx15907, B0=> nx15850, B1=>PRI_OUT_7_1_EXMPLR); ix16314 : nor02 port map ( Y=>nx15855, A0=>C_MUX2_21_SEL, A1=> C_MUX2_17_SEL); ix16315 : inv01 port map ( Y=>nx15857, A=>nx15909); ix16316 : oai33 port map ( Y=>nx15858, A0=>nx15850, A1=>nx15874, A2=> nx15857, B0=>nx15907, B1=>nx15874, B2=>nx15909); ix16317 : aoi321 port map ( Y=>nx15859, A0=>nx15851, A1=>C_MUX2_17_SEL, A2=>nx15874, B0=>nx15854, B1=>nx15855, C0=>nx15858); ix16318 : inv01 port map ( Y=>nx15860, A=>PRI_OUT_7_0_EXMPLR); ix16319 : inv01 port map ( Y=>nx15861, A=>nx15896); ix16320 : inv01 port map ( Y=>nx15862, A=>C_MUX2_17_SEL); ix16321 : oai332 port map ( Y=>nx15863, A0=>nx15860, A1=>C_MUX2_21_SEL, A2=>C_MUX2_17_SEL, B0=>nx15861, B1=>nx15876, B2=>C_MUX2_21_SEL, C0=> nx15874, C1=>nx15899); ix16322 : and02 port map ( Y=>nx15864, A0=>PRI_OUT_7_1_EXMPLR, A1=> nx15850); ix16324 : oai43 port map ( Y=>nx15865, A0=>nx15876, A1=>C_MUX2_21_SEL, A2 =>nx15849, A3=>nx15907, B0=>nx15874, B1=>nx15907, B2=>nx15909); ix16325 : aoi321 port map ( Y=>nx15866, A0=>nx15859, A1=>reg_45_q_c_0, A2 =>nx15863, B0=>nx15855, B1=>nx15864, C0=>nx15865); nx1856_EXMPLR : inv02 port map ( Y=>nx1856, A=>nx15866); ix16326 : inv01 port map ( Y=>nx15867, A=>nx15866); ix16327 : nor02 port map ( Y=>nx15868, A0=>C_MUX2_17_SEL, A1=> PRI_OUT_7_0_EXMPLR); ix16328 : inv01 port map ( Y=>nx15869, A=>nx15899); ix16329 : oai321 port map ( Y=>nx15871, A0=>C_MUX2_21_SEL, A1=>nx15876, A2=>nx15896, B0=>nx15902, B1=>nx15869, C0=>reg_45_q_c_0); nx7051_EXMPLR : ao21 port map ( Y=>nx7051, A0=>nx15902, A1=>nx15868, B0=> nx15871); nx7053_EXMPLR : inv02 port map ( Y=>nx7053, A=>nx15859); nx6410_EXMPLR : aoi332 port map ( Y=>nx6410, A0=>nx15902, A1=>nx15896, A2 =>C_MUX2_17_SEL, B0=>nx15902, B1=>PRI_OUT_7_0_EXMPLR, B2=>nx15877, C0 =>C_MUX2_21_SEL, C1=>nx15869); ix16330 : inv02 port map ( Y=>nx15872, A=>nx6410); ix16331 : inv01 port map ( Y=>nx15873, A=>nx6410); nx6421_EXMPLR : oai332 port map ( Y=>nx6421, A0=>C_MUX2_21_SEL, A1=> nx15853, A2=>C_MUX2_17_SEL, B0=>C_MUX2_21_SEL, B1=>nx15877, B2=> nx15849, C0=>nx15902, C1=>nx15909); nx6691_EXMPLR : oai22 port map ( Y=>nx6691, A0=>C_MUX2_17_SEL, A1=> PRI_OUT_7_0_EXMPLR, B0=>nx15877, B1=>nx15896); nx7115_EXMPLR : oai22 port map ( Y=>nx7115, A0=>C_MUX2_17_SEL, A1=> PRI_OUT_7_1_EXMPLR, B0=>nx15877, B1=>nx15904); ix16332 : buf04 port map ( Y=>nx15874, A=>nx15852); ix16334 : buf04 port map ( Y=>nx15875, A=>nx15852); ix16335 : buf04 port map ( Y=>nx15876, A=>nx15862); ix16336 : buf04 port map ( Y=>nx15877, A=>nx15862); ix16337 : and02 port map ( Y=>nx15878, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_1 ); ix16338 : inv01 port map ( Y=>nx15879, A=>nx7236); ix16339 : aoi21 port map ( Y=>nx15881, A0=>C_MUX2_13_SEL, A1=>reg_8_q_c_1, B0=>nx1350); nx7081_EXMPLR : oai32 port map ( Y=>nx7081, A0=>nx15878, A1=>nx15879, A2 =>nx1350, B0=>nx15881, B1=>nx7236); nx6427_EXMPLR : ao21 port map ( Y=>nx6427, A0=>C_MUX2_13_SEL, A1=> reg_8_q_c_1, B0=>nx1350); ix16340 : inv01 port map ( Y=>nx15882, A=>nx7111); ix16341 : inv01 port map ( Y=>nx15883, A=>nx7193); ix16342 : nor02 port map ( Y=>nx15884, A0=>nx15883, A1=>nx7111); nx174_EXMPLR : nand02 port map ( Y=>nx174, A0=>nx15756, A1=>reg_16_q_c_0 ); nx7762_EXMPLR : oai22 port map ( Y=>nx7762, A0=>nx15882, A1=>nx7193, B0=> nx15884, B1=>nx174); nx7201_EXMPLR : oai22 port map ( Y=>nx7201, A0=>nx15882, A1=>nx7193, B0=> nx15883, B1=>nx7111); ix16343 : and02 port map ( Y=>nx15885, A0=>nx14763, A1=>reg_37_q_c_14); ix16344 : or02 port map ( Y=>nx15886, A0=>nx13437, A1=>nx13434); nx15570_EXMPLR : oai21 port map ( Y=>nx15570, A0=>nx13188, A1=>nx13191, B0=>nx15886); nx14213_EXMPLR : oai22 port map ( Y=>nx14213, A0=>nx15885, A1=>nx15570, B0=>nx15885, B1=>nx15580); ix16345 : and02 port map ( Y=>nx15887, A0=>nx15716, A1=>reg_40_q_c_14); nx15192_EXMPLR : oai22 port map ( Y=>nx15192, A0=>nx13117, A1=>nx13123, B0=>nx13615, B1=>nx13463); nx14135_EXMPLR : oai22 port map ( Y=>nx14135, A0=>nx15887, A1=>nx15192, B0=>nx15887, B1=>nx15938); ix16346 : and02 port map ( Y=>nx15888, A0=>nx15034, A1=>reg_36_q_c_13); nx14988_EXMPLR : oai22 port map ( Y=>nx14988, A0=>nx15501, A1=>nx15502, B0=>nx12981, B1=>nx12985); nx13997_EXMPLR : oai22 port map ( Y=>nx13997, A0=>nx15888, A1=>nx14988, B0=>nx15888, B1=>nx15036); ix16347 : inv02 port map ( Y=>nx15889, A=>C_MUX2_10_SEL); ix16348 : inv01 port map ( Y=>nx15890, A=>nx16190); nx16194_EXMPLR : oai221 port map ( Y=>nx16194, A0=>nx15696, A1=>nx15514, B0=>nx15889, B1=>nx15890, C0=>nx15520); ix16350 : and02 port map ( Y=>nx15891, A0=>nx13615, A1=>reg_34_q_c_13); nx14776_EXMPLR : oai22 port map ( Y=>nx14776, A0=>nx12921, A1=>nx13453, B0=>nx13476, B1=>nx12933); nx13941_EXMPLR : oai22 port map ( Y=>nx13941, A0=>nx15891, A1=>nx14776, B0=>nx15891, B1=>nx14778); ix16351 : oai422 port map ( Y=>nx15892, A0=>nx11908, A1=>nx15785, A2=> nx15581, A3=>nx15583, B0=>nx15772, B1=>nx15788, C0=>nx15581, C1=> nx15584); NOT_nx13689_EXMPLR : inv01 port map ( Y=>NOT_nx13689, A=>nx15892); ix16352 : inv01 port map ( Y=>nx15893, A=>reg_44_q_c_2); ix16353 : inv02 port map ( Y=>nx15894, A=>PRI_IN_6(2)); nx2950_EXMPLR : oai22 port map ( Y=>nx2950, A0=>nx15893, A1=>nx15894, B0 =>nx7547, B1=>nx7551); ix16354 : buf16 port map ( Y=>nx15895, A=>reg_17_q_c_0); ix16355 : buf16 port map ( Y=>nx15896, A=>reg_17_q_c_0); ix16356 : buf16 port map ( Y=>nx15897, A=>nx14817); ix16358 : buf16 port map ( Y=>nx15899, A=>nx14817); ix16359 : buf16 port map ( Y=>nx15901, A=>nx15875); ix16360 : buf16 port map ( Y=>nx15902, A=>nx15875); ix16361 : buf16 port map ( Y=>nx15903, A=>nx14711); ix16362 : buf16 port map ( Y=>nx15904, A=>nx14711); ix16363 : buf16 port map ( Y=>PRI_OUT_8_1_EXMPLR, A=>PRI_OUT_8_dup0_1); ix16364 : buf16 port map ( Y=>nx15905, A=>PRI_OUT_8_dup0_1); ix16365 : buf16 port map ( Y=>nx15906, A=>nx7229); ix16366 : buf16 port map ( Y=>nx15907, A=>nx7229); ix16368 : buf16 port map ( Y=>nx15908, A=>nx7205); ix16369 : buf16 port map ( Y=>nx15909, A=>nx7205); ix16370 : buf16 port map ( Y=>nx15911, A=>reg_12_q_c_1); ix16371 : buf16 port map ( Y=>nx15912, A=>reg_12_q_c_1); ix16372 : buf16 port map ( Y=>nx15913, A=>reg_12_q_c_11); ix16373 : buf16 port map ( Y=>nx15914, A=>reg_12_q_c_11); ix16374 : buf16 port map ( Y=>nx15915, A=>nx14787); ix16376 : buf16 port map ( Y=>nx15916, A=>nx14787); ix16377 : buf16 port map ( Y=>nx15917, A=>reg_44_q_c_13); ix16378 : buf16 port map ( Y=>nx15919, A=>reg_44_q_c_13); ix16379 : buf16 port map ( Y=>nx15921, A=>nx13923); ix16380 : buf16 port map ( Y=>nx15922, A=>nx13923); ix16381 : buf02 port map ( Y=>nx16382, A=>nx15572); end CIRCUIT_arch ;