SIMLIB/C++  3.07
errors.cc
Go to the documentation of this file.
1 //
2 // errors.cc
3 //
4 //
5 //
6 //
7 /* Generated from file 'errors.txt' by program GENERR */
8 
9 #include "simlib.h"
10 namespace simlib3 {
11 #include "errors.h"
12 
13 static const char _Errors[] = {
14 /* 0 */ "SIMLIB/C++ Simulation Library, " SIMLIB_COPYRIGHT "\0"
15 /* 1 */ "Undocumented error\0"
16 /* 2 */ "Internal error\0"
17 /* 3 */ "No memory\0"
18 /* 4 */ "Bad Init() arguments\0"
19 /* 5 */ "Init() called twice before Run()\0"
20 /* 6 */ "Init() can not be called during simulation run\0"
21 /* 7 */ "Run() should be called after Init()\0"
22 /* 8 */ "Bad SetStep(min,max) arguments\0"
23 /* 9 */ "Requested integration step is too small\0"
24 /* 10 */ "SetStep: Too big difference of min/max step\0"
25 /* 11 */ "SetAccuracy: Too small relative accuracy requested\0"
26 /* 12 */ "Special function called and simulation is not running\0"
27 /* 13 */ "Numerical integration error greater than requested\0"
28 /* 14 */ "Bad reference to list item\0"
29 /* 15 */ "Deleted item is linked in some list\0"
30 /* 16 */ "Removed item not in list\0"
31 /* 17 */ "Calendar should be singleton\0"
32 /* 18 */ "Deleting active item in calendar\0"
33 /* 19 */ "Scheduling before current Time\0"
34 /* 20 */ "Calendar is empty\0"
35 /* 21 */ "Procesis is not initialized\0"
36 /* 22 */ "Bad histogram step (step<=0)\0"
37 /* 23 */ "Bad histogram interval count (max=10000)\0"
38 /* 24 */ "List does not have active item\0"
39 /* 25 */ "Empty list\0"
40 /* 26 */ "Bad queue reference\0"
41 /* 27 */ "Empty WaitUntilList - can't Get() (internal error)\0"
42 /* 28 */ "Bad entity reference\0"
43 /* 29 */ "Entity not scheduled\0"
44 /* 30 */ "Time statistic not initialized\0"
45 /* 31 */ "Can't create new integrator in dynamic section\0"
46 /* 32 */ "Can't destroy integrator in dynamic section\0"
47 /* 33 */ "Can't create new status variable in dynamic section\0"
48 /* 34 */ "Can't destroy status variable in dynamic section\0"
49 /* 35 */ "Seize(): Can't interrupt facility service\0"
50 /* 36 */ "Release(): Facility is released by other than currently serviced process\0"
51 /* 37 */ "Release(): Can't release empty facility\0"
52 /* 38 */ "Enter() request exceeded the store capacity\0"
53 /* 39 */ "Leave() leaves more than currently used\0"
54 /* 40 */ "SetCapacity(): can't reduce store capacity\0"
55 /* 41 */ "SetQueue(): deleted (old) queue is not empty\0"
56 /* 42 */ "Weibul(): lambda<=0.0 or alfa<=1.0\0"
57 /* 43 */ "Erlang(): beta<1\0"
58 /* 44 */ "NegBin(): q<=0 or k<=0\0"
59 /* 45 */ "NegBinM(): m<=0\0"
60 /* 46 */ "NegBinM(): p not in range 0..1\0"
61 /* 47 */ "Poisson(lambda): lambda<=0\0"
62 /* 48 */ "Geom(): q<=0\0"
63 /* 49 */ "HyperGeom(): m<=0\0"
64 /* 50 */ "HyperGeom(): p not in range 0..1\0"
65 /* 51 */ "Can't write output file\0"
66 /* 52 */ "Output file can't be open between Init() and Run()\0"
67 /* 53 */ "Can't open output file\0"
68 /* 54 */ "Can't close output file\0"
69 /* 55 */ "Algebraic loop detected\0"
70 /* 56 */ "Parameter low>=high\0"
71 /* 57 */ "Parameter of quantizer <= 0\0"
72 /* 58 */ "Library and header (simlib.h) version mismatch \0"
73 /* 59 */ "Semaphore::V() -- bad call\0"
74 /* 60 */ "Uniform(l,h) -- bad arguments\0"
75 /* 61 */ "Stat::MeanValue() No record in statistics\0"
76 /* 62 */ "Stat::Disp() Can't compute (n<2)\0"
77 /* 63 */ "AlgLoop: t_min>=t_max\0"
78 /* 64 */ "AlgLoop: t0 not in <t_min,t_max>\0"
79 /* 65 */ "AlgLoop: method not convergent\0"
80 /* 66 */ "AlgLoop: iteration limit exceeded\0"
81 /* 67 */ "AlgLoop: iterative block is not in loop\0"
82 /* 68 */ "Unknown integration method\0"
83 /* 69 */ "Integration method name not unique\0"
84 /* 70 */ "Integration step <=0\0"
85 /* 71 */ "Start-method is not single-step\0"
86 /* 72 */ "Method is not multi-step\0"
87 /* 73 */ "Can't switch methods in dynamic section\0"
88 /* 74 */ "Can't switch start-methods in dynamic section\0"
89 /* 75 */ "Rline: argument n<2\0"
90 /* 76 */ "Rline: array is not sorted\0"
91 /* 77 */ "Library compiled without debugging support\0"
92 /* 78 */ "Dealy is too small (<=MaxStep)\0"
93 /* 79 */ "Parameter can not be changed during simulation run\0"
94 /* 80 */ "General error\0"
95 };
96 
97 const char *_ErrMsg(enum _ErrEnum N)
98 {
99  const char *p = _Errors;
100  int i = N;
101  while( i-- > 0 )
102  while( *p++ != '\0' ) { /*empty*/ }
103  return p;
104 };
105 } // namespace simlib3
106 
#define SIMLIB_COPYRIGHT
Definition: simlib.h:11
static const char _Errors[]
Definition: errors.cc:13
Implementation of class CalendarList interface is static - using global functions in SQS namespace...
Definition: algloop.cc:32
_ErrEnum
Definition: errors.cc:10
Main SIMLIB/C++ interface.
const char * _ErrMsg(enum _ErrEnum N)
Definition: errors.cc:97