Model { Name "schema_RC_en" Version 7.9 GraphicalInterface { NumRootInports 0 NumRootOutports 0 ParameterArgumentNames "" ComputedModelVersion "1.59" NumModelReferences 0 NumTestPointedSignals 0 } SavedCharacterEncoding "windows-1250" ScopeRefreshTime 0.035000 OverrideScopeRefreshTime on DisableAllScopes off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" MinMaxOverflowArchiveMode "Overwrite" FPTRunName "Run 1" MaxMDLFileLineLength 120 Created "Tue Feb 28 12:27:59 2012" Creator "ivopenka" UpdateHistory "UpdateHistoryNever" ModifiedByFormat "%" LastModifiedBy "petrv" ModifiedDateFormat "%" LastModifiedDate "Mon Apr 13 18:21:18 2020" RTWModifiedTimeStamp 508702878 ModelVersionFormat "1.%" SampleTimeColors off SampleTimeAnnotations off LibraryLinkDisplay "none" WideLines off ShowLineDimensions off ShowPortDataTypes off ShowDesignRanges off ShowLoopsOnError on IgnoreBidirectionalLines off ShowStorageClass off ShowTestPointIcons on ShowSignalResolutionIcons on ShowViewerIcons on SortedOrder off ExecutionContextIcon off ShowLinearizationAnnotations on BlockNameDataTip off BlockParametersDataTip off BlockDescriptionStringDataTip off ToolBar on StatusBar on BrowserShowLibraryLinks off BrowserLookUnderMasks off SimulationMode "normal" LinearizationMsg "none" Profile off ParamWorkspaceSource "MATLABWorkspace" AccelSystemTargetFile "accel.tlc" AccelTemplateMakefile "accel_default_tmf" AccelMakeCommand "make_rtw" TryForcingSFcnDF off Object { $PropName "DataLoggingOverride" $ObjectID 12 $ClassName "Simulink.SimulationData.ModelLoggingInfo" model_ "schema_RC_en" Array { Type "Cell" Dimension 1 Cell "schema_RC_en" PropName "logAsSpecifiedByModels_" } Array { Type "Cell" Dimension 1 Cell "" PropName "logAsSpecifiedByModelsSSIDs_" } } RecordCoverage off CovPath "/" CovSaveName "covdata" CovMetricSettings "dw" CovNameIncrementing off CovHtmlReporting on CovForceBlockReductionOff on covSaveCumulativeToWorkspaceVar on CovSaveSingleToWorkspaceVar on CovCumulativeVarName "covCumulativeData" CovCumulativeReport off CovReportOnPause on CovModelRefEnable "Off" CovExternalEMLEnable off ExtModeBatchMode off ExtModeEnableFloating on ExtModeTrigType "manual" ExtModeTrigMode "normal" ExtModeTrigPort "1" ExtModeTrigElement "any" ExtModeTrigDuration 1000 ExtModeTrigDurationFloating "auto" ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off" ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect on ExtModeSkipDownloadWhenConnect off ExtModeLogAll on ExtModeAutoUpdateStatusClock on ShowModelReferenceBlockVersion off ShowModelReferenceBlockIO off Array { Type "Handle" Dimension 1 Simulink.ConfigSet { $ObjectID 13 Version "1.12.0" Array { Type "Handle" Dimension 8 Simulink.SolverCC { $ObjectID 14 Version "1.12.0" Components [] StartTime "0.0" StopTime "10.0" AbsTol "auto" FixedStep "auto" InitialStep "auto" MaxOrder 5 ZcThreshold "auto" ConsecutiveZCsStepRelTol "10*128*eps" MaxConsecutiveZCs "1000" ExtrapolationOrder 4 NumberNewtonIterations 1 MaxStep "0.1" MinStep "auto" MaxConsecutiveMinStep "1" RelTol "1e-3" SolverMode "Auto" EnableConcurrentExecution off ConcurrentTasks off Solver "ode45" SolverName "ode45" SolverJacobianMethodControl "auto" ShapePreserveControl "DisableAll" ZeroCrossControl "UseLocalSettings" ZeroCrossAlgorithm "Nonadaptive" AlgebraicLoopSolver "TrustRegion" SolverResetMethod "Fast" PositivePriorityOrder off AutoInsertRateTranBlk off SampleTimeConstraint "Unconstrained" InsertRTBMode "Whenever possible" } Simulink.DataIOCC { $ObjectID 15 Version "1.12.0" Components [] Decimation "1" ExternalInput "[t, u]" FinalStateName "xFinal" InitialState "xInitial" LimitDataPoints on MaxDataPoints "1000" LoadExternalInput off LoadInitialState off SaveFinalState off SaveCompleteFinalSimState off SaveFormat "Array" SignalLoggingSaveFormat "ModelDataLogs" SaveOutput on SaveState off SignalLogging on DSMLogging on InspectSignalLogs off SaveTime on ReturnWorkspaceOutputs off StateSaveName "xout" TimeSaveName "tout" OutputSaveName "yout" SignalLoggingName "logsout" DSMLoggingName "dsmout" OutputOption "RefineOutputTimes" OutputTimes "[]" ReturnWorkspaceOutputsName "out" Refine "1" } Simulink.OptimizationCC { $ObjectID 16 Version "1.12.0" Array { Type "Cell" Dimension 8 Cell "PassReuseOutputArgsAs" Cell "PassReuseOutputArgsThreshold" Cell "ZeroExternalMemoryAtStartup" Cell "ZeroInternalMemoryAtStartup" Cell "OptimizeModelRefInitCode" Cell "NoFixptDivByZeroProtection" Cell "BooleansAsBitfields" Cell "UseSpecifiedMinMax" PropName "DisabledProps" } Components [] BlockReduction on BooleanDataType on ConditionallyExecuteInputs on InlineParams off UseIntDivNetSlope off UseFloatMulNetSlope off UseSpecifiedMinMax off InlineInvariantSignals off OptimizeBlockIOStorage on BufferReuse on EnhancedBackFolding off StrengthReduction off ExpressionFolding on BooleansAsBitfields off BitfieldContainerType "uint_T" EnableMemcpy on MemcpyThreshold 64 PassReuseOutputArgsAs "Structure reference" ExpressionDepthLimit 2147483647 LocalBlockOutputs on RollThreshold 5 StateBitsets off DataBitsets off ZeroExternalMemoryAtStartup on ZeroInternalMemoryAtStartup on InitFltsAndDblsToZero off NoFixptDivByZeroProtection off EfficientFloat2IntCast off EfficientMapNaN2IntZero on OptimizeModelRefInitCode off LifeSpan "inf" MaxStackSize "Inherit from target" BufferReusableBoundary on SimCompilerOptimization "Off" AccelVerboseBuild off } Simulink.DebuggingCC { $ObjectID 17 Version "1.12.0" Components [] RTPrefix "error" ConsistencyChecking "none" ArrayBoundsChecking "none" SignalInfNanChecking "none" SignalRangeChecking "none" ReadBeforeWriteMsg "UseLocalSettings" WriteAfterWriteMsg "UseLocalSettings" WriteAfterReadMsg "UseLocalSettings" AlgebraicLoopMsg "warning" ArtificialAlgebraicLoopMsg "warning" SaveWithDisabledLinksMsg "warning" SaveWithParameterizedLinksMsg "warning" CheckSSInitialOutputMsg on UnderspecifiedInitializationDetection "Classic" MergeDetectMultiDrivingBlocksExec "none" CheckExecutionContextPreStartOutputMsg off CheckExecutionContextRuntimeOutputMsg off SignalResolutionControl "UseLocalSettings" BlockPriorityViolationMsg "warning" MinStepSizeMsg "warning" TimeAdjustmentMsg "none" MaxConsecutiveZCsMsg "error" MaskedZcDiagnostic "warning" IgnoredZcDiagnostic "warning" SolverPrmCheckMsg "warning" InheritedTsInSrcMsg "warning" MultiTaskDSMMsg "error" MultiTaskCondExecSysMsg "error" MultiTaskRateTransMsg "error" SingleTaskRateTransMsg "none" TasksWithSamePriorityMsg "warning" SigSpecEnsureSampleTimeMsg "warning" CheckMatrixSingularityMsg "none" IntegerOverflowMsg "warning" Int32ToFloatConvMsg "warning" ParameterDowncastMsg "error" ParameterOverflowMsg "error" ParameterUnderflowMsg "none" ParameterPrecisionLossMsg "warning" ParameterTunabilityLossMsg "warning" FixptConstUnderflowMsg "none" FixptConstOverflowMsg "none" FixptConstPrecisionLossMsg "none" UnderSpecifiedDataTypeMsg "none" UnnecessaryDatatypeConvMsg "none" VectorMatrixConversionMsg "none" InvalidFcnCallConnMsg "error" FcnCallInpInsideContextMsg "Enable All" SignalLabelMismatchMsg "none" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" SFcnCompatibilityMsg "none" FrameProcessingCompatibilityMsg "error" UniqueDataStoreMsg "none" BusObjectLabelMismatch "warning" RootOutportRequireBusObject "warning" AssertControl "UseLocalSettings" ModelReferenceIOMsg "none" ModelReferenceMultiInstanceNormalModeStructChecksumCheck "error" ModelReferenceVersionMismatchMessage "none" ModelReferenceIOMismatchMessage "none" UnknownTsInhSupMsg "warning" ModelReferenceDataLoggingMessage "warning" ModelReferenceSymbolNameMessage "warning" ModelReferenceExtraNoncontSigs "error" StateNameClashWarn "warning" SimStateInterfaceChecksumMismatchMsg "warning" SimStateOlderReleaseMsg "error" InitInArrayFormatMsg "warning" StrictBusMsg "ErrorLevel1" BusNameAdapt "WarnAndRepair" NonBusSignalsTreatedAsBus "none" BlockIODiagnostic "none" SFUnusedDataAndEventsDiag "warning" SFUnexpectedBacktrackingDiag "warning" SFInvalidInputDataAccessInChartInitDiag "warning" SFNoUnconditionalDefaultTransitionDiag "warning" SFTransitionOutsideNaturalParentDiag "warning" SFUnconditionalTransitionShadowingDiag "warning" ModelReferenceCSMismatchMessage "none" } Simulink.HardwareCC { $ObjectID 18 Version "1.12.0" Components [] ProdBitPerChar 8 ProdBitPerShort 16 ProdBitPerInt 32 ProdBitPerLong 32 ProdBitPerFloat 32 ProdBitPerDouble 64 ProdBitPerPointer 32 ProdLargestAtomicInteger "Char" ProdLargestAtomicFloat "None" ProdIntDivRoundTo "Undefined" ProdEndianess "Unspecified" ProdWordSize 32 ProdShiftRightIntArith on ProdHWDeviceType "32-bit Generic" TargetBitPerChar 8 TargetBitPerShort 16 TargetBitPerInt 32 TargetBitPerLong 32 TargetBitPerFloat 32 TargetBitPerDouble 64 TargetBitPerPointer 32 TargetLargestAtomicInteger "Char" TargetLargestAtomicFloat "None" TargetShiftRightIntArith on TargetIntDivRoundTo "Undefined" TargetEndianess "Unspecified" TargetWordSize 32 TargetPreprocMaxBitsSint 32 TargetPreprocMaxBitsUint 32 TargetHWDeviceType "Specified" TargetUnknown off ProdEqTarget on } Simulink.ModelReferenceCC { $ObjectID 19 Version "1.12.0" Components [] UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" CheckModelReferenceTargetMessage "error" EnableParallelModelReferenceBuilds off ParallelModelReferenceErrorOnInvalidPool on ParallelModelReferenceMATLABWorkerInit "None" ModelReferenceNumInstancesAllowed "Multi" PropagateVarSize "Infer from blocks in model" ModelReferencePassRootInputsByReference on ModelReferenceMinAlgLoopOccurrences off PropagateSignalLabelsOutOfModel off SupportModelReferenceSimTargetCustomCode off } Simulink.SFSimCC { $ObjectID 20 Version "1.12.0" Components [] SFSimEcho on SimCtrlC on SimIntegrity on SimUseLocalCustomCode off SimParseCustomCode on SimBuildMode "sf_incremental_build" } Simulink.RTWCC { $BackupClass "Simulink.RTWCC" $ObjectID 21 Version "1.12.0" Array { Type "Cell" Dimension 16 Cell "IncludeHyperlinkInReport" Cell "GenerateTraceInfo" Cell "GenerateTraceReport" Cell "GenerateTraceReportSl" Cell "GenerateTraceReportSf" Cell "GenerateTraceReportEml" Cell "GenerateCodeMetricsReport" Cell "PortableWordSizes" Cell "GenerateWebview" Cell "GenerateCodeReplacementReport" Cell "GenerateMissedCodeReplacementReport" Cell "GenerateErtSFunction" Cell "CreateSILPILBlock" Cell "CodeExecutionProfiling" Cell "CodeProfilingSaveOptions" Cell "CodeProfilingInstrumentation" PropName "DisabledProps" } SystemTargetFile "grt.tlc" TLCOptions "" GenCodeOnly off MakeCommand "make_rtw" GenerateMakefile on TemplateMakefile "grt_default_tmf" PostCodeGenCommand "" GenerateReport off RTWVerbose on RetainRTWFile off ProfileTLC off TLCDebug off TLCCoverage off TLCAssert off RTWUseLocalCustomCode off RTWUseSimCustomCode off IncludeHyperlinkInReport off LaunchReport off TargetLang "C" IncludeBusHierarchyInRTWFileBlockHierarchyMap off GenerateTraceInfo off GenerateTraceReport off GenerateTraceReportSl off GenerateTraceReportSf off GenerateTraceReportEml off GenerateWebview off GenerateCodeMetricsReport off GenerateCodeReplacementReport off RTWCompilerOptimization "Off" RTWCustomCompilerOptimizations "" CheckMdlBeforeBuild "Off" Array { Type "Handle" Dimension 2 Simulink.CodeAppCC { $ObjectID 22 Version "1.12.0" Array { Type "Cell" Dimension 28 Cell "IgnoreCustomStorageClasses" Cell "IgnoreTestpoints" Cell "InsertBlockDesc" Cell "InsertPolySpaceComments" Cell "SFDataObjDesc" Cell "MATLABFcnDesc" Cell "SimulinkDataObjDesc" Cell "DefineNamingRule" Cell "SignalNamingRule" Cell "ParamNamingRule" Cell "InlinedPrmAccess" Cell "CustomSymbolStr" Cell "CustomSymbolStrGlobalVar" Cell "CustomSymbolStrType" Cell "CustomSymbolStrField" Cell "CustomSymbolStrFcn" Cell "CustomSymbolStrFcnArg" Cell "CustomSymbolStrBlkIO" Cell "CustomSymbolStrTmpVar" Cell "CustomSymbolStrMacro" Cell "ReqsInCode" Cell "BlockCommentType" Cell "InternalIdentifier" Cell "CustomSymbolStrModelFcn" Cell "CustomSymbolStrUtil" Cell "CustomSymbolStrEmxType" Cell "CustomSymbolStrEmxFcn" Cell "CustomUserTokenString" PropName "DisabledProps" } Components [] ForceParamTrailComments off GenerateComments on IgnoreCustomStorageClasses on IgnoreTestpoints off MaxIdLength 31 PreserveName off PreserveNameWithParent off ShowEliminatedStatement off SimulinkDataObjDesc off SFDataObjDesc off MATLABFcnDesc off MangleLength 1 CustomSymbolStrGlobalVar "$R$N$M" CustomSymbolStrType "$N$R$M_T" CustomSymbolStrField "$N$M" CustomSymbolStrFcn "$R$N$M$F" CustomSymbolStrFcnArg "rt$I$N$M" CustomSymbolStrBlkIO "rtb_$N$M" CustomSymbolStrTmpVar "$N$M" CustomSymbolStrMacro "$R$N$M" DefineNamingRule "None" ParamNamingRule "None" SignalNamingRule "None" InsertBlockDesc off InsertPolySpaceComments off SimulinkBlockComments on MATLABSourceComments off EnableCustomComments off InlinedPrmAccess "Literals" ReqsInCode off UseSimReservedNames off } Simulink.GRTTargetCC { $BackupClass "Simulink.TargetCC" $ObjectID 23 Version "1.12.0" Array { Type "Cell" Dimension 20 Cell "GeneratePreprocessorConditionals" Cell "IncludeMdlTerminateFcn" Cell "RemoveResetFunc" Cell "SuppressErrorStatus" Cell "ERTCustomFileBanners" Cell "GenerateSampleERTMain" Cell "GenerateTestInterfaces" Cell "ModelStepFunctionPrototypeControlCompliant" Cell "RemoveDisableFunc" Cell "PreserveStateflowLocalDataDimensions" Cell "PurelyIntegerCode" Cell "SupportComplex" Cell "SupportAbsoluteTime" Cell "SupportContinuousTime" Cell "SupportNonInlinedSFcns" Cell "PortableWordSizes" Cell "MultiInstanceERTCode" Cell "ExistingSharedCode" Cell "CPPClassGenCompliant" Cell "CombineOutputUpdateFcns" PropName "DisabledProps" } Components [] TargetFcnLib "ansi_tfl_table_tmw.mat" TargetLibSuffix "" TargetPreCompLibLocation "" CodeReplacementLibrary "C89/C90 (ANSI)" UtilityFuncGeneration "Auto" ERTMultiwordTypeDef "System defined" MultiwordLength 2048 GenerateFullHeader on GenerateSampleERTMain off GenerateTestInterfaces off ModelReferenceCompliant on ParMdlRefBuildCompliant on CompOptLevelCompliant on ConcurrentExecutionCompliant on IncludeMdlTerminateFcn on GeneratePreprocessorConditionals "Use local settings" CombineOutputUpdateFcns off CombineSignalStateStructs off SuppressErrorStatus off IncludeFileDelimiter "Auto" ERTCustomFileBanners off SupportAbsoluteTime on LogVarNameModifier "rt_" MatFileLogging on MultiInstanceERTCode off SupportNonFinite on SupportComplex on PurelyIntegerCode off SupportContinuousTime on SupportNonInlinedSFcns on SupportVariableSizeSignals off ParenthesesLevel "Nominal" ModelStepFunctionPrototypeControlCompliant off CPPClassGenCompliant off AutosarCompliant off GRTInterface on UseMalloc off ExtMode off ExtModeStaticAlloc off ExtModeTesting off ExtModeStaticAllocSize 1000000 ExtModeTransport 0 ExtModeMexFile "ext_comm" ExtModeIntrfLevel "Level1" RTWCAPISignals off RTWCAPIParams off RTWCAPIStates off RTWCAPIRootIO off GenerateASAP2 off } PropName "Components" } } PropName "Components" } Name "Configuration" CurrentDlgPage "Optimization" ConfigPrmDlgPosition [ 200, 197, 1080, 827 ] } PropName "ConfigurationSets" } Simulink.ConfigSet { $PropName "ActiveConfigurationSet" $ObjectID 13 } ExplicitPartitioning off BlockDefaults { ForegroundColor "black" BackgroundColor "white" DropShadow off NamePlacement "normal" FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" ShowName on BlockRotation 0 BlockMirror off } AnnotationDefaults { HorizontalAlignment "center" VerticalAlignment "middle" ForegroundColor "black" BackgroundColor "white" DropShadow off FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" UseDisplayTextAsClickCallback off } LineDefaults { FontName "Helvetica" FontSize 9 FontWeight "normal" FontAngle "normal" } BlockParameterDefaults { Block { BlockType BusCreator DisplayOption "none" OutDataTypeStr "Inherit: auto" NonVirtualBus off } Block { BlockType Clock DisplayTime off Decimation "10" } Block { BlockType Constant Value "1" VectorParams1D on SamplingMode "Sample based" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Inherit from 'Constant value'" LockScale off SampleTime "inf" FramePeriod "inf" PreserveConstantTs off } Block { BlockType Gain Gain "1" Multiplication "Element-wise(K.*u)" ParamMin "[]" ParamMax "[]" ParamDataTypeStr "Inherit: Same as input" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Inport Port "1" OutputFunctionCall off OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: auto" LockScale off BusOutputAsStruct off PortDimensions "-1" VarSizeSig "Inherit" SampleTime "-1" SignalType "auto" SamplingMode "auto" LatchByDelayingOutsideSignal off LatchInputForFeedbackSignals off Interpolate on } Block { BlockType Integrator ExternalReset "none" InitialConditionSource "internal" InitialCondition "0" LimitOutput off UpperSaturationLimit "inf" LowerSaturationLimit "-inf" ShowSaturationPort off ShowStatePort off AbsoluteTolerance "auto" IgnoreLimit off ZeroCross on ContinuousStateAttributes "''" } Block { BlockType Math Operator "exp" OutputSignalType "auto" SampleTime "-1" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as first input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on IntermediateResultsDataTypeStr "Inherit: Inherit via internal rule" AlgorithmType "Newton-Raphson" Iterations "3" } Block { BlockType Outport Port "1" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: auto" LockScale off BusOutputAsStruct off PortDimensions "-1" VarSizeSig "Inherit" SampleTime "-1" SignalType "auto" SamplingMode "auto" SourceOfInitialOutputValue "Dialog" OutputWhenDisabled "held" InitialOutput "[]" OutputWhenUnConnected off } Block { BlockType Product Inputs "2" Multiplication "Element-wise(.*)" CollapseMode "All dimensions" CollapseDim "1" InputSameDT on OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as first input" LockScale off RndMeth "Zero" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Scope } Block { BlockType Sin SineType "Time based" TimeSource "Use simulation time" Amplitude "1" Bias "0" Frequency "1" Phase "0" Samples "10" Offset "0" SampleTime "-1" VectorParams1D on } Block { BlockType SubSystem ShowPortLabels "FromPortIcon" Permissions "ReadWrite" PermitHierarchicalResolution "All" TreatAsAtomicUnit off SystemSampleTime "-1" RTWFcnNameOpts "Auto" RTWFileNameOpts "Auto" RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" SimViewingDevice off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" SFBlockType "NONE" Variant off GeneratePreprocessorConditionals off } Block { BlockType Sum IconShape "rectangular" Inputs "++" CollapseMode "All dimensions" CollapseDim "1" InputSameDT on AccumDataTypeStr "Inherit: Inherit via internal rule" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as first input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } } System { Name "schema_RC_en" Location [16, 52, 1348, 1135] Open off ModelBrowserVisibility on ModelBrowserWidth 212 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "80" ReportName "simulink-default.rpt" SIDHighWatermark "38" Block { BlockType Product Name "-a*omega" SID "1" Ports [2, 1] Position [320, 542, 350, 573] ZOrder -1 Inputs "**" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" RndMeth "Floor" SaturateOnIntegerOverflow off } Block { BlockType SubSystem Name "Analytical solution" SID "2" Ports [5, 1] Position [400, 350, 485, 470] ZOrder -2 RequestExecContextInheritance off System { Name "Analytical solution" Location [187, 145, 1149, 958] Open off ModelBrowserVisibility on ModelBrowserWidth 212 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "a" SID "3" Position [50, 183, 80, 197] ZOrder -1 IconDisplay "Port number" } Block { BlockType Inport Name "A" SID "4" Position [50, 388, 80, 402] ZOrder -2 Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "B" SID "5" Position [50, 253, 80, 267] ZOrder -3 Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "sin(omega*t)" SID "6" Position [50, 428, 80, 442] ZOrder -4 Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "cos(omega*t)" SID "7" Position [50, 323, 80, 337] ZOrder -5 Port "5" IconDisplay "Port number" } Block { BlockType Product Name "-a*t" SID "8" Ports [2, 1] Position [180, 167, 210, 198] ZOrder -6 Inputs "**" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" RndMeth "Floor" SaturateOnIntegerOverflow off } Block { BlockType Clock Name "Clock" SID "9" Position [70, 70, 90, 90] ZOrder -7 } Block { BlockType Gain Name "Gain" SID "10" Position [115, 175, 145, 205] ZOrder -8 Gain "-1" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Math Name "Math\nFunction" SID "11" Ports [1, 1] Position [250, 175, 280, 205] ZOrder -9 } Block { BlockType Outport Name "uC" SID "12" Position [695, 208, 725, 222] ZOrder -10 IconDisplay "Port number" } Line { SrcBlock "a" SrcPort 1 DstBlock "Gain" DstPort 1 } Line { SrcBlock "Gain" SrcPort 1 DstBlock "-a*t" DstPort 2 } Line { SrcBlock "-a*t" SrcPort 1 Points [10, 0; 0, 5] DstBlock "Math\nFunction" DstPort 1 } Line { SrcBlock "Clock" SrcPort 1 Points [70, 0] DstBlock "-a*t" DstPort 1 } } RTWSystemCode "Auto" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off FunctionWithSeparateData off Opaque off MaskHideContents off } Block { BlockType BusCreator Name "Bus\nCreator" SID "13" Ports [3, 1] Position [495, 168, 500, 292] ZOrder -3 ShowName off Inputs "3" DisplayOption "bar" } Block { BlockType Sin Name "Cos Wave" SID "14" Ports [0, 1] Position [360, 615, 390, 645] ZOrder -4 BlockRotation 270 Phase "pi/2" SampleTime "0" } Block { BlockType Product Name "Divide" SID "15" Ports [2, 1] Position [270, 397, 300, 428] ZOrder -5 Inputs "*/" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" RndMeth "Floor" SaturateOnIntegerOverflow off } Block { BlockType Product Name "Divide1" SID "16" Ports [2, 1] Position [322, 480, 353, 510] ZOrder -6 BlockRotation 270 Inputs "/*" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" RndMeth "Floor" SaturateOnIntegerOverflow off } Block { BlockType Gain Name "Gain" SID "17" Position [225, 245, 255, 275] ZOrder -7 Gain "-1" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType SubSystem Name "Numerical solution" SID "18" Ports [2, 2] Position [400, 170, 445, 290] ZOrder -8 RequestExecContextInheritance off System { Name "Numerical solution" Location [488, 170, 1182, 822] Open off ModelBrowserVisibility on ModelBrowserWidth 212 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "a" SID "19" Position [15, 138, 45, 152] ZOrder -1 IconDisplay "Port number" } Block { BlockType Inport Name "u" SID "20" Position [15, 228, 45, 242] ZOrder -2 Port "2" IconDisplay "Port number" } Block { BlockType Product Name "Product" SID "21" Ports [2, 1] Position [115, 122, 145, 153] ZOrder -3 InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Product Name "Product1" SID "22" Ports [2, 1] Position [115, 212, 145, 243] ZOrder -4 InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum" SID "23" Ports [2, 1] Position [220, 180, 240, 200] ZOrder -5 ShowName off IconShape "round" Inputs "|-+" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum1" SID "24" Ports [2, 1] Position [420, 240, 440, 260] ZOrder -6 ShowName off IconShape "round" Inputs "|-+" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Integrator Name "iv" SID "25" Ports [1, 1] Position [290, 175, 320, 205] ZOrder -7 } Block { BlockType Outport Name "uC" SID "26" Position [445, 58, 475, 72] ZOrder -8 IconDisplay "Port number" } Block { BlockType Outport Name "uR" SID "27" Position [480, 243, 510, 257] ZOrder -9 Port "2" IconDisplay "Port number" } Line { SrcBlock "iv" SrcPort 1 Points [80, 0] Branch { Points [0, -125; -5, 0] Branch { DstBlock "uC" DstPort 1 } Branch { Points [-300, 0] DstBlock "Product" DstPort 1 } } Branch { DstBlock "Sum1" DstPort 1 } } Line { SrcBlock "a" SrcPort 1 Points [20, 0] Branch { DstBlock "Product" DstPort 2 } Branch { Points [0, 75] DstBlock "Product1" DstPort 1 } } Line { SrcBlock "Product" SrcPort 1 Points [25, 0; 0, 50] DstBlock "Sum" DstPort 1 } Line { SrcBlock "u" SrcPort 1 Points [25, 0] Branch { DstBlock "Product1" DstPort 2 } Branch { Points [0, 40] DstBlock "Sum1" DstPort 2 } } Line { SrcBlock "Product1" SrcPort 1 Points [80, 0] DstBlock "Sum" DstPort 2 } Line { SrcBlock "Sum" SrcPort 1 DstBlock "iv" DstPort 1 } Line { SrcBlock "Sum1" SrcPort 1 DstBlock "uR" DstPort 1 } } RTWSystemCode "Auto" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off FunctionWithSeparateData off Opaque off MaskHideContents off } Block { BlockType Sin Name "Sine Wave" SID "28" Ports [0, 1] Position [255, 295, 285, 325] ZOrder -9 SampleTime "0" } Block { BlockType Sum Name "Sum" SID "29" Ports [2, 1] Position [610, 190, 630, 210] ZOrder -10 ShowName off IconShape "round" Inputs "|+-" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum1" SID "30" Ports [2, 1] Position [215, 470, 235, 490] ZOrder -11 ShowName off IconShape "round" Inputs "|++" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Constant Name "a" SID "31" Position [145, 215, 175, 245] ZOrder -12 Value "0.5" } Block { BlockType Product Name "a*a" SID "32" Ports [2, 1] Position [182, 330, 213, 360] ZOrder -13 BlockRotation 270 BlockMirror on NamePlacement "alternate" Inputs "**" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" RndMeth "Floor" SaturateOnIntegerOverflow off } Block { BlockType Scope Name "error" SID "33" Ports [1] Position [675, 184, 705, 216] ZOrder -14 NumInputPorts "1" Floating off Open off TimeRange auto TickLabels OneTimeTick ShowLegends off LimitDataPoints on MaxDataPoints 5000 SaveToWorkspace on SaveName error YMin -10 YMax 10 SampleInput off SampleTime -1 ZoomMode on Grid on DataFormat Array Decimation 1 List { ListType AxesTitles axes1 "%" } List { ListType ScopeGraphics FigureColor "[0.156862745098039 0.156862745098039 0.156862745098039]" AxesColor "[0 0 0]" AxesTickColor "[0.686274509803922 0.686274509803922 0.686274509803922]" LineColors "[1 1 0;1 0 1;0 1 1;1 0 0;0 1 0;0 0 1]" LineStyles "-|-|-|-|-|-" LineWidths "[0.5 0.5 0.5 0.5 0.5 0.5]" MarkerStyles "none|none|none|none|none|none" } Location [5 90 1285 1007] } Block { BlockType Scope Name "num_all" SID "34" Ports [1] Position [535, 214, 565, 246] ZOrder -15 NumInputPorts "1" Floating off Open off TimeRange auto TickLabels OneTimeTick ShowLegends off LimitDataPoints on MaxDataPoints 5000 SaveToWorkspace on SaveName srd_vse YMin -10 YMax 10 SampleInput off SampleTime -1 ZoomMode on Grid on DataFormat Array Decimation 1 List { ListType AxesTitles axes1 "%" } List { ListType ScopeGraphics FigureColor "[0.156862745098039 0.156862745098039 0.156862745098039]" AxesColor "[0 0 0]" AxesTickColor "[0.686274509803922 0.686274509803922 0.686274509803922]" LineColors "[1 1 0;1 0 1;0 1 1;1 0 0;0 1 0;0 0 1]" LineStyles "-|-|-|-|-|-" LineWidths "[0.5 0.5 0.5 0.5 0.5 0.5]" MarkerStyles "none|none|none|none|none|none" } Location [5 82 1285 999] } Block { BlockType Constant Name "omega" SID "35" Position [115, 500, 145, 530] ZOrder -16 } Block { BlockType Product Name "omega*omega" SID "36" Ports [2, 1] Position [185, 507, 215, 538] ZOrder -17 Inputs "**" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" RndMeth "Floor" SaturateOnIntegerOverflow off } Block { BlockType Scope Name "uc" SID "37" Ports [1] Position [605, 109, 635, 141] ZOrder -18 NumInputPorts "1" Floating off Open off TimeRange auto TickLabels OneTimeTick ShowLegends off LimitDataPoints on MaxDataPoints 5000 SaveToWorkspace on SaveName y_srd YMin -10 YMax 10 SampleInput off SampleTime -1 ZoomMode on Grid on DataFormat Array Decimation 1 List { ListType AxesTitles axes1 "%" } List { ListType ScopeGraphics FigureColor "[0.156862745098039 0.156862745098039 0.156862745098039]" AxesColor "[0 0 0]" AxesTickColor "[0.686274509803922 0.686274509803922 0.686274509803922]" LineColors "[1 1 0;1 0 1;0 1 1;1 0 0;0 1 0;0 0 1]" LineStyles "-|-|-|-|-|-" LineWidths "[0.5 0.5 0.5 0.5 0.5 0.5]" MarkerStyles "none|none|none|none|none|none" } Location [5 104 1285 770] } Block { BlockType Scope Name "uc_anal" SID "38" Ports [1] Position [690, 394, 720, 426] ZOrder -19 NumInputPorts "1" Floating off Open off TimeRange auto TickLabels OneTimeTick ShowLegends off LimitDataPoints on MaxDataPoints 5000 SaveToWorkspace on SaveName y_pi YMin -10 YMax 10 SampleInput off SampleTime -1 ZoomMode on Grid on DataFormat Array Decimation 1 List { ListType AxesTitles axes1 "%" } List { ListType ScopeGraphics FigureColor "[0.156862745098039 0.156862745098039 0.156862745098039]" AxesColor "[0 0 0]" AxesTickColor "[0.686274509803922 0.686274509803922 0.686274509803922]" LineColors "[1 1 0;1 0 1;0 1 1;1 0 0;0 1 0;0 0 1]" LineStyles "-|-|-|-|-|-" LineWidths "[0.5 0.5 0.5 0.5 0.5 0.5]" MarkerStyles "none|none|none|none|none|none" } Location [5 99 1285 1016] } Line { SrcBlock "Sum" SrcPort 1 DstBlock "error" DstPort 1 } Line { SrcBlock "Numerical solution" SrcPort 2 Points [0, -30] DstBlock "Bus\nCreator" DstPort 2 } Line { SrcBlock "Bus\nCreator" SrcPort 1 DstBlock "num_all" DstPort 1 } Line { SrcBlock "a" SrcPort 1 Points [10, 0] Branch { Points [135, 0] Branch { Points [0, 130] DstBlock "Analytical solution" DstPort 1 } Branch { Points [0, -30] DstBlock "Numerical solution" DstPort 1 } } Branch { Points [0, 30] Branch { Points [0, 55] Branch { DstBlock "a*a" DstPort 2 } Branch { DstBlock "a*a" DstPort 1 } } Branch { DstBlock "Gain" DstPort 1 } } } Line { SrcBlock "Numerical solution" SrcPort 1 Points [0, -10; 15, 0] Branch { DstBlock "Bus\nCreator" DstPort 1 } Branch { Points [0, -65; 110, 0] Branch { DstBlock "uc" DstPort 1 } Branch { Points [0, 75] DstBlock "Sum" DstPort 1 } } } Line { SrcBlock "Analytical solution" SrcPort 1 Points [130, 0] Branch { DstBlock "Sum" DstPort 2 } Branch { DstBlock "uc_anal" DstPort 1 } } Line { SrcBlock "Sine Wave" SrcPort 1 Points [70, 0] Branch { Points [120, 0] DstBlock "Bus\nCreator" DstPort 3 } Branch { Points [0, -50] DstBlock "Numerical solution" DstPort 2 } Branch { Points [0, 125] DstBlock "Analytical solution" DstPort 4 } } Line { SrcBlock "a*a" SrcPort 1 Points [0, 40] Branch { DstBlock "Sum1" DstPort 1 } Branch { DstBlock "Divide" DstPort 1 } } Line { SrcBlock "omega" SrcPort 1 Points [10, 0] Branch { DstBlock "omega*omega" DstPort 1 } Branch { Points [0, 15] Branch { DstBlock "omega*omega" DstPort 2 } Branch { Points [0, 35] DstBlock "-a*omega" DstPort 2 } } } Line { SrcBlock "omega*omega" SrcPort 1 Points [5, 0] DstBlock "Sum1" DstPort 2 } Line { SrcBlock "Sum1" SrcPort 1 Points [15, 0] Branch { DstBlock "Divide" DstPort 2 } Branch { Points [0, 45] DstBlock "Divide1" DstPort 1 } } Line { SrcBlock "Divide" SrcPort 1 Points [25, 0; 0, -30] DstBlock "Analytical solution" DstPort 2 } Line { SrcBlock "Gain" SrcPort 1 Points [45, 0] DstBlock "-a*omega" DstPort 1 } Line { SrcBlock "Divide1" SrcPort 1 Points [10, 0; 0, -65] DstBlock "Analytical solution" DstPort 3 } Line { SrcBlock "Cos Wave" SrcPort 1 Points [0, -150] DstBlock "Analytical solution" DstPort 5 } Line { SrcBlock "-a*omega" SrcPort 1 Points [0, -35] DstBlock "Divide1" DstPort 2 } } }